KR20080100220A - 플라즈마를 향한 벽의 수증기 패시베이션 - Google Patents

플라즈마를 향한 벽의 수증기 패시베이션 Download PDF

Info

Publication number
KR20080100220A
KR20080100220A KR1020087021271A KR20087021271A KR20080100220A KR 20080100220 A KR20080100220 A KR 20080100220A KR 1020087021271 A KR1020087021271 A KR 1020087021271A KR 20087021271 A KR20087021271 A KR 20087021271A KR 20080100220 A KR20080100220 A KR 20080100220A
Authority
KR
South Korea
Prior art keywords
plasma
processing
substrate
processing chamber
passivation
Prior art date
Application number
KR1020087021271A
Other languages
English (en)
Other versions
KR101364440B1 (ko
Inventor
진유 푸
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20080100220A publication Critical patent/KR20080100220A/ko
Application granted granted Critical
Publication of KR101364440B1 publication Critical patent/KR101364440B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Physical Vapour Deposition (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

수소 래디컬로 비아홀 안으로 배리어층을 코팅하기 이전에 낮은-k 유전체의 수소 플라즈마 세정에 특히 유용한 챔버 패시베이션 방법이 원격 플라즈마 소스(60)로부터 제공된다. 각각의 웨이퍼에 대해, 수소 플라즈마의 점화 이전에 원격 플라즈마 소스를 통과한 수증기(86)(또는 플라즈마가 향한 벽 상에서 화학 흡착된 다른 가스)로 패시베이트된다. 수증기는 원격 플라즈마 소스의 알루미나 및 석영 부품과 같은 벽(78, 79) 상에 흡착되고, 보호성 단일층을 형성하며 이 단일층은 수소 플라즈마의 생성 동안 그 벽을 보호할 정도로 충분히 오래 지속된다. 이에 의해, 특히 알루미나와 같은 유전체로 된 플라즈마를 향하는 벽이 에칭으로부터 보호된다.

Description

플라즈마를 향한 벽의 수증기 패시베이션 {WATER VAPOR PASSIVATION OF A WALL FACING A PLASMA}
본 발명은 직접 회로를 제조하는 프로세스에서 플라즈마 세정에 관한 것이다. 특히, 본 발명은 유전층 및 증착의 패턴화된 에칭 사이에서 수행되는 플라즈마 세정에 관한 것이다.
45nm 노드에 대한 것과 같은 향상된 직접 회로는 두 레벨의 와이어링(two levels of wiring)을 상호 연결하는 레벨간 유전층(interlevel dielectric layer)을 위한 매우 낮은-k 유전체(전기적으로 절연성) 물질의 이용을 필요로 할 것이다. 약 3.9(실리콘 이산화물에 대한 값) 미만의 유전 상수를 갖는 낮은-k 물질은 이미 상업 생산에 돌입하였다. 그러나, 예를 들어 2.5 미만의 더 낮은 유전 상수가 미래에 필요할 것이다. 이러한 물질의 예는 블랙 다이아몬드TMII(BDII) 유전체이고 이는 미국 캘리포니아 산타 클라라의 어플라이드 머티어리얼스사로부터 구입 가능하다. 리(Li)가 미국 특허 출원 제 2003/0194495호에서 설명한 이 유전체 물질은 10at% 초과의 탄소 비율을 갖는 탄소 도핑된 실리콘 산화물(또한 실리콘 옥시카바이드라고도 불림)로서 특징지어질 수 있다. 이는 BDIIx 유전체로 개선되었고, 이 유전체는 UV 경화되고 30%의 다공성을 가지며, DBIIebeam 유전체는 전자로 경화된다. 다우 케미칼로부터 구입 가능한 Silk® 및 Cyclotene®(벤조시클로부텐)를 포함하는 다른 탄소 함유 낮은-k 유전체가 공지되어 있다. 많은 이러한 물질은 유기 또는 중합 유전체로서 특징지어진다.
레벨간 상호연결의 형성에서의 원형적인 구조는 도 1의 단면도에서 도시된다. 낮은 유전체층(10)은 그 표면에 형성된 전도성 피쳐(12)를 포함한다. 향상된 레벨간 연결을 위한 전도성 피쳐(12)는 일반적으로 구리로 이루어지지만 유사한 배열구조(geometries)는 실리콘 기판의 접촉 활성 반도체 영역에 적용된다. 매우 낮은-k 유전체 물질로 된 상부 유전체층(14)은 하부 유전체층(10)와 전도성 피쳐 위에 증착된다. 홀(16)은 전도성 피쳐(14)로 상부 유전체층(14)을 통해 포토리소그래피 공정으로(photolithographically) 에칭되어 형성된다. 구리 금속화에 이용되는 일반적인 이중 다마신 상호연결에 대해, 홀(16)은 전도성 피쳐(12)로의 수직 상호 연결을 형성하는 좁고 낮은 비아(narrow lower via)와 직접 회로의 서로 다른 부분 사이의 수평 상호 연결을 형성하는 넓고 높은 트렌치로 이루어진다. 이중 다마신 구조에 대해, 전도성 피쳐(12)는 낮은 레벨의 유전체(10)에 형성된 구리가 채워진 트렌치의 일부분일 수 있다. 홀이 에칭된 이후, 예를 들어 Ta/TaN으로 된 얇은 거의 등각의 배리어 층이 상부 유전체층(14)의 필드 구역 위에 그리고 홀(16)의 측부 상으로 일반적으로 마그네트론 스퍼터에 의해 코팅된다. 얇은 거의 등각의 구 리 씨드층이 일반적으로 마그네트론 스퍼터링에 의해 배리어층 위에 증착된다. 이후, 구리가 필드 구역 위에서 그리고 홀(16) 안으로 전기 도금된다. 마지막으로, 화학 기계적 폴리싱(CMP)이 홀(16) 외부의 구리를 제거하는데 이용된다.
포토레지스트 에슁(ashing) 이후, 포토리소그래픽 에칭 단계는 홀(16)의 측부 상에 탄소질 또는 플루오르화탄소 중합체층(18)을 종종 남기고, 이는 에칭의 중지 이후 남아 있는 높은 이방성 에칭을 얻는데 유리하게 이용된다. 또한, 트렌치의 바닥부에 에칭 잔여물(20)을 남길 수도 있는데, 이는 에칭 화학 작용의 탄소, 실리콘 및 플루오르 부산물의 조합물일 수 있다. 또한, 전도성 피쳐(12)에서 노출된 구리는 구리 산화물로 쉽게 산화된다. 또한, 에슁 잔여물(22)은 홀(16)의 구멍 위쪽(lip)에서 형성되기 쉽다. 홀(16)의 바닥부에서 구리 산화물 및 에칭 잔여물(20)은 금속화 증착 이전에 배리어 증착 이전에 제거되지 않으면 접촉 저항을 증가시킨다. 중합체 코팅(18) 및 에슁 잔여물(22)은 유전체층(14)에 대한 배리어층의 결합을 방해하고 이에 의해 배리어층 및 구리 비아 구조는 제작 동안 또는 작동 동안 얇은 층으로 갈라질 수 있으며, 이는 실질적인 신뢰도 문제를 일으킨다. 따라서, 배리어 증착이 시작되기 이전에 구리 산화물 및 잔여물(18, 20, 22)을 제거하는 것이 바람직하다.
종래의 실리카 유전체의 경우, 잔여물을 제거하기 위해 패턴화된 웨이퍼를 스퍼터 에칭함에 의해 에칭 단계 및 증착 단계 사이에 웨이퍼를 건조 세정하는 것이 일반적이었다. 이러한 스퍼터 에칭은 일반적으로 높은 에너지 이온을 포함하고, 이 이온은 비교적 단단한 실리카 유전체층에 크게 영향을 미치지 아니한다. 그러나, 낮은-k 유전체층은 상대적으로 소프트한 경향이 있다. 따라서, 스퍼터 에칭은 악영향을 미치도록 에칭하고 낮은-k 유전체층을 디그레이드(degrade)시킨다. 더욱 소프트한 화학적 에칭은 웨이퍼에 인접한 세정 챔버에서 생성된 산소 플라즈마, 즉 인시츄(in situ) 플라즈마를 이용하여 수행될 수 있다. 이 세정 프로세스는 다공성이지 않고 약 3.7의 유전 상수(k)를 갖는 낮은-k 유전체의 초기 형태에 만족스러운 것으로 증명되었다. 그러나, 인시츄 산소 플라즈마는 10%보다 큰 다공성 및 약 2.5의 k 값을 갖는 가장 최근의 매우 낮은-k 필름에 대해서는 만족스럽지 않은 것으로 나타났다. 산소 플라즈마는 플라즈마에 노출된 플로팅 바디(floating body) 상에서 형성되는 네거티브 자체 바이어스에 대해 끌어 당겨지는 높은 분율의 산소 원자를 포함한다. 이후 산소 이온은 매우 낮은-k 필름을 때리고 이 경우 이 필름을 손상시키기에 충분한 에너지를 가진다. 따라서, 미국 특허 출원 공개 공보 제 2004/0219789호에서 우드 등에 의해 개시된 것과 같이 원격 플라즈마 소스로부터 생성된 산소 플라즈마로 패턴화된 웨이퍼를 세정하는 방법이 개발되었다. 원격으로 생성된 플라즈마는 전기적으로 중성의 래디컬을 강조하고 있고(emphasize), 플라즈마가 프로세싱 스페이스에 도달할 때 이온은 계속 남아 있을 수 있으며, 인시츄 플라즈마는 프로세싱 스페이스에서 또는 그 근처에서 생성된 전기적으로 대전된 이온들을 강조하고 있다. 원격으로 생성된 산소 플라즈마는 많은 중성의 그리고 낮은 에너지의 산소 래디컬을 웨이퍼로 발사하고, 이는 산화되고 그렇지 아니하면 서로 다른 잔여물들을 제거하기 위해 잔여물과 화학적으로 반응한다.
그러나, 여기된(excited) 산소는 매우 낮은-k 유전체 물질에 대해서는 만족 스럽지 않은 것으로 증명되었다. 유전 상수에서의 감소는 유전체 물질에서 높은 다공성에 의해 종종 얻어진다. BDII의 유전체층은 10%를 넘는, 30%를 초과하는 다공성을 가질 수 있다. 따라서, 이들은 매우 소프트할 뿐만 아니라 산화 건조 세정에 매우 민감하다. 또한, 유전체에 포함된 산소는 실리콘 및 탄소 결합보다 더욱 분극화 가능한 결합을 만드는 경향이 있는데, 즉 유전 상수를 증가시키는 경향이 있다. 결과적으로, 환원 화학 작용에 기초한 건조 세정은 예를 들어 NH3의 원격 생성된 플라즈마(크로퓨니키 등의 미국 특허 제 6,440,864호) 또는 H2의 비교적 높은 압력을 이용하여 개발되었다. 수소 접근법이 유행하였지만 그 결과는 완전히 만족스럽지는 않았다. 수소 플라즈마에서 매우 작은 양의 수증기는 다공성 낮은-k 필름의 소수성 성질을 크게 감소시키고 이에 의해 유전 상수를 증가시키는 경향이 있다. 더욱 순수한 수소 플라즈마는 낮은-k을 디그레이드시키는 경향이 있다. 또한, 합리적인 에칭 속도는 챔버 압력을 증가시킴에 의해 이루어졌고, 전력 공급장치의 수용 능력은 증가된 압력을 따라갈 필요가 있다. 또한, 높은 수소 압력에서, 이온화되고 세정 챔버로 새는 원격 플라즈마 소스로부터의 수소의 분율이 증가한다. 수소 이온은 웨이퍼로 강력하게 당겨지는 경향이 있고, 이러한 이온이 다공성의 낮은-k 물질에 손상을 입힐 수 있다.
여기서 참조로 인용된 2006년 1월 17일 출원된 미국 특허출원 제 11/334,803호는 수소 및 헬륨 가스의 혼합물 또는 순수한 수소 가스로 된 원격으로 생성된 플라즈마를 이용하여 미리 세정하는 다공성 낮은-k 유전체를 위한 프로세스를 설명한 다. 플라즈마는 원격 플라즈마 소스에서 생성되고 이온은 소스 출력부로부터 필터되며 이에 의해 수소 래디컬은 웨이퍼에 도달한다. 챔버 세정을 위해 원격으로 생성된 래디컬의 이용 및 이러한 원격 플라즈마 소스의 예는 2003년 8월 반도체 잡지 6pp의 "300mm의 평면 패널 CVD 시스템을 세정하기 위한 원격 플라즈마 소스에서의 기술 발전"에서 첸 등에 의해 설명되었다. 세정 성능은 예를 들어 순수 수소에 대해서 150밀리토르 미만 그리고 바람직하게는 30밀리토르 미만의 수소의 낮은 부분압력에서 작동함에 의해 향상된다. 세정 성능은 매우 좋은 것으로 관찰되었지만, 값비싼 원격 플라즈마 소스는 고장 이전에 짧은 수명을 나타내었다. 수소 플라즈마는 플라즈마 소스의 알루미나 벽을 부식시키고 에칭한다. 화학적으로 유사한 알루미나 벽과 같은 애노드화된(anodized) 알루미늄은 수소 플라즈마로 디그레이드하는 것이 관찰된다. 알루미나를 석영으로 대체하는 것은 어느 정도 수명을 증가시킬 것이다. 그러나, 석영-라인된(quartz-lined) 플라즈마 소스는 더욱 비싸고 석영은 또한 수소 플라즈마에서 디그레이드 하는 것으로 관찰되었다. 유사한 에칭 효과가 플라즈마 소스 및 이온 필터 사이의 알루미나 라이너에서 관찰되었다.
프로세싱 가스, 예를 들어 에칭 또는 세정 가스, 특히 수소와 같은 환원성 가스의 플라즈마의 점화 이전에 비활성화된 수증기로 플라즈마 프로세싱 챔버가 패시베이트된다. 바람직하게 패시베이션은 기판 프로세싱의 각각의 사이클 동안 수행된다.
본 발명은 유전체벽을 구비한 원격 플라즈마 소스를 갖는 플라즈마 사전 세정 챔버에 특히 유용하고, 이 경우 수소 또는 수소와 헬륨의 혼합물이 플라즈마로 원격적으로 생성되고, 이로부터 이온이 필터되어 수소 래디컬의 활성화된 가스를 제공한다. 수증기 패시베이션에 일체화된 이러한 세정 프로세스는 다공성의 소프트 낮은-k 유전체를 효과적으로 세정한다.
질량 유동 제어기로부터의 하류에서 약 1Torr 압력의 수증기가 20 Torr 또는 그 미만의 압력으로 펌프된 진공 및 상온에서 액체 워터로부터 자연적으로 생성될 수 있다. 동일한 수증기가 예를 들어 1milliTorr 미만의 부분 압력과 같은 매우 낮은 압력에서 수증기를 공급하는데 이용될 수 있다.
도 1은 상호-레벨 상호연결 구조 또는 비아의 단면도이다.
도 2는 본 발명으로 이용 가능하고 원격 플라즈마 소스를 이용하는 세정 챔버의 단면도이다.
도 3은 원격 플라즈마 소스의 개략 단면도이다.
도 4는 수증기 공급 시스템의 더욱 상세한 파이핑(piping) 도면이다.
도 5는 수증기 패시베이션 및 원격 수소 플라즈마 세정을 위한 프로세스 흐름도이다.
도 6은 수증기를 분사하는 것을 중단한 이후 진공 챔버에서 수증기 부분 압력의 감소를 도시하는 시간에 따른 도면이다.
도 7은 수증기 패시베이션을 이용한 세정 성능의 개선을 도시하는 막대 그래프이다.
인용 특허 출원 제 11/334,803호에서 설명된 수소 사전-세정 프로세스는 세정 플라즈마의 워터 성분을 유리하게 분배하고, 이에 의해 다공성 낮은-k 유전체의 유전 상수의 하락을 막는다. 그러나, 수증기를 포함하는 종래의 플라즈마는 알루미나 및 다른 유전체 벽에 대해 일정한 보호를 제공한다. 인용된 특허 출원의 플라즈마 사전-세정 프로세스는, 바람직하게 수소를 함유하고 워터를 포함하지 않는 플라즈마의 점화 이전에 플라즈마로 활성화되지 않은 수증기를 가진 플라즈마를 향하는 다른 벽 및 원격 플라즈마 소스를 패시베이트 함에 의해 향상될 수 있다.
도 2의 단면도에서 도시된 원격 플라즈마 세정 챔버(30)는 진공 펌핑 시스템(36)에 의해 펌프되고 힌지 주위로 개방될 수 있는 뚜껑(34)을 포함하는 진공 프로세싱 챔버(32)를 포함한다. 챔버(32) 내의 페데스탈(38)은 다수의 구멍(44)을 통해 프로세스 가스를 공급하는 가스 샤워헤드(41)에 대향하여 세정되는 웨이퍼(40)를 지지한다. 페데스탈(38)은 히터 전력 공급부(48)로부터의 전류를 선택적으로 공급받는 저항성 히터(46)를 포함하고 이에 의해 원하는 에칭 또는 사전-세정 온도로 웨이퍼(40)의 온도를 올린다.
사전 세정을 위한 프로세스 가스는 질량 유동 제어기(52)를 통해 수소 가스 소스(50)로부터 선택적으로 공급되는 순수한 수소 가스(H2) 이거나 다른 질량 유동 제어기(56)를 통해 헬륨 가스 소스(54)로부터 선택적으로 제공되는 헬륨(He)과 수소의 조합물이다. 원하는 헬륨 분율의 단일 H2/He 가스 공급으로 대체될 수 있다. 뚜껑(34) 상에 장착된 원격 플라즈마 소스(RPS)(60)는 공급 라인(62)으로부터 프로세스 가스를 받고 이를 플라즈마로 활성화시킨다. 원격 플라즈마 소스는 다양한 형태를 가질 수 있다. 예시적인 RF 유도성 원격 플라즈마 소스(64)가 도 3에서 개략적으로 도시되고, 이는 유전체 튜브(66) 및 그 주위에 감긴 유도성 코일(68)을 포함한다. RF 전력 소스(70)는 코일(68)에 전기적으로 전력을 공급하고, 이는 유도적으로 RF 에너지를 튜브(64)의 보어로 커플시키며(couples) 이에 의해 튜브(66) 내에서 유동하는 가스를 플라즈마로 활성화시킨다. 본 발명에서, 수소 가스(H2)는 대전된 수소 이온(H+) 및 중성 수소 래디컬(H*)을 포함한 플라즈마로 활성화된다. 향상된 원격 플라즈마 소스는 더욱 복잡한데, 예를 들어 토로이달 활성화 튜브(toroidal excitation tubes), 및 가능한 다른 형태의 플라즈마 생성기에 따라 다르다. 활성화된 가스는 공급 튜브(72)를 통해 샤워헤드(42)의 뒤에 있는 가스 다기관(74)으로 전달된다.
도 2를 참고하면, 원격 플라즈마 소스는 진공 챔버(32)의 상류에 있다. 이온 필터는 원격 플라즈마 소스(60) 및 다기관(74) 사이를 따라 배치되고 이에 의해 수소 이온(H+)을 제거하며, 이로써 오직 중성 수소 래디컬(H*) 만이 웨이퍼(40)에 도달한다. 이온 필터는 공급 튜브(72)를 가로질러 대향하여 배치된 두 개의 자석(76, 77)을 포함할 수 있고, 이에 의해 튜브 내부를 가로질러 자기장(B)을 투사하여 대전된 수소 이온들을 편향시키거나 또는 붙잡는다. 제거 가능한 유전체 튜브 라이너(78)는 공급 튜브(72)의 내부에 위치할 수 있고, 유전체 챔버 라이너(79)는 다기관(74)의 벽을 덮을 수 있으며 이에 의해 벽을 보호하고 수소 래디컬과의 재결합을 감소시킨다. 일 실시예에서, 튜브 라이너(78)는 알루미나(Al2O3)로 이루어지고, 다기관 라이너(79) 및 샤워헤드(42)는 석영(SiO2)으로 이루어진다. 따라서, 활성화된 가스는 세정되는 웨이퍼(40)로 샤워헤드(41)를 통해 균일하게 전달된다.
본 발명의 이러한 실시예에서, 액체 워터의 풀(a pool of liquid water)을 함유한 진공-밀봉된 앰풀(ampoule; 80)이 챔버 뚜껑(34) 상에 장착되고, 질량 유동 제어기(84)는 앰풀(80)로부터 원격 플라즈마 소스(60)로의 수증기를 계량한다. 상온에서 워터의 증기 압력은 약 20Torr이고, 이는 원격 플라즈마 소스(60)가 작동하는 통상의 진공 레벨보다 훨씬 높다. 따라서, 앰풀(80)이 백 펌프되었다면(back pumped), 약 20Torr의 압력을 갖는 수증기는 앰풀(80)에서 액체 워터 풀(82) 위의 헤드 공간(head space; 86)에 존재한다. 앰풀(80)은 배관 길이를 최소화하기 위해 챔버 뚜껑(34) 상에 직접 장착되고, 배관 벽에 수증기가 응축되기 쉬우며 이 경우 가스 소스(50, 54) 및 그 질량 유동 제어기(52, 56)는 챔버(30) 및 원격 플라즈마 소스(60)로 일정한 길이의 배관(88)을 가진 원격 가스 패널 상에 일반적으로 장착 된다. 액체 워터의 단일 충전(single charge)은 관찰 결과 100,000 이상의 웨이퍼 사이클 동안 일정하게 유지되는 것을 관찰되었고, 8초 동안 수증기의 5sccm의 예시적 레서피(recipe)는 대기 압력 수증기의 0.66cc 및 액체 워터의 약 0.54x10-3에 이른다. 그럼에도 불구하고, 워터 레벨 센서는 워터 앰풀(80)에서 함께 유리하게 포함된다.
수증기 공급 시스템의 더욱 완전한 실시예는 도 4에서 개략적으로 도시된다. 제 1 격리 밸브(90)는 질량 유동 제어기(84)를 워터 앰풀(80)로부터 분리시키고, 제 2 격리 밸브(92)는 질량 유동 제어기(84)를 원격 플라즈마 소스(60)로의 공급 라인(62)으로부터 분리시킨다. 또한, 질량 유동 제어기(84) 주위의 우회 라인(94)은 제 3 격리 밸브(96)를 포함한다. 격리 밸브들은 워터 앰풀(80)를 백 펌프하고 튜브로부터 워터 응축물을 제거하는데 유용하고, 챔버 유지 보수 동안 이 앰풀(80)을 격리시킨다.
도 2에서 도시된 것처럼, 기록 가능한 매체(102)를 수용하는 컴퓨터화된 제어기(100)는 펌핑 시스템(36), 히터 전력 공급부(48), 원격 플라즈마 소스(60), 가스 질량 유동 제어기(52, 56, 84)를 제어한다. 플로피 디스크 또는 CD와 같은 자기 또는 광학 디스크일 수 있는 기록 가능한 매체(102)는 프로세스 레서피를 포함하고, 이 레서피에 따라 제어기(100)는 챔버(30)에서 패시베이션 및 사전 세정에서 작동 순서뿐만 아니라 챔버(30)의 안으로 및 밖으로의 웨이퍼의 전달, 그리고 필요에 따라 격리 밸브(90, 92, 96)의 작동을 제어한다.
본 발명의 일 태양에 따르면, 작은 양의 수증기는 플라즈마의 점화 이전에 원격 플라즈마 소스(60)로 그리고 챔버(30)로 펄스된다. 수증기는 모든 벽 상에 얇은 워터 코팅을 형성한다. 수증기 분사가 중지되고 챔버가 서브-Torr 범위의 작동 압력으로 펌프된 이후, 워터 코팅이 크게 증발한다. 그러나, 특히 금속으로의 또는 알루미나와 같은 금속 산화물로의 또는 석영으로의 화학 흡착은 벽 상에 매우 얇은 워터 층이 형성되게 한다. 진공 사이언스 및 테크놀로지 저널 vol.13(2), 1995, pp.467-475의 "가역적으로 흡착된 페이스.I.모노층의 펌프-다운 모델링 및 하위모노층 최초 적용범위(Modeling the pump-down of a reversibly adsorbed phase. I.Monolayer and submonolayer initial coverage)"의 레드헤드(Redhead)는 1밀리토르의 수증기 압력에서 필름이 워터의 단일층에 의해 형성된다는 것을 개시한다. O-H 결합은 금속의 네이티브 산화물(native oxide) 또는 금속 산화물 상에 형성된다. "알루미나 표면 상의 워터의 화학 작용: 제 1 원리로부터의 반응 역학", 사이언스, vol.282 1998년 10월 9일, pp.265-268에서 하아스(Haas) 등은 워터 분자가 알루미나 표면에 대해 O-H 결합을 형성한다고 개시한다. O-H 결합은 이온 상태의 수소가 알루미나에서의 Al과 같은 금속 또는 산소를 플라즈마를 향하는 벽으로부터 제거하는 것을 막는다. 결국, 진공 펌핑은 워터 단일층을 탈착하고 제거한다. 그러나, 수증기 패시베이션이 모든 워터 사이클에서 수행된다면, 그 보호는 프로세스의 플라즈마 스테이지를 통해 지속된다는 것을 관찰하였다.
종래 기술에서 실행되는 것과 같이 플라즈마가 워터 성분을 함유한 경우 동일한 보호 메커니즘이 적용될 것이다. 그러나, 워터 플라즈마는 낮은-k 유전체에 악영향을 미친다. 수증기를 가진 패시베이션은 웨이퍼 상에 일정한 워터를 놓지만(deposit), 사전-세정은 20Torr의 수증기를 갖는 클린룸 대기로부터 금방 삽입된 웨이퍼에 일반적으로 수행되므로 일정한 워터 코팅은 피할 수 없으며 패시베이션 동안 챔버로 일반적으로 펄스된 1Torr 미만의 수증기를 가진 것과 비교되어야 한다. 또한, 표준 사전 세정 프로세스는 웨이퍼를 300℃를 초과하는 온도로 가열한다. 수증기의 펄스 이후에만 가열이 시작된다면 그리고 가열이 완료된 이후 수초 동안 플라즈마 점화가 지연된다면, 플라즈마 또는 수소 래디컬이 있는 경우에 워터가 웨이퍼 상에 거의 존재하지 않을 것이다.
도 3에서 도시된 흐름도는 매 웨이퍼 사이클 동안 수행되는 플라즈마 세정 프로세스를 도시한다. 본 발명은 다중-웨이퍼 배치 챔버에서 수행될 수 있지만, 바람직한 세정 프로세스는 도 2에서 도시된 것처럼 단일-웨이퍼 챔버에서 수행된다. 펌프 업(pump up) 단계(110)에서, 챔버 압력은 다소 제어되지 않지만 일반적으로 6.5Torr 미만으로 유지된다. 이 단계(110)의 일부 동안, 중앙 전달 챔버로부터 사전 세정 챔버(30)를 분리시키는 슬릿 밸브가 개방되고 이에 의해 로봇 블레이드가 챔버에서 이미 사전 세정된 웨이퍼를 제거하고 프로세스되지 않은 웨이퍼로 대체시킨다. 바람직하게 슬릿 밸브가 닫힌 이후 각각 예를 들어 2000sccm인 많은 양의 수소 및 헬륨이 챔버 안으로 유동되어 챔버를 정화한다. 펌프 업 단계(110)의 마지막에, 바람직하게 슬릿 밸브가 닫힌 이후, 수증기 패시베이션이 수행된다. 예를 들면, 5sccm의 수증기가 8초 동안 챔버 안으로 유동된다. 많은 양의 수소 및 헬륨과 함께 1Torr의 챔버 압력은 약 1milliTorr의 수증기의 부분 압력에 이르고 이에 의해 10milliTorr 미만의 수증기의 부분 압력이 분명하게 효과적이다. 원격 플라즈마 소스는 켜지지(turned on) 아니하고, 이에 의해 정화 가스 및 수증기 모두 플라즈마로 활성화되지 않고 비활성화된 가스로 챔버로 원격 플라즈마 소스를 통해 유동한다.
가열 단계(112)에서, 페데스탈 상에 있는 프로세스되지 않은 웨이퍼는 작동 동안 예를 들어 250 내지 350℃의 온도로 유지되도록 가열된다. 히터 전력 공급부가 켜지고 이에 의해 페데스탈을 예를 들어 350℃와 같은 예정된 온도로 가열한다. 가열 단계(112) 동안, 수증기 공급은 불연속적이고 프로세스의 나머지 사이클 동안 계속되지 않는다. 수소 유동은 계속되지만 헬륨 공급은 중단된다. 챔버 압력은 비교적 높은 6.5Torr에서 유지되고 이에 의해 챔버의 가열 및 온도 평형을 촉진시킨다. 플라즈마 점화를 준비하는 아르곤 램프 단계(114)에서, 상당한 양의 아르곤이 챔버로 공급되는데, 예를 들어 많은 양의 수소의 연속적인 공급과 함께 1000sccm이 공급된다. 챔버 압력은 6.5Torr로 높게 유지된다. 펌프 다운 단계(116)에서, 챔버 압력은 플라즈마 점화의 준비로 1Torr로 감소된다. 동일한 양의 아르곤이 작은 양의 수소 및 선택적인 헬륨과 함께 공급되고, 이 경우 헬륨은 세정에 이용되는 경우에만 공급된다. 점화 단계(ignition step; 118)에서, 원격 플라즈마 소스로의 RF 공급이 마침내 시작되어 대부분을 차지 하고 있는 아르곤과 같은 가스를 플라즈마로 점화시킨다. 변화 단계(transition step; 120)에서, 챔버는 플라즈마 사전 세정을 위한 바람직한 챔버 압력으로 펌프다운 되고, 수소 및 가능할 수 있는 헬륨의 세정하는 양이 공급되며 아르곤의 공급은 부분적으로 감소된 다.
플라즈마 점화시, 워터의 단일층 만이 벽 및 웨이퍼를 코팅할 것으로 기대된다. 수증기의 분사를 중단한 이후 챔버에서 수증기의 부분 압력을 도시하는 도 6의 차트에 의해 나타나는 것처럼, 과도한 수증기가 빠르게 펌프 아웃되고 수증기 부분 압력은 3x10-6 Torr 미만으로 감소된다. 매우 낮은 워터 부분 압력은 소프트 낮은-k 유전체로부터의 다른 잔여물 및 포토레지스트를 세정하는데 관련된 에칭 화학 작용과의 최소 방해를 보장한다. 그러나, 챔버 벽 상에 잔존하는 일시적인 워터 단일층은 수소 플라즈마에 대한 보호를 갖는 플라즈마를 향하는 벽에 제공하기에 충분해 보인다.
도 5로 돌아가면, 플라즈마 에칭 단계(122)에서, 선택적인 헬륨의 포함과 함께 수소의 환원 화학 작용에 따라 플라즈마에 의해 웨이퍼는 사전 세정된다. 플라즈마를 유지하는데 아르곤을 필요하지 않다. 두 개의 최적화된 사전 세정 레서피가 개발되었다. 첫 번째는 400sccm의 수소가 공급되는 60milliTorr 챔버 대기에서 30회의 에칭(30s of etching)을 포함한다. 두 번째는 400sccm의 수소 및 1200sccm의 헬륨이 공급되는 350milliTorr 챔버 대기에서 30회의 에칭을 포함한다. 다른 에칭 파라미터가 개발될 수 있다. 그러나, 수증기 패시베이션은 에칭 플라즈마가 환원 화학작용일 때 특히 수소 래디컬 화학 작용일 때 유용하고, 이 경우 산소와 같은 산화제 또는 워터을 거의 포함하지 않는다. 플라즈마 에칭 단계(122)는 웨이퍼의 사전 세정을 완료시키고 원격 플라즈마 소스는 단계(122)의 완료시 꺼진다. 이후 작동은 다시 다른 웨이퍼 상에서 동일한 프로세스를 수행하기 위해 단계(110)로 되돌아간다.
패시베이션의 많은 효과는 점화 단계(118) 이전에 가열 단계(122) 또는 아르곤 램프 단계(114) 또는 펌프 다운 단계(116)에서 원격 플라즈마 소스로 활성화되지 않은 수증기를 공급함에 의해 얻어질 수 있다. H2O가 펌프 업 단계(110)에서 형성되는 동안 그 이후의 단계(112, 114, 116)에서 이 유동을 계속하는 것은 중요한 영향을 미치지 못함을 관찰하였다.
수증기 패시베이션은 사전 세정 단계의 성능을 증가시키기 위해 관찰되었다. 도 7의 바아 차트에서 도시된 것처럼, 포토레지스트 에칭 속도는 수소 플라즈마의 점화 이전에 패시베이션 없이 약 120nm/min으로부터 수증기 패시베이션을 가진 채 약 200nm/min로 증가하는 것이 관찰되었다. 또한, 세정을 위한 선택도는 약 30으로부터 90 초과까지 증가하는 것이 관찰되었고, 이 경우 선택도는 세정되는 매우 낮은-k 유전체의 에칭 속도 및 포토레지스트 에칭의 비율로서 정의된다.
또한, 패시베이션은 원격 플라즈마 소스의 수명을 늘이는 것으로 관찰되었다. 패시베이션 없이, 챔버가 원격 플라즈마 소스의 총 900분의 작동으로 1800개 이상의 웨이퍼를 처리한 이후, 세정 프로세스는 완전히 시프트하고 포토레지스트 에칭 속도는 원래 값의 30% 미만으로 감소된다. 수증기 프로세스가 있는 경우, 세정 프로세스는 지금까지 테스트된 모든 숫자의 웨이퍼에 대해 감손(degradation)을 나타내지 않았는데, 특히 원격 플라즈마 소스의 5000분의 작동에 대한 10,000개 웨 이퍼에 대해서도 그러하였다.
웨이퍼를 프로세스하는 다른 순차적인 시도에서, 패시베이션 없이, 입자 가산기(particle adder)의 숫자는 웨이퍼 당 0.12μm를 넘어 200개의 가산기로 증가하는 것이 관찰되었다. 이후, 이 시도는 동일한 챔버 및 원격 플라즈마 소스에서 계속되었다. 가산기의 숫자는 즉시 30개 미만으로 감소되었고 20개의 추가적인 웨이퍼 내에서 10개 미만으로 계속적으로 감소되었다.
수증기는 이전에 유사한 챔버들 상에서의 이용, 저비용 및 쉬운 교체를 이유로 본 발명에서 유리하게 이용된다. 그러나, 가스들이 워터를 대체할 수 있는데, 이들은 벽 표면 특히 알루미나 표면 상에서 더 높은 화학 흡착을 갖는다. 이러한 가스의 예로는 CH4, CO 및 CO2가 있고, 이들은 예를 들어 가스 패널 상에 장착된 가스 탱크로부터 공급될 수 있다. 패시베이션을 위해 이용될 때, 이러한 가스들은 플라즈마로 활성화되지 않지만 비활성화된 가스 형태로 챔버 안으로 또는 원격 플라즈마 소스를 통해 공급된다.
본 발명은 원격 플라즈마 소스의 사용 수명을 연장하는데 이용된다. 그러나, 이는 전달 튜브, 샤워 헤드, 및 플라즈마 또는 이로부터 유도되는 래디컬에 노출된 유전체 또는 금속성의 벽을 갖는 플라즈마 반응기의 다른 부품을 패시베이트하는 작용을 한다.
본 발명은 사전 세정 가스의 점화 이전에 원격 플라즈마 소스의 패시베이션에 관해 설명되었지만, 본 발명은 여기에 제한되지 않는다. 원격 플라즈마 소스는 포토레지스트의 벌크를 제거하는 메인 에슁 단계에 이용될 수 있다. 또한, 원격 또는 인시츄 플라즈마를 이용하는지 그리고 환원 화학 작용을 이용하여 에칭 및 플라즈마 에칭의 다른 형태에 이용되는 챔버들은 본 발명의 이용으로부터 이익을 얻을 수 있다.
본 발명은 글라스 및 다른 유전체 패널과 같은 다른 형태의 기판을 프로세스하는데 이용될 수 있고, 실리콘을 프로세스하는데 제한되는 것은 아니다.
예를 들면, 중성 래디컬 또는 대전된 이온으로서의 수소 원자는 금속 및 비금속을 포함한 다른 형태의 기판들 상에서 부식 생성물의 화학적 환원에 이용된다. 예를 들면, 역사적 그리고 고고학적 유물의 금속 표면들은 수소 원자로 된 비임(beam)으로 세정될 수 있다. 수소 원자는 반도체 산업에서 이용되는 원격 플라즈마 소스와 유사한 플라즈마 생성기에서 만들어진다. 유사한 수소 플라즈마 생성기는 수소 레이저에서의 소스로서 이용된다. 지금까지 그 장비는 비쌌는데, 이는 수소 플라즈마의 연속적인 존재로 플라즈마 생성기의 짧은 수명에 부분적으로 기인하였다. 본 발명은 파워된(powered) 또는 활성화된 플라즈마 생성기로 가스상 수소를 교번적으로 공급함에 의해 그리고 전달 시스템 하류와 플라즈마 생성기의 플라즈마를 향하는 벽을 일시적으로 패시베이트하기 위해 언파워된(unpowered) 플라즈마 생성기로 수증기를 공급함에 의해 이러한 수소 플라즈마 생성기에 쉽게 이용될 수 있다. 상기 데이터에서 나타나는 것처럼, 패시베이션 기간은 플라즈마 생성 기간보다 거의 짧을 수 있고, 이에 의해 총 세정 처리량이 악영향을 받지 않는다. 플라즈마 생성기의 출력 비임은 세정 및 패시베이션 단계 모두 동안 기판으로 향할 수 있고, 이러한 응용은 수증기가 프로세스되는 기판으로부터 멀리 향하는 경우에 또는 수소 플라즈마의 최종 이용자에게 유리할 수 있다.
본 발명은 세정 프로세스를 향상시키고, 시스템과 그 작동의 처리량, 복잡성 및 비용에 대해 거의 영향을 미치지 않는 구성요소 및 챔버 부품의 수명을 증가시키는 것이다.

Claims (22)

  1. 플라즈마 프로세싱 챔버에서 기판을 프로세스하기 위한 패시베이션(passivation) 방법으로서,
    상기 프로세싱 챔버로 비활성화 상태의 패시베이팅 가스(passivating gas)를 주입하는 단계; 및
    상기 프로세싱 챔버에서 상기 기판을 프로세싱 가스의 플라즈마로 프로세스하는 단계를 포함하고,
    상기 패시베이팅 가스가 상기 프로세싱 챔버의 벽 상에서 수증기보다 많이 화학 흡착(chemabsorbed)되는,
    플라즈마 프로세싱 챔버에서 기판을 프로세스하기 위한 패시베이션 방법.
  2. 제 1 항에 있어서,
    상기 패시베이팅 가스가 수증기인,
    플라즈마 프로세싱 챔버에서 기판을 프로세스하기 위한 패시베이션 방법.
  3. 제 1 항에 있어서,
    상기 패시베이팅 가스가 CH4, CO, 및 CO2로 이루어진 그룹으로부터 선택되는,
    플라즈마 프로세싱 챔버에서 기판을 프로세스하기 위한 패시베이션 방법.
  4. 제 1 항 내지 제 3 항 중 어느 한 항에 있어서,
    상기 플라즈마가 환원 플라즈마인,
    플라즈마 프로세싱 챔버에서 기판을 프로세스하기 위한 패시베이션 방법.
  5. 제 1 항 내지 제 3 항 중 어느 한 항에 있어서,
    상기 프로세싱 가스가 수소를 포함하는,
    플라즈마 프로세싱 챔버에서 기판을 프로세스하기 위한 패시베이션 방법.
  6. 제 1 항 내지 제 3 항 중 어느 한 항에 있어서,
    상기 프로세싱 가스가 (1) 수소와 (2) 수소 및 헬륨으로 이루어진 그룹으로부터 선택되는,
    플라즈마 프로세싱 챔버에서 기판을 프로세스하기 위한 패시베이션 방법.
  7. 제 6 항에 있어서,
    상기 프로세싱 챔버가 상기 프로세싱 챔버의 내부로 연결된 출력 튜브를 갖는 원격 플라즈마 소스를 포함하고, 상기 수증기 및 프로세싱 가스가 상기 원격 플라즈마 소스로 주입되며, 상기 원격 플라즈마 소스가 상기 주입 단계 동안에는 거의 비활성화되어 있으나 상기 프로세싱 단계 동안에는 상기 프로세싱 가스를 상기 플라즈마로 활성화시키기 위해 활성화되는,
    플라즈마 프로세싱 챔버에서 기판을 프로세스하기 위한 패시베이션 방법.
  8. 제 6 항에 있어서,
    상기 프로세싱 챔버가 상기 원격 플라즈마 소스 및 상기 챔버 사이에 배치된 이온 필터를 추가로 포함하고, 상기 이온 필터를 통해 상기 패시베이팅 가스 및 상기 프로세싱 가스가 유동하는,
    플라즈마 프로세싱 챔버에서 기판을 프로세스하기 위한 패시베이션 방법.
  9. 제 2 항에 있어서,
    상기 프로세싱 챔버가 상기 프로세싱 챔버의 내부에 연결된 출력부를 갖는 원격 플라즈마 소스를 포함하고, 상기 수증기 및 프로세싱 가스가 상기 원격 플라즈마 소스로 주입되며, 상기 원격 플라즈마 소스가 상기 주입 단계 동안에는 거의 비활성화되어 있으나 상기 프로세싱 단계 동안에는 상기 프로세싱 가스를 상기 플라즈마로 활성화시키기 위해 활성화되는,
    플라즈마 프로세싱 챔버에서 기판을 프로세스하기 위한 패시베이션 방법.
  10. 제 1 항 내지 제 3 항 중 어느 한 항에 있어서,
    상기 프로세싱 단계가 유전체층을 세정하는,
    플라즈마 프로세싱 챔버에서 기판을 프로세스하기 위한 패시베이션 방법.
  11. 제 10 항에 있어서,
    상기 프로세싱 단계가 상기 유전체층으로부터 잔여물을 제거하는,
    플라즈마 프로세싱 챔버에서 기판을 프로세스하기 위한 패시베이션 방법.
  12. 제 10 항에 있어서,
    상기 유전체층이 다공성이고 3.9 미만의 유전 상수를 갖는,
    플라즈마 프로세싱 챔버에서 기판을 프로세스하기 위한 패시베이션 방법.
  13. 제 1 항 내지 제 3 항 중 어느 한 항에 있어서,
    상기 주입 단계의 종료 이후 그리고 상기 플라즈마가 활성화되기 이전에, 상기 챔버로부터 수증기를 제거하도록 상기 프로세싱 챔버를 펌프하는 단계를 추가로 포함하는,
    플라즈마 프로세싱 챔버에서 기판을 프로세스하기 위한 패시베이션 방법.
  14. 플라즈마 프로세싱 방법으로서,
    기판을 지지하기 위한 페데스탈, 상기 페데스탈에 대향하고 있는 가스 샤워헤드, 및 상기 샤워헤드의 뒤의 다기관으로 출력부를 연결시키는 공급 튜브를 갖는 원격 플라즈마 소스를 포함하는 플라즈마 프로세싱 챔버로 기판을 삽입하는 단계;
    수증기를 유효 플라즈마로 활성화시키지 아니한 채 상기 원격 플라즈마를 통과시키는 단계;
    상기 원격 플라즈마 소스를 통해 환원 프로세싱 가스를 통과시키고 상기 환원 프로세싱 가스를 플라즈마로 활성화시키는 단계; 및
    상기 플라즈마를 소멸시키고 상기 기판을 상기 플라즈마 프로세싱 챔버로부터 제거하는 단계를 포함하고,
    상기 단계들이 연속적으로 프로세스되는 다수의 기판의 각각에 대해 수행되 는,
    플라즈마 프로세싱 방법.
  15. 제 14 항에 있어서,
    상기 환원 프로세싱 가스가 수소를 포함하고 수증기 및 산소를 거의 포함하지 않는,
    플라즈마 프로세싱 방법.
  16. 제 14 항에 있어서,
    상기 기판이 유전체층을 포함하고, 상기 유전체층이 상기 유전체층을 통해 에칭된 홀(hole)을 갖는,
    플라즈마 프로세싱 방법.
  17. 제 16 항에 있어서,
    상기 유전체층이 3.9 미만의 유전 상수를 갖는,
    플라즈마 프로세싱 방법.
  18. 패시베이션 및 프로세싱 방법으로서,
    처리되는 기판을 포함한 진공 프로세싱 챔버로 비활성화된 수증기를 주입하는 단계;
    상기 진공 프로세싱 챔버로부터 상기 수증기의 상당량을 제거하기 위해 상기 진공 프로세싱 챔버를 펌프하는 단계; 및
    상기 진공 프로세싱 챔버 내에서 상기 기판을 프로세스하기 위해 프로세싱 가스를 플라즈마로 활성화시키는 단계를 포함하는,
    패시베이션 및 프로세싱 방법.
  19. 제 18 항에 있어서,
    상기 기판이 3.9 미만의 유전 상수를 갖는 유전체층을 포함하고, 상기 프로세싱 가스가 수소를 포함하고 물과 산소를 거의 포함하지 않으며, 상기 수소 이온이 상기 기판으로부터 상류에 있는 상기 플라즈마로부터 필터되는,
    패시베이션 및 프로세싱 방법.
  20. 제 18 항 또는 제 19 항에 있어서,
    상기 수증기 및 프로세싱 가스가 상기 플라즈마 프로세싱 챔버로 출력부가 연결되는 원격 플라즈마 소스로 유동되고, 상기 원격 플라즈마 소스는 상기 주입 단계 동안에는 거의 비활성화되어 있고 상기 활성화 단계 동안에는 활성화되는,
    패시베이션 및 프로세싱 방법.
  21. 수소 플라즈마 소스를 작동하는 방법으로서,
    플라즈마 생성기가 비활성화인 동안 상기 플라즈마 생성기를 통해 수증기를 통과시키는 제 1 단계; 및
    상기 플라즈마 생성기가 활성화인 동안 상기 플라즈마 생성기를 통해 수소 가스를 통과시키는 제 2 단계를 포함하고,
    상기 단계들의 순서를 반복하는 단계를 포함하는,
    수소 플라즈마 소스를 작동하는 방법.
  22. 효 21 항에 있어서,
    상기 제 1 단계의 시간이 상기 제 2 단계의 시간보다 실질적으로 짧은,
    수소 플라즈마 소스를 작동하는 방법.
KR1020087021271A 2006-02-10 2007-01-30 플라즈마를 향한 벽의 수증기 패시베이션 KR101364440B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/351,676 US7695567B2 (en) 2006-02-10 2006-02-10 Water vapor passivation of a wall facing a plasma
US11/351,676 2006-02-10
PCT/US2007/002546 WO2007094961A2 (en) 2006-02-10 2007-01-30 Water vapor passivation of a wall facing a plasma

Publications (2)

Publication Number Publication Date
KR20080100220A true KR20080100220A (ko) 2008-11-14
KR101364440B1 KR101364440B1 (ko) 2014-02-17

Family

ID=38368893

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020087021271A KR101364440B1 (ko) 2006-02-10 2007-01-30 플라즈마를 향한 벽의 수증기 패시베이션

Country Status (6)

Country Link
US (1) US7695567B2 (ko)
JP (1) JP5260318B2 (ko)
KR (1) KR101364440B1 (ko)
CN (1) CN101379213B (ko)
TW (1) TWI342241B (ko)
WO (1) WO2007094961A2 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20160026264A (ko) * 2014-08-29 2016-03-09 세메스 주식회사 기판 처리 장치
KR20170138950A (ko) * 2016-06-08 2017-12-18 에이에스엠 아이피 홀딩 비.브이. 금속성 막들의 선택적 퇴적

Families Citing this family (293)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4673290B2 (ja) 2003-02-14 2011-04-20 アプライド マテリアルズ インコーポレイテッド 水素含有ラジカルによる未変性酸化物の洗浄
US20080078325A1 (en) * 2006-09-29 2008-04-03 Tokyo Electron Limited Processing system containing a hot filament hydrogen radical source for integrated substrate processing
US20080081464A1 (en) * 2006-09-29 2008-04-03 Tokyo Electron Limited Method of integrated substrated processing using a hot filament hydrogen radical souce
US7807579B2 (en) 2007-04-19 2010-10-05 Applied Materials, Inc. Hydrogen ashing enhanced with water vapor and diluent gas
US8021514B2 (en) * 2007-07-11 2011-09-20 Applied Materials, Inc. Remote plasma source for pre-treatment of substrates prior to deposition
US20100258510A1 (en) * 2009-04-10 2010-10-14 Applied Materials, Inc. Methods and apparatus for treating effluent
US8193075B2 (en) * 2009-04-20 2012-06-05 Applied Materials, Inc. Remote hydrogen plasma with ion filter for terminating silicon dangling bonds
US20100270262A1 (en) * 2009-04-22 2010-10-28 Applied Materials, Inc. Etching low-k dielectric or removing resist with a filtered ionized gas
US20100317198A1 (en) * 2009-06-12 2010-12-16 Novellus Systems, Inc. Remote plasma processing of interface surfaces
WO2011028349A2 (en) * 2009-09-04 2011-03-10 Applied Materials, Inc. Remote hydrogen plasma source of silicon containing film deposition
TWI563582B (en) * 2010-06-03 2016-12-21 Novellus Systems Inc Method of improving film non-uniformity and throughput
JP5827499B2 (ja) * 2010-06-11 2015-12-02 株式会社半導体エネルギー研究所 装置の表面処理方法
CN102376636B (zh) * 2010-08-24 2014-04-02 中芯国际集成电路制造(上海)有限公司 形成接触孔的方法
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
CN102437012A (zh) * 2011-08-04 2012-05-02 上海华力微电子有限公司 一种改进的干法刻蚀腔体
JP2013074093A (ja) * 2011-09-28 2013-04-22 Renesas Electronics Corp リフロー前処理装置およびリフロー前処理方法
KR20130049364A (ko) * 2011-11-04 2013-05-14 피에스케이 주식회사 플라스마 공급 유닛 및 이를 포함하는 기판 처리 장치
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US10832904B2 (en) 2012-06-12 2020-11-10 Lam Research Corporation Remote plasma based deposition of oxygen doped silicon carbide films
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
CN103545163B (zh) * 2012-07-10 2016-11-16 中芯国际集成电路制造(上海)有限公司 具有氟残留或氯残留的半导体结构的处理方法
WO2014014907A1 (en) * 2012-07-16 2014-01-23 Mattson Technology, Inc. Method for high aspect ratio photoresist removal in pure reducing plasma
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10297442B2 (en) * 2013-05-31 2019-05-21 Lam Research Corporation Remote plasma based deposition of graded or multi-layered silicon carbide film
CN103605267B (zh) * 2013-10-23 2017-04-12 上海华力微电子有限公司 远程射频等离子体源的隔离结构
CN103646916B (zh) * 2013-11-28 2016-03-23 上海华力微电子有限公司 改善hdp psg工艺的方法及金属沉积前的介电质层制造方法
TWI546847B (zh) * 2013-12-27 2016-08-21 日立國際電氣股份有限公司 基板處理裝置及半導體裝置的製造方法
US20150200042A1 (en) * 2014-01-10 2015-07-16 Applied Materials, Inc. Recessing ultra-low k dielectric using remote plasma source
TWI661072B (zh) 2014-02-04 2019-06-01 荷蘭商Asm Ip控股公司 金屬、金屬氧化物與介電質的選擇性沈積
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US9472415B2 (en) * 2014-04-30 2016-10-18 International Business Machines Corporation Directional chemical oxide etch technique
US10039157B2 (en) * 2014-06-02 2018-07-31 Applied Materials, Inc. Workpiece processing chamber having a rotary microwave plasma source
US10269541B2 (en) 2014-06-02 2019-04-23 Applied Materials, Inc. Workpiece processing chamber having a thermal controlled microwave window
US10192717B2 (en) * 2014-07-21 2019-01-29 Applied Materials, Inc. Conditioning remote plasma source for enhanced performance having repeatable etch and deposition rates
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9520301B2 (en) 2014-10-21 2016-12-13 Samsung Electronics Co., Ltd. Etching method using plasma, and method of fabricating semiconductor device including the etching method
CN207587690U (zh) * 2015-01-22 2018-07-06 陈家陞 非热软式等离子清洁系统
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10121699B2 (en) 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
KR102504290B1 (ko) 2015-12-04 2023-02-28 삼성전자 주식회사 수소 플라스마 어닐링 처리 준비 방법, 수소 플라스마 어닐링 처리 방법, 및 수소 플라스마 어닐링 장치
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10002787B2 (en) 2016-11-23 2018-06-19 Lam Research Corporation Staircase encapsulation in 3D NAND fabrication
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
JP7169072B2 (ja) 2017-02-14 2022-11-10 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
CN115233183A (zh) 2017-05-16 2022-10-25 Asm Ip 控股有限公司 电介质上氧化物的选择性peald
US11244808B2 (en) 2017-05-26 2022-02-08 Applied Materials, Inc. Monopole antenna array source for semiconductor process equipment
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
TWI794238B (zh) * 2017-07-13 2023-03-01 荷蘭商Asm智慧財產控股公司 於單一加工腔室中自半導體膜移除氧化物及碳之裝置及方法
US10900120B2 (en) 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
KR102307233B1 (ko) * 2017-08-01 2021-09-30 어플라이드 머티어리얼스, 인코포레이티드 금속 산화물 후처리를 위한 방법들
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
KR102414617B1 (ko) 2017-08-17 2022-07-01 삼성전자주식회사 기판 처리 장치 및 이의 세정 방법
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10804109B2 (en) * 2017-10-03 2020-10-13 Mattson Technology, Inc. Surface treatment of silicon and carbon containing films by remote plasma with organic precursors
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11387111B2 (en) 2018-04-13 2022-07-12 Mattson Technology, Inc. Processing of workpieces with reactive species generated using alkyl halide
JP7146690B2 (ja) 2018-05-02 2022-10-04 エーエスエム アイピー ホールディング ビー.ブイ. 堆積および除去を使用した選択的層形成
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10840087B2 (en) 2018-07-20 2020-11-17 Lam Research Corporation Remote plasma based deposition of boron nitride, boron carbide, and boron carbonitride films
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
JP2020056104A (ja) 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
CN112313777A (zh) 2018-10-15 2021-02-02 玛特森技术公司 用于选择性亲水表面处理的臭氧
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR20230085953A (ko) 2018-10-19 2023-06-14 램 리써치 코포레이션 갭 충진 (gapfill) 을 위한 도핑되거나 도핑되지 않은 실리콘 카바이드 증착 및 원격 수소 플라즈마 노출
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US20200131634A1 (en) * 2018-10-26 2020-04-30 Asm Ip Holding B.V. High temperature coatings for a preclean and etch apparatus and related methods
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
CN112335017B (zh) 2018-11-16 2024-06-18 玛特森技术公司 腔室上光以通过减少化学成分改善刻蚀均匀性
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US10403492B1 (en) 2018-12-11 2019-09-03 Mattson Technology, Inc. Integration of materials removal and surface treatment in semiconductor device fabrication
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11965238B2 (en) 2019-04-12 2024-04-23 Asm Ip Holding B.V. Selective deposition of metal oxides on metal surfaces
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
WO2020264054A1 (en) * 2019-06-26 2020-12-30 Lam Research Corporation Chamber-accumulation extension via in-situ passivation
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11976357B2 (en) 2019-09-09 2024-05-07 Applied Materials, Inc. Methods for forming a protective coating on processing chamber surfaces or components
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11139163B2 (en) 2019-10-31 2021-10-05 Asm Ip Holding B.V. Selective deposition of SiOC thin films
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
CN111112236A (zh) * 2019-12-24 2020-05-08 苏州创瑞机电科技有限公司 介质阻挡放电等离子钝化装置及其钝化方法
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
CN111261554A (zh) * 2020-01-19 2020-06-09 长江存储科技有限责任公司 清洗装置及方法
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
TW202204658A (zh) 2020-03-30 2022-02-01 荷蘭商Asm Ip私人控股有限公司 在兩不同表面上同時選擇性沉積兩不同材料
TW202140833A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 相對於金屬表面在介電表面上之氧化矽的選擇性沉積
TW202140832A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氧化矽在金屬表面上之選擇性沉積
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
CN113422290B (zh) * 2021-08-24 2024-05-14 度亘激光技术(苏州)有限公司 半导体结构钝化方法及设备
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN115274923A (zh) * 2022-07-20 2022-11-01 无锡松煜科技有限公司 一种光伏N型TOPCon电池ALD钝化膜制造工艺

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05114582A (ja) * 1991-10-22 1993-05-07 Tokyo Electron Yamanashi Kk 真空処理装置
JP3417072B2 (ja) * 1994-08-15 2003-06-16 ソニー株式会社 半導体装置の製法
US5581156A (en) * 1995-07-31 1996-12-03 The United States Of America As Represented By The Secretary Of The Army HF sustained, DC discharge driven negative ion source with automatic control system
US6635569B1 (en) * 1998-04-20 2003-10-21 Tokyo Electron Limited Method of passivating and stabilizing a Ti-PECVD process chamber and combined Ti-PECVD/TiN-CVD processing method and apparatus
KR100767762B1 (ko) * 2000-01-18 2007-10-17 에이에스엠 저펜 가부시기가이샤 자가 세정을 위한 원격 플라즈마 소스를 구비한 cvd 반도체 공정장치
US6362110B1 (en) * 2000-03-30 2002-03-26 Lam Research Corporation Enhanced resist strip in a dielectric etcher using downstream plasma
US6440864B1 (en) * 2000-06-30 2002-08-27 Applied Materials Inc. Substrate cleaning process
US6893907B2 (en) * 2002-06-05 2005-05-17 Applied Materials, Inc. Fabrication of silicon-on-insulator structure using plasma immersion ion implantation
US6503840B2 (en) * 2001-05-02 2003-01-07 Lsi Logic Corporation Process for forming metal-filled openings in low dielectric constant dielectric material while inhibiting via poisoning
US20020182385A1 (en) * 2001-05-29 2002-12-05 Rensselaer Polytechnic Institute Atomic layer passivation
US20030029837A1 (en) * 2001-08-10 2003-02-13 Applied Materials, Inc. Dielectric etch plasma chamber utilizing a magnetic filter to optimize plasma characteristics
US20030183245A1 (en) * 2002-04-01 2003-10-02 Min-Shyan Sheu Surface silanization
US6843858B2 (en) * 2002-04-02 2005-01-18 Applied Materials, Inc. Method of cleaning a semiconductor processing chamber
US20030194495A1 (en) * 2002-04-11 2003-10-16 Applied Materials, Inc. Crosslink cyclo-siloxane compound with linear bridging group to form ultra low k dielectric
US6921556B2 (en) * 2002-04-12 2005-07-26 Asm Japan K.K. Method of film deposition using single-wafer-processing type CVD
US7588036B2 (en) * 2002-07-01 2009-09-15 Applied Materials, Inc. Chamber clean method using remote and in situ plasma cleaning systems
US20040018715A1 (en) * 2002-07-25 2004-01-29 Applied Materials, Inc. Method of cleaning a surface of a material layer
US6730164B2 (en) * 2002-08-28 2004-05-04 Micron Technology, Inc. Systems and methods for forming strontium- and/or barium-containing layers
WO2004064147A2 (en) * 2003-01-07 2004-07-29 Applied Materials, Inc. Integration of ald/cvd barriers with porous low k materials
JP4673290B2 (ja) * 2003-02-14 2011-04-20 アプライド マテリアルズ インコーポレイテッド 水素含有ラジカルによる未変性酸化物の洗浄
US7037376B2 (en) * 2003-04-11 2006-05-02 Applied Materials Inc. Backflush chamber clean
JP3816080B2 (ja) * 2004-02-20 2006-08-30 松下電器産業株式会社 プラズマ処理方法およびプラズマ処理装置
JP2005260060A (ja) * 2004-03-12 2005-09-22 Semiconductor Leading Edge Technologies Inc レジスト除去装置及びレジスト除去方法、並びにそれを用いて製造した半導体装置
US20060042752A1 (en) * 2004-08-30 2006-03-02 Rueger Neal R Plasma processing apparatuses and methods
US7314835B2 (en) * 2005-03-21 2008-01-01 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
JP4748581B2 (ja) * 2005-12-20 2011-08-17 株式会社アルバック 真空処理装置及び真空処理方法

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20160026264A (ko) * 2014-08-29 2016-03-09 세메스 주식회사 기판 처리 장치
KR20170138950A (ko) * 2016-06-08 2017-12-18 에이에스엠 아이피 홀딩 비.브이. 금속성 막들의 선택적 퇴적

Also Published As

Publication number Publication date
US20070190266A1 (en) 2007-08-16
JP2009526399A (ja) 2009-07-16
JP5260318B2 (ja) 2013-08-14
KR101364440B1 (ko) 2014-02-17
CN101379213A (zh) 2009-03-04
TW200744765A (en) 2007-12-16
TWI342241B (en) 2011-05-21
CN101379213B (zh) 2013-03-20
WO2007094961A3 (en) 2008-01-17
WO2007094961A2 (en) 2007-08-23
US7695567B2 (en) 2010-04-13

Similar Documents

Publication Publication Date Title
KR101364440B1 (ko) 플라즈마를 향한 벽의 수증기 패시베이션
US8580354B2 (en) Plasma treatment of substrates prior to deposition
KR101012098B1 (ko) 낮은 수소 압력을 이용하는 원거리 플라즈마 예비 세정
KR102158307B1 (ko) 플라즈마 프로세싱 챔버에서의 인-시튜 챔버 세정 효율 향상을 위한 플라즈마 처리 프로세스
KR100971045B1 (ko) 수증기 및 희석 가스를 이용하여 강화되는 수소 애슁 방법
US7238393B2 (en) Method of forming silicon carbide films
US6569257B1 (en) Method for cleaning a process chamber
JP3606588B2 (ja) 高アスペクト比を持つ珪素半導体デバイス接点を金属化する方法及び装置
JP4690308B2 (ja) 高温水素含有プラズマによるチャンバ及びウェーハ表面から物質を除去する方法及び装置
US6991739B2 (en) Method of photoresist removal in the presence of a dielectric layer having a low k-value
KR100770916B1 (ko) 반도체 장치의 제조 방법 및 반도체 장치의 제조 장치
CN111286719A (zh) 调节远程等离子源以获得具有可重复蚀刻与沉积率的增进性能
JP2000311889A (ja) プラズマエッチングを特長とする腐食防止表面のエッチング後の処理方法
JP4911936B2 (ja) プラズマアッシング方法
TWI781757B (zh) 用於移除含鋁薄膜之系統及方法
IL176591A (en) Method of imitation of a conductive material exposed to a passive feature
JP2009032920A (ja) プラズマエッチング方法、プラズマエッチング装置、および記憶媒体
EP1078389A1 (en) Reduction of metal oxide in dual frequency plasma etch chamber
US20100043821A1 (en) method of photoresist removal in the presence of a low-k dielectric layer
JP3887123B2 (ja) ドライエッチング方法
US6716765B1 (en) Plasma clean for a semiconductor thin film deposition chamber

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20161229

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20190207

Year of fee payment: 6