JP2009526399A - プラズマに面する壁の水蒸気不動態化 - Google Patents

プラズマに面する壁の水蒸気不動態化 Download PDF

Info

Publication number
JP2009526399A
JP2009526399A JP2008554270A JP2008554270A JP2009526399A JP 2009526399 A JP2009526399 A JP 2009526399A JP 2008554270 A JP2008554270 A JP 2008554270A JP 2008554270 A JP2008554270 A JP 2008554270A JP 2009526399 A JP2009526399 A JP 2009526399A
Authority
JP
Japan
Prior art keywords
plasma
gas
water vapor
hydrogen
passivation
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2008554270A
Other languages
English (en)
Other versions
JP5260318B2 (ja
Inventor
シャンユー フー,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2009526399A publication Critical patent/JP2009526399A/ja
Application granted granted Critical
Publication of JP5260318B2 publication Critical patent/JP5260318B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Physical Vapour Deposition (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Abstract

水素ラジカルでバイアホールにバリヤ層をコーティングする前に、低k誘電体の水素プラズマ洗浄に特に有用なチャンバ不動態化法が遠隔プラズマ源(60)から提供される。各ウエハについて、水素プラズマの点火前に遠隔プラズマ源を通過した水蒸気(86)(又はプラズマ対向壁上により多く化学吸収された他のガス)でチャンバが不動態化される。遠隔プラズマ源のアルミナや石英部分のような壁(78、79)上に水蒸気が吸収され、水素プラズマの生成で壁を保護するのに充分な長さを耐える保護単層を形成する。それによって、特にアルミナのような誘電体の、プラズマ対向壁がエッチングから保護される
【選択図】 図2

Description

発明の分野
本発明は、一般的には、集積回路の製造方法におけるプラズマ洗浄に関する。特に、本発明は、誘電体層のパターン形成エッチングと堆積の間で行われるプラズマ洗浄に関する。
先端的集積回路、例えば、45nmノードに企図されるものは、二つのレベルの配線に相互接続する中間誘電体層のための特別な低k誘電率(電気的絶縁)物質の使用を必要とする。3.9(二酸化ケイ素の値)より多少低い誘電率を持つ低k誘電率物質は、既に量産に入っている。しかしながら、より低い誘電率、例えば2.5未満が将来必要とされるであろう。この物質の一例は、カリフォルニア州サンタクララのAppliedMaterialsから市販されているBlack DiamondTMII(BDII)誘電体である。Liが米国特許出願第2003/0194495号に記載されているこの誘電物質は、10at%を超える炭素割合を持つ炭素でドープされた酸化シリコン(オキシ炭化シリコンとも呼ばれる)として確認することができる。改良には、UV硬化され且つ30%の多孔率を持つのがよいBDIIx誘電体と、電子で硬化されるBDIIebeam誘電体が含まれる。他の炭素含有低k誘電体も既知であり、DowChemicalから入手できるSilk(登録商標)やCyclotene(登録商標)(ベンゾシクロブテン)誘電物質が挙げられる。これらの物質の多くは、有機誘導体又はポリマー誘電体として確認される。
中間相互接続の構成において原型的な構造を、図1の断面図に示す。下の誘電体層10は、その表面に形成された導電性特徴部12を含む。先端的中間接続部のための導電性特徴部12は、典型的には、銅から構成されるが、同様の形は、シリコン基板の活性半導体領域と接触させることにもあてはまる。超低k誘電物質の上の誘電体層14は、下の誘電体層10と導電性特徴部の上に堆積される。ホール16は、フォトリソグラフィー的に画成され、上の誘電体層14を通って導電性特徴部14までエッチングされる。銅メタライゼーションに用いられる典型的なデュアルダマシンについては、ホール16は、導電性特徴部12への縦の接続部を形成する狭い下のバイアと集積回路の異なる部分の間に水平の接続部を形成する幅の広い上のトレンチから構成される。デュアルダマシン構造については、導電性特徴部12は、より下のレベルの誘電体10に形成される銅充填トレンチの一部であってもよい。ホールをエッチングした後、例えば、Ta/TaNの薄いほぼ等角のバリヤ層が、典型的には、ホール16の側面にだけでなく上の誘電体層14のフィールド領域の上にマグネトロンスパッタすることによって被覆される。その後、薄いほぼ等角の銅シード層が、典型的にはマグネトロンスパッタすることによってバリヤ層の上に堆積される。その後、銅が、ホール16へフィールド領域の上に電気めっきされる。最後に、化学機械的研磨(CMP)を用いてホール16の外側の銅を除去する。
フォトレジストアッシング後でさえ、フォトリソグラフィーエッチングステップによって、有利には高度異方性エッチングを達成するために用いられるが、エッチングの停止後も保たれる、ホール16の側面上に炭素又はフッ化炭素ポリマー層18が残る。また、エッチング化学の副生成物の炭素とシリコンと窒素の組み合わせであってもよい、トレンチの底部にエッチング残渣20が残ることがある。更に、導電性特徴部12のさらされた銅は、酸化銅に酸化したと思われる。なお更に、アッシング残渣22は、ホール16のふちに形成する傾向がある。ホール16の底部のエッチング残渣20と酸化銅は、メタライゼーション堆積前にバリヤ堆積の前に除去されない場合には、接触抵抗を増大させる。ポリマーコーティング18とアッシング残渣22は、バリヤ層16と誘電体層14との結合を妨害するので、バリヤ層と銅バイア構造が製造の間又は動作の間に剥離することがあり、その結果かなりの信頼性の問題が生じる。従って、バリヤ堆積が始まる前に残渣18、20、22と酸化銅を取り除くことは大いに望ましいことである。
従来のシリカ誘電体において、パターン形成ウエハをスパッタエッチングして残渣を除去することによってエッチングステップと堆積ステップの間にウエハを乾式洗浄することは共通している。このようなスパッタエッチングは、典型的には、高エネルギーイオンを必要とし、これは、比較的硬質であるシリカ誘電体層にほとんど影響を与えない。しかしながら、低k誘電体層は、比較的軟質である傾向がある。それ故、スパッタエッチングは、不利にエッチングするとともに低k誘電体層を劣化する傾向がある。ウエハに隣接した洗浄チャンバ内に生成される酸素プラズマ、即ち、インサイチュプラズマを用いてより軟質の化学エッチングを行うことができる。この洗浄プロセスは、約3.7の誘電率kを持ち且つ多孔性でない初期の低k誘電率の形に満足なものであった。しかしながら、インサイチュ酸素プラズマは、約2.5のk値を持ち且つ10%を超える多孔率を持つ最も最近の超低k膜に満足なものではない。酸素プラズマは、プラズマにさらされたフローティングボディ上に生じる負の自己バイアスに引き付けられる高割合の酸素原子を含むと考えられる。その後、酸素イオンは、損傷を与えるのに十分なエネルギーで超低k膜に衝突する。従って、Woodらの米国特許出願公開第2004/0219789号に開示されているように、遠隔プラズマ源(RPS)から生成される酸素プラズマでパターン形成されたウエハを洗浄するという実施が開発された。遠隔で生成された酸素プラズマは、電気的に中性なラジカルが強調されるが、プラズマが処理空間に達したときに依然としてイオンのままであり、インサイチュプラズマは、処理空間内で又は処理空間近くで生成される荷電したイオンが強調される。遠隔で生成された酸素プラズマは、酸化するとともに種々の残渣と化学的に反応させてそれらを除去する、多くの中性酸素ラジカルと低エネルギー酸素ラジカルをウエハに突出させる。
しかしながら、励起された酸素は、超低k誘電物質に満足なものではない。誘電率の低下は、誘電物質における高多孔性によってしばしば得られる。BDIIの誘電体層は、10%を超える、30%を超えるものさえ多孔性を持つのがよい。それ故、非常に軟質であるだけでなく、酸化乾式洗浄にも非常に反応性である。更に、誘電体に組み込まれた酸素は、シリコンと炭素の結合よりより分極可能な結合を生じる、即ち、誘電率を高める傾向がある。その結果、還元化学に基づく乾式洗浄は、例えば、NH(Kropewnickiらの米国特許第6440,864号を参照のこと)又は比較的高いH圧の遠隔で生成されるプラズマを用いて開発された。水素方法が主流であるが、結果は全体としてはまだ満足なものではなかった。水素プラズマの中のごく少量の水蒸気でさえも、多孔性の低k誘電率膜の疎水特性を著しく減少させ、それによって誘電率が増加する傾向がある。純粋な水素プラズマでさえも、低k物質を劣化する傾向がある。更に、適度なエッチング速度でさえも、チャンバ圧の増加によって達成されるが、高圧を続けるために電源の容量が必要である。また、より高い水素圧で、イオン化され且つ洗浄チャンバへ漏れる遠隔プラズマ源からの水素の割合が増加する。水素イオンは、ウエハにエネルギー的に引き付けられる傾向があり、多孔性低k物質を損傷すると我々は考える。
我々の2006年1月17日出願の米国特許出願第11/334,803号には、純粋な水素ガスか又は水素ガスとヘリウムガスの混合物の遠隔で生成されたプラズマを用いて多孔性低k誘電体の前洗浄方法が記載され、この開示内容は本明細書に援用されている。プラズマは、遠隔プラズマ源で生成され、イオンは、ソース出力からろ過されるので水素ラジカルのみがウエハに達する。チャンバ洗浄のための遠隔で生成されたラジカルの使用とこのような遠隔プラズマ源の一例は、Chenらの“Advancesin RemotePlasma SourcesFor Cleaning300 mmand FlatPanel CVDSystems,”Semiconductor Magazine, 2003年8月, 6ppに記載されている。洗浄性能は、例えば、純粋な水素については150ミリトール未満、好ましくは30ミリトール未満の水素の低分圧で作動させることによって改善される。洗浄性能が全く良好であることが見出されたが、高価な遠隔プラズマ源は故障する前に寿命が短かった。水素プラズマがプラズマ源のアルミナ壁を攻撃し且つエッチングすると考えられる。アルミナ壁と化学的に類似のアルミナ壁のような陽極酸化アルミニウム壁が、水素プラズマ内で劣化することが見出される。アルミナ壁を石英壁に置き換えると、ある程度まで寿命が長くなる。しかしながら、石英内張りプラズマ源は、より高価でさえあり、石英が水素プラズマ内で劣化することが見出された。同様のエッチング作用も、プラズマ源とイオンフィルタの間のアルミナライナ内で見出された。
発明の概要
プラズマ処理チャンバを、処理ガスのプラズマの点火前に励起されていない水蒸気、例えば、エッチングガス又は洗浄ガス、特に水素のように還元するもので不動態化する。不動態化は、好ましくは各基板処理サイクルのために行われる。
本発明は、水素又は水素とヘリウムの混合物がプラズマに遠隔で生成され、水素ラジカルの励起されたガスを供給するようにイオンがろ過される、誘電体壁による遠隔プラズマ源を持つプラズマ前洗浄チャンバに特に有用である。このような水蒸気不動態化が組込まれた洗浄プロセスは、多孔性で軟質の低k誘電体を効果的に洗浄する。
マスフローコントローラから下流に約1トール圧の水蒸気は、室温で液体の水から自然に生成され、20トール以下の圧力に真空ポンプで排気される。同一の蒸気源は、非常に低い圧力、例えば、1ミリトール未満の分圧で水蒸気を供給するために用いることができる。
好適な実施形態の詳細な説明
引用された特許出願第11/334,803号に記載された水素前洗浄プロセスは、有利には、多孔性低k誘電体の誘電率の劣化を避けるように洗浄プラズマの水成分を不要にする。しかしながら、ここで、水蒸気を含む従来のプラズマはアルミナと他の誘電体壁にある保護を与えると考えられる。引用された特許出願のプラズマ前洗浄プロセスは、好ましくは、水素を含有するが水を含有しないプラズマの点火前に、遠隔プラズマ源と他のプラズマ対向壁をプラズマに励起されていない水蒸気で不動態化することによって改善され得る。
図2の断面図に示された遠隔プラズマ洗浄チャンバ30は、ヒンジの周りで開放されてもよいリッド34を含む真空プロセスチャンバ32を含み、真空ポンプシステム36によって排気される。チャンバ32内のペデスタル38は、多数のアパーチャ44を通ってプロセスガスを供給するガスシャワーヘッド41の反対側で洗浄すべきウエハ40を支持する。ペデスタル38は、所望のエッチング又は前洗浄温度までウエハ40の温度を上げるヒータ電源48から電流で選択的に供給される抵抗ヒータ46を含む。
前洗浄のためのプロセスガスは、水素ガス源50からマスフローコントローラ52を通って選択的に供給される純粋な水素ガス(H)か、又はヘリウムガス源54から他のマスフローコントローラ56を通って選択的に供給される水素とヘリウム(He)の混合物である。所望のヘリウム割合の単一H/Heガス源は、置き換えられてもよい。リッド34上に取り付けられる遠隔プラズマ源(RPS)60は、供給ライン62からプロセスガスを受け取り、プラズマに励起させる。遠隔プラズマ源60は、種々の種類であってもよい。図3に概略図で示した例示的なRF誘導遠隔プラズマ源60は、周りに誘導コイル68が巻かれた誘電体管66を含む。RF電源70は、コイルを電気的に出力させ、管66に流れるガスをプラズマに励起させるように管64の穴へRFエネルギーを誘導結合させる。本発明において、水素ガスHは、荷電した水素イオンHと中性水素ラジカルHを含むプラズマに励起させる。先端的プラズマ源は、例えば、トロイダル励起管によって、より複雑になる傾向があり、他の種類のプラズマ発生器も可能である。励起されたガスは、シャワヘッド42の後ろのガスマニホールド74に供給管72を通って分配される。
図2に戻ると、遠隔プラズマ源は、真空チャンバ32の上流である。イオンフィルタは、いかなる水素イオンHも除去するように遠隔プラズマ源60とマニホールド74の間の通路に沿って配置されるので、中性水素ラジカルHだけがウエハ40に達する。イオンフィルタは、管の内部全体に磁界Bを突出させて荷電した水素イオンをそらすか又は捕捉するように供給管72全体の反対側に配置された磁石76、77を含むのがよい。取り外し可能な誘電体管ライナ78は、供給管72の内部に置かれてもよく、誘電チャンバライナ79は、マニホールド74の壁を覆ってもよく、それらを保護するとともに水素ラジカルの再結合を減少させる。一実施形態において、管ライナ78は、アルミナ(Al)から構成され、マニホールドライナ79とシャワヘッド42は、石英(SiO)から構成される。従って、励起されたガスは、シャワーヘッド40を通って洗浄されるウエハ40に一様に分配される。
本発明のこの実施形態において、液体の水のプール82を含有する真空密封したアンプル80がチャンバリッド34に取り付けられ、マスフローコントローラ84がアンプル80から遠隔プラズマ源60への水蒸気を測定する。室温での水の蒸気圧は約20トールであり、遠隔プラズマ源60が作動させる通常の真空レベルより充分に高い。従って、アンプル80が逆にポンプで排気されると、圧力が約20トールの水蒸気は、アンプル80内の液体の水のプール82の上のヘッドスペース86に存在する。アンプル80は、チャンバリッド34のすぐ上に取り付けられ、水蒸気が凝結しやすい壁上で管類の長さを最短にし、ガス源50、54とそれらのマスフローコントローラ52、56は、典型的には、チャンバ30とその遠隔プラズマ源までのいくぶん長い管88で遠隔ガスパネルに取り付けられている。液体の水の単一電荷は、5sccmの水蒸気の8秒間の例示的手法が0.66ccの大気圧水蒸気、従って、約0.54×10-3ccの液体の水になる所見と一致する100,000ウエハを超えるサイクル続くことが見出された。しかし、水位センサは、有利には、水アンプル80内に含まれる。
水蒸気供給システムのより完全な実施形態を、図4に概略図で示す。第一遮断弁90は、マスフローコントローラ84を水アンプル80から分離し、第二遮断弁92は、マスフローコントローラ84を遠隔プラズマ源60への供給ライン62から分離する。更に、マスフローコントローラ84の周りのバイパスライン94は、第三遮断弁を含む。遮断弁は、水アンプル80を逆にポンプで排気するのに、チャンバメンテナンスの間分離するのに、また、管から水の凝縮物を除去するのに有用である。
図2に示されるように、記録可能ミディアム102を受けるコンピュータ管理されたコントローラ100は、ポンプシステム36、ヒータ電源48、遠隔プラズマ源60、ガスマスフローコントローラ52、56、84を制御する。フロッピーディスク又はCDのような磁気ディスク又は光ディスクであってもよい記録可能ミディアム102は、コントローラ100が、チャンバ30内の不動態化と前洗浄の動作の順序だけでなくチャンバ30内外のウエハの搬送と必要とされる遮断弁90、92、96の動作を制御するプロセス手法を含有する。
本発明の態様によれば、少量の水蒸気が、プラズマの点火前に、遠隔プラズマ源60に、従って、チャンバ30にパルスされる。水蒸気は、すべての壁に薄い水のコーティングを形成する。水蒸気の噴射が停止し、チャンバがトール未満の範囲で操作圧力までポンプで排気された後、水のコーティングが大部分蒸発する。しかしながら、特に金属又はアルミナのような金属酸化物へ又は石英への化学吸収が、極めて薄い水層を壁上に形成させる。Redheadの“Modelingthe pump-down of areversible adsorbed phase. I.Monolayer andsubmonolayer initial cover” Journal ofVacuum Scienceond TechnologyA, Vol.13(2), 1995, pp.467-475には、1ミリトール未満の水蒸気圧で膜が単層の水によって形成されることが開示されている。O-H結合が金属酸化物又は金属の未変性酸化物上に形成されると考えられる。Haasらの“Thechemistry of water on aluminasurfaces: Reaction dynamics from firstprinciples,”Science, Vol.283,1998年10月9日, pp. 265-268には、水分子がO-H結合をアルミナ表面に形成されることが開示されている。O-H結合によってイオン水素がプラズマ対向壁から酸素か又はアルミナ中のアルミニウムのような金属を除去することを防止すると考えられる。最終的には、真空ポンプで排気して脱着し、水の単層を除去する。しかしながら、我々の所見は、水蒸気不動態化がウエハのサイクル毎に行われる場合には、プロセスのプラズマ段階を通して保護が続けられるというものである。
プラズマが従来の技術で実施されるように水成分を含有する場合には、同じ保護メカニズムが適用される。しかしながら、水のプラズマは、低k誘電体に悪影響を及ぼす。水蒸気による不動態化は、ウエハ上に一部の水を堆積させるが、前洗浄は、通常、20トールの水蒸気を持つクリーンルームの雰囲気から直近に挿入されたウエハで行われるので、一部の水コーティングは不可避であり、典型的には不動態化の間チャンバにパルスされる1トール未満の水蒸気と比較すべきである。更に、標準前洗浄プロセスは、ウエハを300℃を超えるまで加熱する。水蒸気のパルス後にだけ加熱が開始する場合には、また、プラズマ点火が、加熱が完了した後数秒間遅れる場合には、プラズマ又は水素ラジカルの存在下ウエハ上にほとんど水が残っていない。
図3に示した流れ図は、ウエハサイクル毎に行われるプラズマ洗浄プロセスである。本発明はマルチウエハバッチチャンバ内で実施され得るが、好ましい洗浄プロセスは、図2に示されるような単一ウエハチャンバ内で行われる。ポンプアップステップ110において、チャンバ圧は、あまり制御されず、たいてい6.5トール未満に保たれる。このステップ110の一部で、中央の搬送チャンバから前洗浄チャンバ30を分離するスリットバルブが開放されて、ロボットブレードがチャンバ内で既に前洗浄されたウエハを取り出すとともに処理されていないウエハに置き換える。好ましくは、スリットバルブを閉鎖した後、多量の水素とヘリウム、例えば、それぞれ2000sccmをチャンバに流して、パージする。ポンプアップステップ110の終わりに、好ましくは、スリットバルブを閉鎖した後、水蒸気不動態化が行われる。例えば、5sccmの水蒸気が8秒間チャンバに流される。多量の水素とヘリウムにより、1トールのチャンバ圧が約1ミリトールの水蒸気の分圧になるので、10ミリトール未満の水蒸気の分圧が明らかに効果的であることに留意されたい。遠隔プラズマ源が作動しないので、パージガスも水蒸気もプラズマに励起されず、励起されないガスとして遠隔プラズマ源を通ってチャンバに流れる。
加熱ステップ112において、ペデスタルの上に載っている処理されていないウエハは、動作中ペデスタル内に維持される温度まで、例えば、250〜350℃に加熱する。ヒータ電源をオンにして、所定の温度、例えば、350℃にペデスタルを加熱する。加熱ステップ112の間、水蒸気の供給は中断され、プロセスサイクルの休止の間は再開されない。水素流は続けられるが、ヘリウムの供給は停止する。チャンバ圧力は、加熱とチャンバの温度平衡を促進させるために比較的高い6.5トールに維持される。プラズマ点火の準備のアルゴンランプステップにおいて、かなりの量のアルゴン、例えば、1000sccmが、多量の水素の連続する供給と共にチャンバに供給される。チャンバ圧は、6.5トールで高いままである。ポンプダウンステップ116において、プラズマ点火の準備にチャンバ圧が1トールに低下させる。同量のアルゴンが少量の水素と共に供給され、ヘリウムが洗浄に用いられる場合には、所望によりヘリウムと共に供給されてもよい。点火ステップ118において、最後に、遠隔プラズマ源へRFの供給をオンにして、ガス、ここでは主としてアルゴンをプラズマに点火する。転移ステップ120において、チャンバをプラズマ前洗浄のために好ましいチャンバ圧にポンプダウンし、水素とおそらくヘリウムの洗浄量を供給し、アルゴンの供給を部分的に減少させる。
プラズマ点火の時間によって、水の単一層だけが壁とウエハを被覆することが予想される。水蒸気の噴射の停止後のチャンバ内の水蒸気の分圧を示す図6の図によって示されるように、過剰量の水蒸気が急速に排出され、水蒸気の分圧が3×10-6トール未満に低下する。極めて低い水の分圧が、軟質低k誘電体からのフォトレジストと他の残渣の洗浄に関与するエッチング化学による最小限の妨害を確実にする。しかしながら、チャンバ壁上に残っている一時的な水の単層は、水素プラズマに対する保護をプラズマ対向壁に備えるのに充分であるように思われる。
図5に戻ると、プラズマエッチングステップ122において、ウエハは、ヘリウムを所望により含んでもよい水素の還元化学によるプラズマによって前洗浄される。アルゴンは、プラズマを維持するのに必要としない。二つの最適化された前洗浄手法が開発された。第一は、わずか400sccmの水素を供給した60ミリトールチャンバ雰囲気内で30秒のエッチングを含む。第二は、400sccmの水素と1200sccmのヘリウムを供給した350ミリトールチャンバ雰囲気内で30秒のエッチングを含む。他のエッチングパラメータが開発されてもよい。しかしながら、水蒸気不動態化は、エッチングプラズマが還元化学、特に水素ラジカル化学であり、ほとんど水又は酸素のような酸化物質を含まない場合に、特に有用であると思われる。プラズマエッチングステップ122は、ウエハの前洗浄を完了し、遠隔プラズマ源は、ステップ122の終わりにオフにされる。その後、動作は、他のウエハで同じプロセスを行うためにステップ110に戻る。
不動態化の多くの効果が、加熱ステップ又はおそらくアルゴンランプステップ114又はポンプダウンステップ116において、しかし、点火ステップ118の前に、遠隔プラズマ源に励起されていない水蒸気を供給することによって得られることは明らかである。HOがポンプアップステップ110で形成される限り、以下のステップ112、114、116の流れを続けてもほとんど効果がないことが認められた。
水蒸気不動態化は、前洗浄ステップの性能を高めることが認められた。図7の棒グラフに示されるように、フォトレジストエッチング速度は、不動態化しない約120nm/分から水素プラズマの点火前に水蒸気不動態化した約200nm/分に増加することが認められた。更に、洗浄に対する選択性が、約30から約90に増大することが認められ、ここで、選択性は、フォトレジストエッチング速度と洗浄される超低k誘電体のエッチング速度の比として定義される。
不動態化は、遠隔プラズマ源の寿命を長くすることが認められた。不動態化しない洗浄プロセスは完全に移動し、フォトレジストエッチング速度は、チャンバが遠隔プラズマ源の動作の合計900分で1800以下のウエハを処理した後に最初の値の30%より低下する。水蒸気プロセスにおいて、洗浄プロセスは、今まで試験したウエハの数に、特に遠隔プラズマ源の動作の5000分に対応する10,000ウエハに劣化がない。
いかなる不動態化も含まない処理ウエハの他の連続の試験において、パーティクル加算器の数は、ウエハに対して0.12μmにわたって200加算器まで増大することが認められた。その後、同じチャンバと遠隔プラズマ源において試験を続けた。加算器の数はすぐに30未満に減少し、20の追加ウエハのうち10未満に減少し続けた。
水蒸気は、既に同様のチャンバで用いていることとコストが低いことと交換が容易であることから、本発明において有利に用いられる。しかしながら、ガスは、壁面、特にアルミナ面で化学吸収がより大きい水に置き換えることができる。このようなガスの例は、CH、CO、COであり、例えば、ガスパネルに取り付けられたそれぞれのガスタンクから供給されるのがよい。不動態化に用いられる場合、これらのガスは、プラズマに励起されず、遠隔プラズマ源を通って又はそれらの励起されていないガス状態でチャンバに供給される。
本発明は、遠隔プラズマ源の使用寿命を延長するのに特に有用である。しかしながら、誘電体にしても金属にしても、プラズマ又はそこから誘導されるラジカルにさらされた、分配管、シャワーヘッド、壁を持つプラズマリアクタの他のパーツを不動態化するのにも役に立つ。
前洗浄ガスの点火の前の遠隔プラズマ源の不動態化に関して本発明を記載してきたが、本発明はそのように限定されない。遠隔プラズマ源は、フォトレジストのバルクを除去する主なアッシングステップに用いられてもよい。また、他の種類のプラズマエッチングに用いられるチャンバ、より詳しくは還元化学を用いるエッチングは、遠隔プラズマを用いるにしてもインサイチュプラズマを用いるにしても本発明の使用から利益を得ることができる。
本発明は、シリコンウエハの処理に限定されず、ガラスや他の誘電体パネルのような他の種類の基板を処理するのに用いることができる。
例えば、金属又は非金属を含む異なる種類の基板上の腐食生成物の化学還元に、中性ラジカルとしても荷電イオンとしても、水素原子が有用である。例えば、歴史的や考古学的なアーチファクトの金属表面は、水素原子のビームで洗浄することができる。水素原子は、半導体産業に用いられる遠隔プラズマ源と同様にプラズマ発生器でしばしば製造される。同様の水素プラズマ発生器は、水素レーザの供給源として用いられる。これまで、一部には水素プラズマの連続する存在でプラズマ発生器の寿命が短いために、装置にコストがかかっていた。本発明は、ガス状水素を作動した又は活性化したプラズマ発生器にガス状水素を供給することとプラズマ発生器と下流の分配システムのプラズマ対向壁を一時的に不動態化するために作動していないプラズマ発生器に水蒸気を供給することを交互にすることによって、このような水素プラズ発生器に容易に適用することができる。上記データによって示されるように、不動態化の時間は、プラズマ生成時間よりかなり短くてもよいので、総洗浄処理能力は不利に影響しない。プラズマ発生器の出力ビームは、洗浄ステップと不動態化ステップの双方の間、基板に送られるのがよいが、一部の適用は、水蒸気が処理される基板から離れて又は水素プラズマの最終使用者から離れて進む場合には、利益を得ることができる。
従って、本発明は、処理能力や複雑性やシステムとその動作のコストにほとんど影響せずに洗浄プロセスを改善すると共にチャンバパーツや要素の寿命を長くする。
図1は、中間相互接続構造又はバイアの断面図である。 図2は、本発明において使用できる遠隔プラズマ源を用いた洗浄チャンバの断面図である。 図3は、遠隔プラズマ源の断面概略図である。 図4は、水蒸気供給システムのより詳細な配管図である。 図5は、水蒸気不動態化と遠隔水素プラズマ洗浄のためのプロセス流れ図である。 図6は、水蒸気噴射の停止後の真空チャンバの水蒸気分圧の低下を示すタイミング図である。 図7は、水蒸気不動態化の使用による洗浄性能の改善を示す棒グラフである。
符号の説明
10…下の誘電体層、12…導電性特徴部、14…上の誘電体層、16…ホール、18…ポリマー層、20…エッチング残渣、22…アッシング残渣、30…遠隔プラズマ洗浄チャンバ、32…真空処理チャンバ、34…リッド、36…真空ポンプシステム、38…ペデスタル、40…ウエハ、41…ガスシャワーヘッド、44…アパーチャ、46…抵抗ヒータ、48…ヒータ電源、50…水素ガス源、52…マスフローコントローラ、54…ガス源、56…マスフローコントローラ、60…遠隔プラズマ源、62…供給ライン、66…誘電体管、68…誘導コイル、70…RF電源、72…供給管、74…ガスマニホールド、76…磁石、77…磁石、78…ライナ、79…ライナ、80…真空密封アンプル、82…プール、84…マスフローコントローラ、86…ヘッドスペース、88…管、90…第一遮断弁、92…第二遮断弁、94…バイパスライン、96…第三遮断弁、100…コントローラ、102…記録可能ミディアム。

Claims (22)

  1. プラズマ処理チャンバ内で基板を処理するための不動態化方法であって:
    該処理チャンバに不動態化ガスを、励起されていない状態で噴射するステップであって、該不動態化ガスが、少なくとも該処理チャンバの壁上に著しく化学吸収される程度の水蒸気である、前記ステップと;
    その後、該処理チャンバ内の該基板を処理ガスのプラズマ内で処理するステップと;
    を含む、前記方法。
  2. 該不動態化ガスが、水蒸気である、請求項1に記載の不動態化方法。
  3. 該不動態化ガスが、CH、CO、及びCOからなる群より選ばれる、請求項1に記載の不動態化方法。
  4. 該プラズマが、還元プラズマである、請求項1〜3のいずれか1項に記載の不動態化方法。
  5. 該処理ガスが、水素を含有する、請求項1〜3のいずれか1項に記載の不動態化方法。
  6. 該処理ガスが、(1)水素及び(2)水素とヘリウムからなる群より選ばれる、請求項1〜3のいずれか1項に記載の不動態化方法。
  7. 該処理チャンバが、該処理チャンバの内部に接続された出力管を持つ遠隔プラズマ源を含み、該水蒸気と処理ガスが、該遠隔プラズマ源に噴射され、ここで、該遠隔プラズマ源が、該噴射ステップでほとんど活性化されず、該処理ステップで活性化されて、該処理ガスを該プラズマに励起させる、請求項6に記載の不動態化方法。
  8. 前記処理チャンバが、更に、該遠隔プラズマ源と該不動態化ガスと該処理ガスが流れる該チャンバとの間に配置されたイオンフィルタを含む、請求項6に記載の不動態化方法。
  9. 該処理チャンバが、該処理チャンバの内部に接続された出力を持つ遠隔プラズマ源を含み、ここで、該水蒸気と処理ガスが該リモートプラズマ源に噴射され、該遠隔プラズマ源が該噴射ステップでほとんど活性化されず、該処理ステップで活性化されて、該処理ガスを該プラズマに励起させる、請求項2に記載の不動態化方法。
  10. 該処理ステップが、誘電体層を洗浄する、請求項1〜3のいずれか1項に記載の不動態化方法。
  11. 該処理ステップが、該誘電体層から残渣を除去する、請求項10に記載の不動態化方法。
  12. 該誘電体層が、多孔性であり、誘電率3.9未満を持つ、請求項10に記載の不動態化方法。
  13. 該噴射ステップが終わった後、該プラズマが励起される前に、該処理チャンバをポンプで排気して、該チャンバから水蒸気を除去するステップを更に含む、請求項1〜3のいずれか1項に記載の不動態化方法。
  14. 複数の連続処理基板のそれぞれに行われるステップを含む、プラズマ処理方法であって:
    プラズマ処理チャンバに基板を挿入するステップであって、該基板を支持するためのペデスタルと、該ペデスタルに対向したガスシャワーヘッドと、該シャワーヘッドの後ろのマニホールドにその出力を接続している供給管を持つ遠隔プラズマ源と、を含む、前記ステップと;
    水蒸気を該遠隔プラズマ源に通過させるが該水蒸気を効果的なプラズマに励起させないステップと;
    その後、還元する処理ガスを該遠隔プラズマ源に通過させるとともにプラズマに励起させるステップと;
    その後、該プラズマを消滅させるとともに該基板を該プラズマ処理チャンバから取り出すステップと;
    を含む、前記方法。
  15. 該還元する処理ガスが、水素を含み、ほとんど水蒸気も酸素も含まない、請求項14に記載の方法。
  16. 該基板が、それを通ってエッチングされたホールを持つ誘電体層を含む、請求項14に記載の方法。
  17. 該誘電体層が、3.9未満の誘電率を持つ、請求項16に記載の方法。
  18. 不動態化と処理の方法であって:
    励起されていない水蒸気を処理すべき基板を含有する真空処理チャンバに噴射するステップと;
    その後、該真空処理チャンバをポンプで排気して、そこから該水蒸気のかなりの量を除去するステップと;
    その後、処理ガスを、該真空処理チャンバ内で該基板を処理するためのプラズマに励起させるステップと;
    を含む、前記方法。
  19. 該基板が、3.9未満の誘電率を持つ誘電体層を含有し、該処理ガスが、水素を含み、水と酸素をほとんど含まず、水素イオンが、該基板の上流で該プラズマからろ過される、請求項18記載の方法。
  20. 該水蒸気と該処理ガスを遠隔プラズマ源に流し、その出力を該プラズマ処理チャンバに分配し、ここで、該遠隔プラズマ源が、該噴射ステップでほとんど活性化されず、該励起ステップで活性化される、請求項18又は19に記載の方法。
  21. 下記ステップの順序を繰り返す、水素プラズマ源を作動させる方法であって、
    水蒸気をプラズマ発生器に通過させ、該発生器が活性化されない、第一ステップと;
    水素ガスを該プラズマ発生器に通過させ、該発生器が活性化される、第二ステップと;
    を含む、前記方法。
  22. 該第一ステップの時間が、該第二ステップの時間よりかなり短い、請求項21に記載の方法。
JP2008554270A 2006-02-10 2007-01-30 プラズマに面する壁の水蒸気不動態化 Expired - Fee Related JP5260318B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/351,676 US7695567B2 (en) 2006-02-10 2006-02-10 Water vapor passivation of a wall facing a plasma
US11/351,676 2006-02-10
PCT/US2007/002546 WO2007094961A2 (en) 2006-02-10 2007-01-30 Water vapor passivation of a wall facing a plasma

Publications (2)

Publication Number Publication Date
JP2009526399A true JP2009526399A (ja) 2009-07-16
JP5260318B2 JP5260318B2 (ja) 2013-08-14

Family

ID=38368893

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008554270A Expired - Fee Related JP5260318B2 (ja) 2006-02-10 2007-01-30 プラズマに面する壁の水蒸気不動態化

Country Status (6)

Country Link
US (1) US7695567B2 (ja)
JP (1) JP5260318B2 (ja)
KR (1) KR101364440B1 (ja)
CN (1) CN101379213B (ja)
TW (1) TWI342241B (ja)
WO (1) WO2007094961A2 (ja)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012018920A (ja) * 2010-06-11 2012-01-26 Semiconductor Energy Lab Co Ltd イオンドーピング装置およびイオンドーピング装置の低塵化方法
KR20150036086A (ko) * 2012-07-16 2015-04-07 맷슨 테크놀로지, 인크. 순수 환원성 플라즈마에서 높은 종횡비 포토레지스트 제거를 위한 방법
CN104752271A (zh) * 2013-12-27 2015-07-01 株式会社日立国际电气 衬底处理装置以及半导体装置的制造方法
JP2020536385A (ja) * 2017-10-03 2020-12-10 マトソン テクノロジー インコーポレイテッドMattson Technology, Inc. 有機ラジカルを使用した炭素含有膜の表面処理
WO2020264054A1 (en) * 2019-06-26 2020-12-30 Lam Research Corporation Chamber-accumulation extension via in-situ passivation

Families Citing this family (277)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101127294B1 (ko) 2003-02-14 2012-03-30 어플라이드 머티어리얼스, 인코포레이티드 수소-함유 라디칼을 이용한 자연 산화물 세정
US20080078325A1 (en) * 2006-09-29 2008-04-03 Tokyo Electron Limited Processing system containing a hot filament hydrogen radical source for integrated substrate processing
US20080081464A1 (en) * 2006-09-29 2008-04-03 Tokyo Electron Limited Method of integrated substrated processing using a hot filament hydrogen radical souce
US7807579B2 (en) 2007-04-19 2010-10-05 Applied Materials, Inc. Hydrogen ashing enhanced with water vapor and diluent gas
US8021514B2 (en) * 2007-07-11 2011-09-20 Applied Materials, Inc. Remote plasma source for pre-treatment of substrates prior to deposition
US20100258510A1 (en) * 2009-04-10 2010-10-14 Applied Materials, Inc. Methods and apparatus for treating effluent
US8193075B2 (en) * 2009-04-20 2012-06-05 Applied Materials, Inc. Remote hydrogen plasma with ion filter for terminating silicon dangling bonds
US20100270262A1 (en) * 2009-04-22 2010-10-28 Applied Materials, Inc. Etching low-k dielectric or removing resist with a filtered ionized gas
US20100317198A1 (en) * 2009-06-12 2010-12-16 Novellus Systems, Inc. Remote plasma processing of interface surfaces
US20120171852A1 (en) * 2009-09-04 2012-07-05 Applied Materials, Inc Remote hydrogen plasma source of silicon containing film deposition
TWI563582B (en) 2010-06-03 2016-12-21 Novellus Systems Inc Method of improving film non-uniformity and throughput
CN102376636B (zh) * 2010-08-24 2014-04-02 中芯国际集成电路制造(上海)有限公司 形成接触孔的方法
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
CN102437012A (zh) * 2011-08-04 2012-05-02 上海华力微电子有限公司 一种改进的干法刻蚀腔体
JP2013074093A (ja) * 2011-09-28 2013-04-22 Renesas Electronics Corp リフロー前処理装置およびリフロー前処理方法
KR20130049364A (ko) * 2011-11-04 2013-05-14 피에스케이 주식회사 플라스마 공급 유닛 및 이를 포함하는 기판 처리 장치
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US10832904B2 (en) 2012-06-12 2020-11-10 Lam Research Corporation Remote plasma based deposition of oxygen doped silicon carbide films
CN103545163B (zh) * 2012-07-10 2016-11-16 中芯国际集成电路制造(上海)有限公司 具有氟残留或氯残留的半导体结构的处理方法
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10297442B2 (en) * 2013-05-31 2019-05-21 Lam Research Corporation Remote plasma based deposition of graded or multi-layered silicon carbide film
CN103605267B (zh) * 2013-10-23 2017-04-12 上海华力微电子有限公司 远程射频等离子体源的隔离结构
CN103646916B (zh) * 2013-11-28 2016-03-23 上海华力微电子有限公司 改善hdp psg工艺的方法及金属沉积前的介电质层制造方法
US20150200042A1 (en) * 2014-01-10 2015-07-16 Applied Materials, Inc. Recessing ultra-low k dielectric using remote plasma source
TWI686499B (zh) 2014-02-04 2020-03-01 荷蘭商Asm Ip控股公司 金屬、金屬氧化物與介電質的選擇性沉積
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US9472415B2 (en) * 2014-04-30 2016-10-18 International Business Machines Corporation Directional chemical oxide etch technique
US10269541B2 (en) 2014-06-02 2019-04-23 Applied Materials, Inc. Workpiece processing chamber having a thermal controlled microwave window
US10039157B2 (en) * 2014-06-02 2018-07-31 Applied Materials, Inc. Workpiece processing chamber having a rotary microwave plasma source
US10192717B2 (en) 2014-07-21 2019-01-29 Applied Materials, Inc. Conditioning remote plasma source for enhanced performance having repeatable etch and deposition rates
KR102262107B1 (ko) * 2014-08-29 2021-06-10 세메스 주식회사 기판 처리 장치
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9520301B2 (en) 2014-10-21 2016-12-13 Samsung Electronics Co., Ltd. Etching method using plasma, and method of fabricating semiconductor device including the etching method
SG11201705969VA (en) * 2015-01-22 2017-08-30 Chia Sern Chan Non-thermal soft plasma cleaning
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10121699B2 (en) 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
KR102504290B1 (ko) 2015-12-04 2023-02-28 삼성전자 주식회사 수소 플라스마 어닐링 처리 준비 방법, 수소 플라스마 어닐링 처리 방법, 및 수소 플라스마 어닐링 장치
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US9805974B1 (en) * 2016-06-08 2017-10-31 Asm Ip Holding B.V. Selective deposition of metallic films
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10002787B2 (en) 2016-11-23 2018-06-19 Lam Research Corporation Staircase encapsulation in 3D NAND fabrication
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US11094535B2 (en) 2017-02-14 2021-08-17 Asm Ip Holding B.V. Selective passivation and selective deposition
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
CN115233183A (zh) 2017-05-16 2022-10-25 Asm Ip 控股有限公司 电介质上氧化物的选择性peald
US10431427B2 (en) 2017-05-26 2019-10-01 Applied Materials, Inc. Monopole antenna array source with phase shifted zones for semiconductor process equipment
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
TWI794238B (zh) * 2017-07-13 2023-03-01 荷蘭商Asm智慧財產控股公司 於單一加工腔室中自半導體膜移除氧化物及碳之裝置及方法
US10900120B2 (en) 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
KR102307233B1 (ko) * 2017-08-01 2021-09-30 어플라이드 머티어리얼스, 인코포레이티드 금속 산화물 후처리를 위한 방법들
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
KR102414617B1 (ko) 2017-08-17 2022-07-01 삼성전자주식회사 기판 처리 장치 및 이의 세정 방법
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
WO2019199922A1 (en) 2018-04-13 2019-10-17 Mattson Technology, Inc. Processing of workpieces with reactive species generated using alkyl halide
JP7146690B2 (ja) 2018-05-02 2022-10-04 エーエスエム アイピー ホールディング ビー.ブイ. 堆積および除去を使用した選択的層形成
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10840087B2 (en) 2018-07-20 2020-11-17 Lam Research Corporation Remote plasma based deposition of boron nitride, boron carbide, and boron carbonitride films
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
JP2020056104A (ja) 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US11495456B2 (en) 2018-10-15 2022-11-08 Beijing E-Town Semiconductor Technology, Co., Ltd Ozone for selective hydrophilic surface treatment
CN113195786A (zh) 2018-10-19 2021-07-30 朗姆研究公司 用于间隙填充的远程氢等离子体暴露以及掺杂或未掺杂硅碳化物沉积
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US20200131634A1 (en) * 2018-10-26 2020-04-30 Asm Ip Holding B.V. High temperature coatings for a preclean and etch apparatus and related methods
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10950416B2 (en) 2018-11-16 2021-03-16 Mattson Technology, Inc. Chamber seasoning to improve etch uniformity by reducing chemistry
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US10403492B1 (en) 2018-12-11 2019-09-03 Mattson Technology, Inc. Integration of materials removal and surface treatment in semiconductor device fabrication
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11965238B2 (en) 2019-04-12 2024-04-23 Asm Ip Holding B.V. Selective deposition of metal oxides on metal surfaces
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11976357B2 (en) 2019-09-09 2024-05-07 Applied Materials, Inc. Methods for forming a protective coating on processing chamber surfaces or components
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11139163B2 (en) 2019-10-31 2021-10-05 Asm Ip Holding B.V. Selective deposition of SiOC thin films
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
CN111261554A (zh) * 2020-01-19 2020-06-09 长江存储科技有限责任公司 清洗装置及方法
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
TW202140832A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氧化矽在金屬表面上之選擇性沉積
TW202140833A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 相對於金屬表面在介電表面上之氧化矽的選擇性沉積
TW202204658A (zh) 2020-03-30 2022-02-01 荷蘭商Asm Ip私人控股有限公司 在兩不同表面上同時選擇性沉積兩不同材料
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05114582A (ja) * 1991-10-22 1993-05-07 Tokyo Electron Yamanashi Kk 真空処理装置
US20040256351A1 (en) * 2003-01-07 2004-12-23 Hua Chung Integration of ALD/CVD barriers with porous low k materials
JP2005236053A (ja) * 2004-02-20 2005-09-02 Matsushita Electric Ind Co Ltd プラズマ処理方法およびプラズマ処理装置
JP2005260060A (ja) * 2004-03-12 2005-09-22 Semiconductor Leading Edge Technologies Inc レジスト除去装置及びレジスト除去方法、並びにそれを用いて製造した半導体装置
JP2007173337A (ja) * 2005-12-20 2007-07-05 Ulvac Japan Ltd 真空処理槽、真空処理装置及び真空処理方法

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3417072B2 (ja) * 1994-08-15 2003-06-16 ソニー株式会社 半導体装置の製法
US5581156A (en) * 1995-07-31 1996-12-03 The United States Of America As Represented By The Secretary Of The Army HF sustained, DC discharge driven negative ion source with automatic control system
US6635569B1 (en) * 1998-04-20 2003-10-21 Tokyo Electron Limited Method of passivating and stabilizing a Ti-PECVD process chamber and combined Ti-PECVD/TiN-CVD processing method and apparatus
KR100767762B1 (ko) * 2000-01-18 2007-10-17 에이에스엠 저펜 가부시기가이샤 자가 세정을 위한 원격 플라즈마 소스를 구비한 cvd 반도체 공정장치
US6362110B1 (en) * 2000-03-30 2002-03-26 Lam Research Corporation Enhanced resist strip in a dielectric etcher using downstream plasma
US6440864B1 (en) 2000-06-30 2002-08-27 Applied Materials Inc. Substrate cleaning process
US6893907B2 (en) * 2002-06-05 2005-05-17 Applied Materials, Inc. Fabrication of silicon-on-insulator structure using plasma immersion ion implantation
US6503840B2 (en) * 2001-05-02 2003-01-07 Lsi Logic Corporation Process for forming metal-filled openings in low dielectric constant dielectric material while inhibiting via poisoning
US20020182385A1 (en) * 2001-05-29 2002-12-05 Rensselaer Polytechnic Institute Atomic layer passivation
US20030029837A1 (en) * 2001-08-10 2003-02-13 Applied Materials, Inc. Dielectric etch plasma chamber utilizing a magnetic filter to optimize plasma characteristics
US20030183245A1 (en) * 2002-04-01 2003-10-02 Min-Shyan Sheu Surface silanization
US6843858B2 (en) * 2002-04-02 2005-01-18 Applied Materials, Inc. Method of cleaning a semiconductor processing chamber
US20030194495A1 (en) 2002-04-11 2003-10-16 Applied Materials, Inc. Crosslink cyclo-siloxane compound with linear bridging group to form ultra low k dielectric
US6921556B2 (en) * 2002-04-12 2005-07-26 Asm Japan K.K. Method of film deposition using single-wafer-processing type CVD
US7588036B2 (en) * 2002-07-01 2009-09-15 Applied Materials, Inc. Chamber clean method using remote and in situ plasma cleaning systems
US20040018715A1 (en) * 2002-07-25 2004-01-29 Applied Materials, Inc. Method of cleaning a surface of a material layer
US6730164B2 (en) * 2002-08-28 2004-05-04 Micron Technology, Inc. Systems and methods for forming strontium- and/or barium-containing layers
KR101127294B1 (ko) * 2003-02-14 2012-03-30 어플라이드 머티어리얼스, 인코포레이티드 수소-함유 라디칼을 이용한 자연 산화물 세정
US7037376B2 (en) * 2003-04-11 2006-05-02 Applied Materials Inc. Backflush chamber clean
US20060042752A1 (en) * 2004-08-30 2006-03-02 Rueger Neal R Plasma processing apparatuses and methods
US7314835B2 (en) * 2005-03-21 2008-01-01 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05114582A (ja) * 1991-10-22 1993-05-07 Tokyo Electron Yamanashi Kk 真空処理装置
US20040256351A1 (en) * 2003-01-07 2004-12-23 Hua Chung Integration of ALD/CVD barriers with porous low k materials
JP2005236053A (ja) * 2004-02-20 2005-09-02 Matsushita Electric Ind Co Ltd プラズマ処理方法およびプラズマ処理装置
JP2005260060A (ja) * 2004-03-12 2005-09-22 Semiconductor Leading Edge Technologies Inc レジスト除去装置及びレジスト除去方法、並びにそれを用いて製造した半導体装置
JP2007173337A (ja) * 2005-12-20 2007-07-05 Ulvac Japan Ltd 真空処理槽、真空処理装置及び真空処理方法

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012018920A (ja) * 2010-06-11 2012-01-26 Semiconductor Energy Lab Co Ltd イオンドーピング装置およびイオンドーピング装置の低塵化方法
KR20150036086A (ko) * 2012-07-16 2015-04-07 맷슨 테크놀로지, 인크. 순수 환원성 플라즈마에서 높은 종횡비 포토레지스트 제거를 위한 방법
KR102192281B1 (ko) * 2012-07-16 2020-12-18 베이징 이타운 세미컨덕터 테크놀로지 컴퍼니 리미티드 순수 환원성 플라즈마에서 높은 종횡비 포토레지스트 제거를 위한 방법
CN104752271A (zh) * 2013-12-27 2015-07-01 株式会社日立国际电气 衬底处理装置以及半导体装置的制造方法
JP2015144225A (ja) * 2013-12-27 2015-08-06 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
JP2020536385A (ja) * 2017-10-03 2020-12-10 マトソン テクノロジー インコーポレイテッドMattson Technology, Inc. 有機ラジカルを使用した炭素含有膜の表面処理
JP6991323B2 (ja) 2017-10-03 2022-01-12 マトソン テクノロジー インコーポレイテッド 有機ラジカルを使用した炭素含有膜の表面処理
WO2020264054A1 (en) * 2019-06-26 2020-12-30 Lam Research Corporation Chamber-accumulation extension via in-situ passivation

Also Published As

Publication number Publication date
JP5260318B2 (ja) 2013-08-14
US20070190266A1 (en) 2007-08-16
US7695567B2 (en) 2010-04-13
KR20080100220A (ko) 2008-11-14
CN101379213B (zh) 2013-03-20
TW200744765A (en) 2007-12-16
KR101364440B1 (ko) 2014-02-17
WO2007094961A2 (en) 2007-08-23
CN101379213A (zh) 2009-03-04
WO2007094961A3 (en) 2008-01-17
TWI342241B (en) 2011-05-21

Similar Documents

Publication Publication Date Title
JP5260318B2 (ja) プラズマに面する壁の水蒸気不動態化
US7704887B2 (en) Remote plasma pre-clean with low hydrogen pressure
US8580354B2 (en) Plasma treatment of substrates prior to deposition
US10424485B2 (en) Enhanced etching processes using remote plasma sources
JP5058173B2 (ja) 誘電体膜を洗浄するための装置及び方法
US8980763B2 (en) Dry-etch for selective tungsten removal
US8895449B1 (en) Delicate dry clean
TWI676205B (zh) 用於鹵化物驅氣的處理系統及方法
US7575007B2 (en) Chamber recovery after opening barrier over copper
KR100971045B1 (ko) 수증기 및 희석 가스를 이용하여 강화되는 수소 애슁 방법
US6569257B1 (en) Method for cleaning a process chamber
KR100770916B1 (ko) 반도체 장치의 제조 방법 및 반도체 장치의 제조 장치
US20030075524A1 (en) Method of photoresist removal in the presence of a dielectric layer having a low k-value
JP4911936B2 (ja) プラズマアッシング方法
JP2009503271A (ja) Cvd/pecvd−プラズマチャンバーの内部から表面沈着物を除去するためのフッ化硫黄を使用する遠隔チャンバー方法
JP2009032920A (ja) プラズマエッチング方法、プラズマエッチング装置、および記憶媒体
US20100043821A1 (en) method of photoresist removal in the presence of a low-k dielectric layer
JP2017519364A (ja) 有機化合物ガス環境中でのCu含有層の中性ビームエッチング
KR20230026981A (ko) 알루미늄 산화물 표면 복구를 위한 방법들 및 장치

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20091120

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20101118

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20101209

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20110105

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20111201

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20120301

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20120308

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120328

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120830

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20120925

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20121130

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20121207

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20121228

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20130110

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130130

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20130417

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130425

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20160502

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 5260318

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees