JP2007535119A - 高温水素含有プラズマによるチャンバ及びウェーハ表面から物質を除去する方法及び装置 - Google Patents

高温水素含有プラズマによるチャンバ及びウェーハ表面から物質を除去する方法及び装置 Download PDF

Info

Publication number
JP2007535119A
JP2007535119A JP2006500903A JP2006500903A JP2007535119A JP 2007535119 A JP2007535119 A JP 2007535119A JP 2006500903 A JP2006500903 A JP 2006500903A JP 2006500903 A JP2006500903 A JP 2006500903A JP 2007535119 A JP2007535119 A JP 2007535119A
Authority
JP
Japan
Prior art keywords
chamber
wafer
plasma
gas
cleaning
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2006500903A
Other languages
English (en)
Other versions
JP4690308B2 (ja
Inventor
剛直 根本
エマニュエル・ギドティ
ガート・ルーシンク
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of JP2007535119A publication Critical patent/JP2007535119A/ja
Application granted granted Critical
Publication of JP4690308B2 publication Critical patent/JP4690308B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0245Pretreatment of the material to be coated by cleaning or etching by etching with a plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02CCAPTURE, STORAGE, SEQUESTRATION OR DISPOSAL OF GREENHOUSE GASES [GHG]
    • Y02C20/00Capture or disposal of greenhouse gases
    • Y02C20/30Capture or disposal of greenhouse gases of perfluorocarbons [PFC], hydrofluorocarbons [HFC] or sulfur hexafluoride [SF6]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P70/00Climate change mitigation technologies in the production process for final industrial or consumer products
    • Y02P70/50Manufacturing or production processes characterised by the final manufactured product

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Plasma & Fusion (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Health & Medical Sciences (AREA)
  • Drying Of Semiconductors (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

半導体ウェーハ処理装置(10)のチャンバ(16)と、清掃されるべき表面にバイアス電圧を掛けることなくガス混合物中に高密度プラズマを生成するためのみのICP電源と、に供給される、水素及び不活性ガスから成る清掃ガス混合物、例えば、水素含有量が体積で20%から80%の間にある混合物を使用する清掃方法が提供される。本発明の実施形態では、Si及びSiO汚染物質又はCFx汚染物質は引き続く金属被着に先立ってシリコン・コンタクト(46)から清掃される。本発明の別の実施形態では、基準酸化物エッチング速度を回復するために酸化物をエッチングする以前にシリコン残留物は内部チャンバ表面から清掃される。

Description

本発明は、チャンバ表面、コンタクトホール及び半導体ウェーハ基板、の清掃、より詳細には、基板にバイアス電圧を掛けずに誘導結合水素含有プラズマ内のドライ・エッチングによってコンタクト及び基板を清掃、及び、さもなければ、準備するための装置及びプロセスに関する。
シリコン・コンタクトは、半導体素子上のより多くのフィーチャーの1つを構成する。半導体素子上のシリコン・コンタクトの通常の形状構成は、コンタクトを構成するシリコンからなる導電性基層上で、素子上に1ミクロン以上の厚さを有する絶縁層からコンタクトを構成するシリコンからなる導電性基層上の露出領域まで貫通して延在するサブミクロン幅のホール又はビアである。半導体製造では、これらのシリコン・コンタクトは、一般的に、第一に、絶縁層を通じて導体を構成するホールを充填するタングステン又はアルミニウムのような伝導性金属がその上に貼付される金属層を構成する。チタニウム(Ti)又はチタニウム・ニトライド(TiN)のような金属又は金属複合物の薄層を被覆される。
Ti/TiNは効果的な接着剤及びシリコン・コンタクトとの導電性インタフェースを構成する。シリコン・コンタクト上にはTi/TiNが貼付され、かつホールを充填する、重なるブランケット又はプラナリゼーション層の形成を向上させる。プラナリゼーション層がタングステンである箇所では、その貼付のために現在使用されるプロセスはWF縮小によるCVDによるプロセスである。このプロセスによると、タングステンはホール及びビアスを充填するTiN層上に凝集する。こうした半導体製造プロセスでは、Ti/TiNフィルムと、ホール又はビアの底部のシリコン・コンタクトと、の間の結合抵抗は低く保持されなければならない。酸化物及びシリコン・コンタクトの表面上の不純物はコンタクトの抵抗を増大させ、そして、従って、特にコンタクトの寸法が小さい箇所にあるホールの底部におけるシリコン表面から清掃されるべきである。
これらの高アスペクト比半導体素子のシリコン・コンタクトを清掃する方法に関する研究は注目されてきた。多段階プロセス及びフッ化水素(HF)酸浸漬プロセスのよう湿式化学技術は現代産業標準として使用されるが、ホールが1.25ミクロン未満の寸法を有する場合には、これらの湿式清掃方法は、しばしば、これらの深く狭いホール及びビアスの底部でコンタクト表面を清掃するのに十分効果的ではないと共にウェーハを汚染し得る粒子に寄与する。
シリコンを清掃する現場的方法、すなわち、素子を含むウェーハが、金属を被着する前に、金属化が生じる処理機械の定位置で清掃される乾燥式清掃方法は、粒子が無い環境を作り出し且つ大気を通じて及び被着モジュール内への搬送の間にシリコン・コンタクト上の元々の酸化物形成を減じ得る点で極めて興味がある。しかしながら、従来技術の現場的アプローチは効果的ではなかった。コンタクト及びビアレベルの両方において表面を清掃する1つの現場的方法は、プラズマ中のアルゴンのような不活性ガスを使用する物理的エッチング・プロセスを含む。こうしたプラズマは、通常、ガスを通じて電子を移動させ、アルゴンイオンのプラズマを創出するためにこれらの電子のガス分子を剥離するために、反対のバイアスが掛けられる電極によって創出される。電極の1つは、通常、清掃されるべき表面が装着されるカソード組立体である。プラズマ中に創出される正アルゴンイオンはウェーハ表面に印加される負バイアスによってウェーハの表面に対して加速される。ウェーハの表面では、イオンが表面を打撃し、これにより、ウェーハから物質を除去すべく瞬間的な搬送によってウェーハ表面から物質を排除する。プラズマは、通常、チャンバ壁の形状構成及びチャンバ内の他の物理的構造体によって、あるいはエッチングされるべき表面に亘ってプラズマが作り出す電子を捕捉する電場によって、プラズマ・エッチングされる表面に近接して閉じ込められる。
プラズマ・エッチングのような物理的なプロセスは、ウェーハの表面、及びウェーハ上に形成した素子に衝撃により誘導されたダメージを作り出す。過去における、基板と、高密度プラズマと、の間の低スパッタリング電圧を維持する”ソフト・エッチング(soft etch)”と呼ばれるプロセスは、エッチングされる表面に対する潜在的ダメージの幾つかを最小化するには十分であったが、サブ−ミクロンスケールのフィーチャーを具備する本願素子によれば、これらのソフト・エッチング電圧は依然としてイオンに十分なエネルギーを与え、これらのイオンが、ウェーハ上の素子の幾つかにダメージを与えるようにさせる。1つのこうしたソフト・エッチングプロセスは、プラズマが加速バイアス電圧とは別個に電力を与えられるプラズマ清掃方法を使用する。使用されるより低いスパッタリング・エネルギーを可能にするバイアス電圧の別々の制御を与える一方で、依然として、フィーチャーがあまりに小さくない箇所でプロセスを効率的に進めるのに適切な高密度のプラズマを維持する。それにも拘わらず、こうした低エネルギースパッタ清掃プロセスは依然として、広く製造される極めて高いアスペクト比を有する素子に対する幾つかの欠点を有する。スパッタリングのような物理的プロセスは、こうしたホール又はビアスのような高アスペクト比の側壁から底部のコンタクト領域上に物質を再配置し得る。さらに、深いホール及びビアスの底部におけるコンタクト上のスパッタリング率は低く、これが清掃プロセスを不適切にするか又は少なくとも極めて遅くする。
スパッタリングイオンのエネルギーから最小のダメージを受けながらも半導体ウェーハ表面を清掃する必要性は電子サイクロトロン共鳴(ECR)によって生み出されるエネルギーのような高密度低エネルギープラズマの使用によって取り組まれてきた。しかしながら、ECRプラズマ源は、高価、かつ清掃サイト又は該清掃サイト近くの幾分離れた位置の何れかのかなりの空間を占有するマイクロ波ジェネレータ及び他の複雑な構成要素を含む。これらのECRジェネレータは、下流且つ清掃されるべきウェーハの表面に対して流れる高密度プラズマを生み出す。こうしたプラズマは、イオンが表面損傷を減じるために十分に低いエネルギーでウェーハ表面を打撃するように、低電圧でバイアスが掛けられたウェーハの表面に接触することができる。しかしながら、低スパッタ率及び、従って、ビアスの底部で有効にコンタクトを清掃する際の困難さは純粋な物理的エッチング・プロセスが欠乏したままであった。
特に、低スパッタリング収率は、水素に基づくシリコン・コンタクト乾燥式清掃プロセスを提供する水素ラジカルの成分のような、エッチングに対する拡散性のある化学成分を加える研究に通じる。ECRプラズマ源を使用する水素に基づく清掃はCVD−Ti被着より前にアルゴン/水素プラズマを使用するシリコン・コンタクトの清掃を上手く説明してきた。しかし、それにも拘わらず、遠隔に位置するECR源は、上述したように、大寸法、複雑且つ高価な装備を欠点として有する。
乾燥式清掃のための上述した方法の全てにおいて、RFバイアスはエッチングを施す表面にイオンを推進するために必要であることが見出された。ECRプラズマのような遠隔プラズマでさえ、RFバイアス又は自己バイアスによるかなりのイオン衝撃がない酸化物及びシリコンを除去するために効果的でないことが判明した。RFバイアスは約100〜300Vのプラズマ対基板のバイアス・ポテンシャルを含む一方で、自己バイアスは一般的に少なくとも20Vのバイアス・ポテンシャルで生じる。
2つの従来技術文献に記載された清掃プロセスでは、水素/アルゴン放電は、接地された基板と、直流フィラメントとの間にポテンシャルを印加することによって達成される。放電は、20〜35V、例えば、30Vのような電圧、及び100A、例えば、10〜100Aまでの高電流によって特徴づけられる。直流電流の大部分はSi基板から接地された基板ホルダまで流れる。この高電流及び関連するイオン衝撃は基板を約200〜400℃まで加熱する。説明した清掃プロセスは引き続くエピタキシャル被着処理のためのシリコン・ウェーハ準備に適用される。したがって、この適用はもっぱらシリコン・ウェーハを露出させることであり、内側深くの、高アスペクト比フィーチャーを清掃することに向けられたものではない。結果的に、説明したプロセスはコンタクトの清掃の場合におけるように、チャージアップダメージを阻止する必要はない。ここで、ウェーハ全体を通じての高電流密度は半導体素子へのダメージに帰着する(例えば、非特許文献1及び2参照)。
固体薄膜(1992年)222号126−131頁のJ. Ramm等による"超高真空互換性プラズマ源によるシリコン・ウェーハの低温現場清掃(Low-Temperature In Situ Cleaning of Silicon Wafers with an Ultra High Vacuum Compatible Plasma Source)" 固体薄膜(1993年)228号23−26頁のJ. Ramm等による"シリコンウェーハの水素清掃:プラズマ処理後のウェーハ表面の研究(Hydrogen Cleaning of Silicon Wafers: Investigation of the Wafer Surface After Plasma Treatment)"
従って、ECR源の装備に少なくとも良好な利益を与えるが、より単純な装備を具備する、素子に対するダメージ無しに高アスペクト比コンタクトを効果的に清掃する乾燥式清掃方法及び装置に対する需要が依然として残っている。
さらに、シリコン・コンタクトの製造の間に、ポリシリコンとして呼称される、多結晶シリコン層が基板上に成長し、そして、その後、ポリシリコンの一部はアルゴンスパッタエッチングによるエッチングで取り除かれる。このシリコン重合体エッチング段階中に、シリコンはエッチングチャンバの内側表面に置かれる。シリコン残留物は、同一チャンバ内にあるウェーハの引き続く酸化物エッチングの間に、酸化物のエッチング速度がポリシリコンのエッチング後に変化するメモリ効果を創出する。幾つかの犠牲ウェーハは通常レベルまで酸化物のエッチング速度を回復するために最初に要求される。プロセスを回復するために犠牲ウェーハを処理することは高価で非実用的な作業である。従って、ポリシリコン・エッチング・プロセスが同一のチャンバ内で実行された後で基準酸化物エッチング・プロセスを回復するために現場でチャンバを清掃する方法が必要である。
本発明は、ウェーハが含有する素子に対するダメージ無しに半導体ウェーハ及びウェーハ表面の高アスペクト比フィーチャーのコンタクトを清掃する方法及び装置を提供する。
本発明は、複雑でなく高価でもないコンタクト及び基板表面を清掃する装置を更に提供し、なおまた、こうしたウェーハ上の素子に対するダメージ無しにコンタクト及び基板表面を効果的に清掃する装置も提供する。
本発明は、残留シリコン・デポジットを減じ、これにより、引き続くウェーハ清掃速度を減じるか又は削減するべく内部チャンバ表面を清掃する方法及び装置も提供する。
この目的のために、約500℃までの副室温度から、例えば、200℃までの室温までの温度に維持される、バイアスが掛けられておらず、接地されていないウェーハ又はチャンバ表面に近接して、誘導結合プラズマが水素及び不活性ガス混合物から構成される、高アスペクト比コンタクト、基板表面及び内部チャンバ表面を清掃する方法が提供される。ここで、プラズマは同一又は類似した状態下で自己バイアスが発生する電圧より低いレベルでプラズマに対する表面のバイアスポテンシャルを有するように維持される。
図1は、半導体装置の組立において、ウェーハ及びチャンバ表面を清掃するために使用し得るCVD装置10を示す。例示的な装置10は、ウェーハ20を支持するウェーハ支持部であるサスセプタ18を具備する高密度プラズマ放電チャンバ16に、水素ガス及び不活性ガス混合物、例えば、水素ガス及びアルゴンガスの混合物を供給するガス供給源12及び入口導管14を含む。サスセプタ18は、その上に上向きに面する円弧状ウェーハ支持表面22を具備し、かつ表面22上のウェーハの温度を、室温より高い温度、所望に応じて約500℃まで上昇させるか、あるいは温度を副室温度まで下げる加熱器/冷却器24を含む。装置10は0.1〜10トールの範囲を少なくとも含む範囲に亘る圧力で約1〜20sccmの範囲の水素流量を生成するターボ分子ポンプ26も含む。
水晶ベルジャーはその外周周りにチャンバ16の上壁30を形成し、かつICP電源はチャンバ16に連結される。例えば、螺旋状ICPタイプコイル32は装置10のチャンバ16の外側のベルジャー30の周りに巻回される。この装置10は、ウェーハ20に隣接する領域36に高密度プラズマを生成するために、例えば、450kHz、2MHz又は13.56MHzにおいてMF又はHFエネルギーを加えるRF源34に接続される。ICP電力は、例えば、約500〜約1000Wの範囲とし得るものであり、例えば、200mmの表面に対して約1000Wである。例えば、200mm約500〜約1000Wまでの範囲とし得る。より大きな表面に対しては、より高いICP電力を使用し得る。例えば、300mmの表面に対しては、1125〜2250Wの範囲にあるICP電力が有効である。水晶ベルジャーの使用は、プラズマ領域36を境界づける導電表面の存在を最小化し、これにより、プラズマから電子を抜き出し得る表面を最小化し、その結果、プラズマは帯電しないままでいる。
こうしたサスセプタ18はバイアスが掛けられておらず、接地されていないウェハホルダである。例えば、サスセプタ18は、静電チャックのような電気絶縁されたウェハホルダである。電気絶縁されたウェハホルダ18はデバイスを損傷させる直流電流がウェハを通過するのを阻止するのみならず、ウェハ表面に到来するイオンのエネルギーをスパッタ閾値よりちょうど下の値まで減じる支援もする。エネルギーの減少は、例えば、20eVから下降して約15eVまでとし得る。サスセプタ18は、例えば、約5〜10eVの電位差において、浮動可能である。したがって、バイアス電位差勾配は、概ね、約10〜15eVの範囲にある。
上述したように装備した装置10は、ウェーハ20のような半導体ウェーハ上の高アスペクト比接点を有効にクリーニングすることにより、本発明のプロセスを行なうように作動する。ウェーハのクローズアップを図1Aに示す。図1Aでは、ウェーハ20は、シリコン(Si)製の基層である基板層40を含み、この基板層40上には厚さが、例えば、約1.5mmを有する二酸化珪素(SiO)の層42のような全体的絶縁層が被着される。絶縁二酸化珪素層42を通じて、エッチング又は幾つか他のプロセスによって、真下の導電性シリコン層40を露出させるために層42を通過する多数のホール44又は通路が形成される。ホール44の底部の露出領域は、「金属被覆(メタライゼーション)」と呼ばれるプロセスによって導電体に接続しなければならない接点46を構成する。メタライゼーションプロセスでは、Ti又はTiNから成る薄層48は、通常、接点46上に被着される。この接点46の上にタングステンのような導電材料から成るブランケット層50が被着されてホール44を充填して二酸化珪素層42を貫通して延在する接点を形成する。Ti及びTiN層は、タングステンとシリコン層40との間の接合及び電気伝導率を向上させる。
二酸化珪素層42及びホール44のパターンを形成するプロセスは、通常、1つ以上の加工機械内で実行され、この加工機械からウェーハは酸素を含有する環境を通じて更なる処理のためのCVD装置まで搬送される。部分的に処理されるウェーハ20のこの搬送の間中、接点46の珪素上へのTi又はTiNの有効な被着を阻止し得るものであり、かつ接点における抵抗を増加し得る接点46上に酸化物が形成され易い。本発明のプロセスによって、接点46は、CVD装置によるTi又はTiNの被着以前に水素/不活性ガスICPのみのプラズマによって浄化される。従来のプロセスで使用された低密度プラズマとは異なり、ICP電源はガス混合物内に高密度プラズマを形成する。これは、加えられたRFバイアスによるか又は重要な自己バイアスの何れかによって、基板にバイアスを掛ける必要なくコンタクトを清掃するために有効である。
本発明のプロセスの実施形態によれば、その上にシリコンからなる基板基層40を具備し、かつ層42を貫通するホール44のパターンによってシリコン層40を被覆する二酸化珪素のような絶縁層42で被覆されるウェーハ20は、装置10のチャンバ16内のサスセプタ18上に配置される。チャンバ16は、その後、アルゴン(Ar)ガス又はヘリウム(He)ガスのような不活性ガスで該チャンバを洗い流すことによって、及びチャンバ16を約10−4torrの真空までポンピングすることによって浄化される。
チャンバ16が望ましくないガスをパージする際に、源12からの、水素及び不活性ガスの混合物はチャンバ16内に入る。流速は1から20sccm、例えば、水素は約3から12sccm,の範囲とし得る。ここで、水素は混合物の20%〜80%の間にある。残部はアルゴン又はヘリウムのような不活性ガスである。ヒータ24は励磁されてウェーハ20の温度を所望により約500℃まで上昇させるか、あるいはウェーハ20を室温に維持し得るか又は所望により副室温まで下降し得る。水素及び不活性ガス混合物の流れが作られた定立された場合、サスセプタ18の頂部表面22上に支持されたウェーハ20に隣接するガス混合中のICPプラズマのみのにエネルギーを与えるように生成器34は活性化される。混合物中のプラズマが点火すればガス混合中の水素の含有量は40%〜80%の範囲にあると有利である。生成器34からのエネルギーは、通常、MFバンド中の450kHzであるが、2MHz又は13.56MHzのような他の周波数も使用し得る。サスセプタ18はこの清掃プロセス中に回転する必要はない。プロセスの条件は約60秒間維持される。ウェーハ20にはバイアスはかけられず、かつ接地されない。プロセス中に、水素富化高密度プラズマはもっぱらICPコイルによって放電される。それは、水20に対するRF又はかなりの自己バイアスが存在しなくても、化学的にSi及びSiOをエッチングする水素ラジカル及び不活性ガスイオンを生成する。本発明のプロセスはウェーハ20への低損失に帰着する。
本発明の方法は水素ラジカル及び不活性ガスに依存し、以下の式によりSi及びSiOを化学的にエッチングするために高濃度H/Arプラズマ又はH/Heプラズマによって生成される。
Figure 2007535119
ここで、xは任意の値とし得る。浮動ウェーハホルダであるサスセプタ18と、ICPのみのプラズマは低プラズマ・ポテンシャル及び低バイアス電圧ポテンシャルを創出する。プラズマ・ポテンシャルは水素イオン化が生じるイオン化ポテンシャルよりも少ない。こうして、プラズマは水素イオンを生じさせるデバイスに損傷を与える衝撃無しにコンタクト表面の清掃を効果的に支援する自由水素ラジカルを含む。形成されるプラズマは実質的に電気的に中性であり、ウェーハの浮動するポテンシャルは表面とウェーハとのバイアス・ポテンシャルを低い値に維持する。結果的に、イオン衝撃は減じられるか又は除去される。
カーボン・フッ化物(CFx)で汚染されたシリコンウェーハも、ウェーハの有効な清掃を得るために基板にバイアス電圧を掛けずにICPプラズマに露出し得る。CFxで汚染されたシリコンウェーハはCF化学薬品によってSi基板上にレジスト被覆、通常、重合体物質をエッチングすることによって全体的に準備される。CFx汚染物質は、特にコンタクト46の角隅部のSi表面上に残る。本発明のICPプラズマプロセスによって、CFx汚染物質は以下の式によって除去し得る。
Figure 2007535119
ここで、x及びyは任意の値とし得る。かくして、本発明の清掃方法はULSI製造時の慣用のエッチング・プロセスによって生成されるCFx汚染物質を除去するために使用し得る。同様に、他の有機汚染物質は除去し得る。
クリーニングプロセスも、銅の金属化プロセスまたは銀と金のような他の金属化として適用されるかもしれない。酸化銅(酸化銀または金の酸化物)は次の化学反応式によって銅の金属を
Figure 2007535119
銅、銀及び金のような幾つかの金属に対しては、アルゴン原子がスパッタし、従って、酸化物除去中に金属を損傷させ得る。従って、ヘリウムガスはプラズマガス混合物中の不活性ガスに対して使用すると有利である。何故なら、ヘリウム原子は金属をスパッタする傾向を有しないためである。同様に、本発明の清掃方法は、例えば、以下の例による他の金属化清掃プロセスに適用し得る。
Figure 2007535119
ここで、x及びyは任意の値とし得る。これらの金属はアルゴン原子、及び従って、アルゴン又はヘリウムの何れかはプラズマガス混合物中に使用し得る。
ウェーハ表面の清掃に加えて、H/Ar ICPプラズマは、ベルジャー30の内部表面及びサスセプタ18の表面のような半導体プラズマ処理装置の内部表面から物質を除去するために、特にチャンバが1つ以上のウェーハ製造プロセスに使用される機器に使用し得る。本発明のプラズマ清掃プロセスは以前のウェーハ製造プロセス中に内部表面に被着された物質によって達成されるウェーハ処理結果を取り戻すために使用される。ICPプラズマはシリコン・ウェハをアルゴンでスパッタエッチングした後にチャンバを調整するために使用される。H/ArICP−プラズマでの調整はICP−プラズマ装置用のメモリ効果を消去し得る。H/Arプラズマは、その後、チャンバから除去されるSiHXガスを生成するために内部チャンバ表面上でSi残留物と反応する。例えば、150ÅのSi重合体がエッチングされる場合、1000WICP電力による、60%の水素と40%のアルゴンICP−プラズマによるチャンバの200秒調整間の調整はSi重合体をエッチングする前後のチャンバ内に同一の酸化物エッチング速度を達成するには十分である。本発明の調整処理がない状態では、エッチング速度が通常レベルに回復する以前に、約5つの犠牲ウェーハがチャンバ内で酸化物エッチングを受ける必要がある。
図2から図5はコンタクトを清掃するSiOエッチング・プロセスの実例を与える。図2では、1000WICP電力、5mtorrのチャンバ圧力、それぞれ、12sccm及び8sccmの水素及びアルゴンの流速、500℃のサスセプタ温度を使用して行われた。時間の関数としてエッチングされた量のプロットは、エッチング速度がエッチング・プロセスの間に一定であること、しかも温置時間もないことを示す。図2と同一の電力、圧力及び流速パラメータ、及び60秒の処理時間を使用して、図3は種々の温度における水素濃度の関数としてエッチングされた量をプロットしたものである。エッチング速度は、約60%の水素と約40%のアルゴンで最大エッチング速度が生じる、水素濃度にはあまり依存していないように見える。ヘリウムガスをアルゴンガスの代わりに用い得る。参考として、3Å/分のエッチング速度が水素の欠落で得られた。この少ないエッチング量はウェーハ表面とバルクプラズマとの間にあるプラズマによって加速されるアルゴンイオンによる極めて少ない量のSiO除去に帰する。任意の所与水素濃度では、高エッチング速度は低サスセプタ温度で観察される。可変ICP電力、チャンバ及び水素濃度を使用して図4にプロットされたエッチング速度のサスセプタ温度依存性は、高エッチング速度は低温度で得られることを示す。図4はエッチング速度がICP電力が減少し、かつ圧力が減少すると共に減少することを更に示す。
図2〜図4に描いた上述した酸化物のエッチング結果に基づいて、コンタクト清掃、すなわち、実際のデバイスに形成されたコンタクトホールの底部からのSiO及びSiの除去をするためのICPのみのプラズマ・プロセスを評価する別の実験が構成される。このテストのために、0.45μm及び0.4μmのコンタクト開口が形成された装置が0.3μmの厚さの中間層を具備して使用される。全ての試験に対して、SiOの50Åの等価物が除去され、かつ70ÅのPECVD−Ti/200ÅのCVD−TiNの被着によるクリーニングプロセスが引き続く。試験状態における以下の変形態様が用いられる。室温、ICPのみ、300℃、ICPのみ、500℃、ICPのみ比較のために、同じ試験が、以下のような、RFバイアスを使用し、HFで清掃することによる従来技術に従って行われた。
500℃、200ワットRFバイス
HFディップ、30秒(参照)。
図5A及び図5Bは、種々のコンタクト清掃プロセスで得られるコンタクト抵抗を示しており、図5Aは0.4μmのビアの結果を描いたものであり、図5Bは0.45μmのビアの結果を描いたものである。驚くべきことに、最も低い抵抗は室温にてICPのみのプロセスで得られる。室温におけるICPのみの状態で得られる結果は、500℃においてRFバイアスによるH/Arエッチングを使用し且つHFディップを使用した参照プロセスを使用して得られる結果より極めて良好である。
他のウェーハ表面及びコンタクト汚染物質、特にカーボン基汚染物質に対する、H/Ar ICPプラズマのみのクリーニングプロセスの拡張性を、SiウェーハからのCFx除去のために説明する。上述したように、CFx汚染物質はCF化学薬品を使用したレジスト被覆ドライエッチングの間に生じる。表1は30℃〜500℃までの範囲の温度に対するSi表面からのCfx重合体除去に対するH/Ar ICPのみのエッチングデータを与える。概ね、単一カーボン層以外はSi表面から除去される。カーボンは揮発性CHxFyの形態で削除されるべきであると考えられる。フッ素レベルはプロセスの前に検出限界未満であったが、FはHF及び/又はCHxFyの形態で削除されると考えられる。
Figure 2007535119
ウェーハ清掃以外の用途へのH/ArICPのみのプラズマ清掃の拡張性は、シリコンウェーハのArスパッタエッチングの後に内部チャンバ表面からのSi除去によって説明される。反応性H/Ar ICP−プラズマ清掃の間のウウェーハからの除去率は酸化物ウェーハをエッチングする以前のSiウェーハスパッタエッチングの後に変ることが判明した。いわゆるメモリ効果である、この効果は、図6に示されるように、一列に5つの酸化物ウェーハを普通にエッチングした後になくなる。ここで、Mmは、分布曲線の最大値と、分布曲線の平均値の2倍によって分割された分布曲線の最小値と、の差を示す。そして、1シグマは1標準偏差である。現場では、図7の残留ガス(RGA)測定は酸化物エッチング除去速度の回復が内部(水晶)チャンバ表面からのSiの除去に一致する(SiH質量は減少し、HO質量は増加する)ことを示す。特に、残留ガス分析の信号は、Siがチャンバ表面から除去されるにつれてH/Arプラズマの間にSiH及びSiH信号が減少することを示す。プロセスを回復させるために犠牲ウェーハを処理することは費用がかさみ従って実際的でない。こうして、H/Ar ICPのみのプラズマを使用した本発明の現場的チャンバ清掃は、Siエッチング・プロセス後の基線酸化物エッチング・プロセスを回復するのに使用し得る。図8では、内部チャンバ表面のH/ArICPのみの処理の後に、酸化物のエッチングは完全に回復し得ることが描かれている。この処理の間、SiはSiHxの形成下に表面から有効に除去される。これは、フッ素で処理された複合物とは異なり、HO(水)及びSiO(砂)の形成下で空気と容易に反応し得る環境に優しい化学薬品である。伝統的チャンバ清掃は揮発性分子形成物下の汚染物質を除去するハロゲン化ガスを含む。したがって、本発明の清掃プロセスの使用は、揮発性分子形成物又は犠牲ウエハの使用なしのチャンバ清掃を可能にする。
上述した本発明の方法及び装置の実施形態の記述から、当業者には開示された実施形態に対する変更及び付加は本発明から逸脱せずになし得ることが自明である。
本発明の実施形態による高アクペクト比コンタクト清掃機構を含むCVD装置の横断面図である。 高アスペクト比を有するウェーハを描く図1の線1Aに沿って切り取った拡大横断面図である。 本発明の方法によりエッチングされた時間対量のプロット図である。 本発明の方法による水素集中対エッチング速度のプロット図である。 本発明の方法によるのためのサスセプタ温度対エッチング速度のプロット図である。 0.4μmのビアスを清掃するための本発明及び従来技術の種々のクリーニング方法に対する接触抵抗対累積確率のプロット図である。 0.45μmのビアスを清掃するための本発明及び従来技術の種々のクリーニング方法に対する接触抵抗対累積確率のプロット図である。 重合体Siウェーハエッチング後の酸化物エッチング量によって観察されるメモリ効果を描くプロット図である。 RGA及びRFバイアスプローブを使用して、酸化物エッチング量によって観察されるメモリ効果を描くプロット図である。 ICPのみのH/Arエッチングプロセスの200秒及び400秒だけ重合体Siエッチング後の酸化物エッチング量のメモリ効果の解除を描いたプロット図である。
符号の説明
10 CVD装置
12 ガス供給源
14 入口導管
16 高密度プラズマ放電チャンバ
18 サスセプタ
20 ウェーハ
22 ウェーハ支持表面
24 加熱器/冷却器
26 ターボ分子ポンプ
30 上壁
32 螺旋状ICPタイプコイル
34 RF源
36 プラズマ領域
40 基板層
42 絶縁二酸化珪素層
44 ホール
46 接点
48 薄層
50 ブランケット層

Claims (51)

  1. 所与のプロセス条件下で、半導体ウェーハ処理チャンバの表面にRFバイアスを掛けることなく清掃する方法であって、
    水素及び不活性ガスを備えるガス混合物を前記チャンバに与える段階と、
    前記チャンバに結合されたICP電力源を励磁することによって前記ガス混合物中に誘導結合プラズマを形成し、かつ前記プロセス条件下で展開する自己バイアス電圧より低いレベルでプラズマから表面までのバイアスポテンシャルを維持する段階と、
    前記表面から汚染物質を除去するに十分な時間だけ前記プラズマを維持する段階と、を備える方法。
  2. 半導体ウェーハを、前記チャンバ内のバイアスが掛けられておらず、接地されていないサスセプタ表面に与える段階を更に備え、
    前記ウェーハはSiO層内にシリコン・コンタクトを備え、
    前記シリコン・コンタクトは自身上に酸化汚染物質を有し、
    前記プラズマを維持するのは前記シリコン・コンタクトからの前記酸化汚染物質を除去するのに十分な時間である、請求項1に記載の方法。
  3. 半導体ウェーハを、前記チャンバ内のバイアスが掛けられておらず、接地されていないサスセプタ表面に与える段階を更に備え、
    前記ウェーハはSiO層内にシリコン・コンタクトを備え、
    前記シリコン・コンタクトはその上にCfx汚染物質を有し、
    前記プラズマを維持するのは前記シリコン・コンタクトからの前記Cfx汚染物質を除去するのに十分な時間である、請求項1に記載の方法。
  4. 前記ガス混合物を与える前に、シリコン残留汚染物質が前記チャンバの内側表面上に被着された、前記チャンバ内の少なくとも1つの半導体ウェーハ上でポリシリコン層をエッチングする段階を更に備え、
    そして、その後、前記チャンバから少なくとも1つの前記ウェーハを除去し、
    前記プラズマを維持するのは前記内側表面からの前記シリコン残留汚染物質を除去するのに十分な時間である、請求項1に記載の方法。
  5. ウェーハを、前記チャンバ内のバイアスが掛けられておらず、接地されていないサスセプタ表面に与える段階を更に備え、
    前記ウェーハはその上に酸化物汚染物質を有する金属被覆を与え、
    前記プラズマを維持するのは前記金属被覆からの前記酸化汚染物質を除去するのに十分な時間である、請求項1に記載の方法。
  6. ウェーハを、前記チャンバ内のバイアスが掛けられておらず、接地されていないサスセプタ表面に与える段階を更に備え、
    前記ウェーハはその上に有機汚染物質を有する金属被覆を備え、
    前記プラズマを維持するのは前記金属被覆からの前記有機酸汚染物質を除去するのに十分な時間である、請求項1に記載の方法。
  7. 前記金属被覆が銅、銀及び金から成る群から選択され、かつ前記不活性ガスがヘリウムである、請求項6に記載の方法。
  8. 前記金属被覆はTi、Co、Ta、W及びNiから成る群から選択され、かつ前記不活性ガスはアルゴン又はヘリウムである、請求項6に記載の方法。
  9. 前記不活性ガスがアルゴン又はヘリウムである、請求項1に記載の方法。
  10. 前記ガス混合物が約20%〜約80%の水素を備える、請求項1に記載の方法。
  11. 前記ICP電源が励磁されて約15eV未満の前記プラズマから前記表面までのバイアスポテンシャルを与える、請求項1に記載の方法。
  12. 前記ICP電源が励磁されて約10〜15eVの前記プラズマから前記表面までのバイアスポテンシャルを与える、請求項1に記載の方法。
  13. ウェーハを前記チャンバ内の電気絶縁されたサスセプタ表面に供し、かつ前記ウェーハを約5〜10eVの範囲のポテンシャルで浮動させる段階を更に備える、請求項1に記載の方法。
  14. 前記ICP電源が励磁されて不活性ガスイオン及び水素ラヂカルから実質的に成る前記プラズマを形成する、請求項1記載の方法。
  15. 所与の条件下で半導体ウェーハ処理チャンバの半導体ウェーハ上のシリコン・コンタクトに前記ウェーハに対するRFバイアスを掛けることなく清掃する方法であって、
    前記チャンバ内の接地されていないサスセプタ表面上に前記半導体ウェーハを配置する段階であって、前記ウェーハ上の前記シリコン・コンタクトはその上に汚染物質を有する、前記段階と、
    前記チャンバに水素ガス及び不活性ガスを備えるガス混合物を与える段階と、
    前記チャンバに結合されたICP電力源を励磁することによって前記ガス混合物中に誘導結合プラズマを形成し、かつ前記プロセス条件下で展開する自己バイアス電圧より低いレベルでプラズマからウェーハまでのバイアスポテンシャルを維持する段階と、
    前記シリコン・コンタクトから汚染物質を除去するに十分な時間だけ前記プラズマを維持する段階と、を備える方法。
  16. 前記不活性ガスがアルゴン又はヘリウムである、請求項15に記載の方法。
  17. 前記ガス混合物が約20%〜約80%の水素を備える、請求項15に記載の方法。
  18. 前記ICP電源が励磁されて約15eV未満の前記プラズマから前記ウェーハまでのバイアスポテンシャルを与える、請求項15に記載の方法。
  19. 前記ICP電源が励磁されて約10〜15eVの前記プラズマから前記ウェーハまでのバイアスポテンシャルを与える、請求項15に記載の方法。
  20. 前記ウェーハは前記チャンバ内の電気絶縁されたサスセプタ表面に配置され、かつ前記ウェーハを約5〜10eVの範囲のポテンシャルで浮動させる段階を備える、請求項15に記載の方法。
  21. 前記ICP電源が励磁されて不活性ガスイオン及び水素ラヂカルから実質的に成る前記プラズマを形成する、請求項15に記載の方法。
  22. 前記サスセプタを室温より上の約500℃まで加熱する段階を更に備える、請求項15に記載の方法。
  23. 前記サスセプタを室温より低い温度まで冷却する段階を更に備える、請求項15に記載の方法。
  24. 前記サスセプタを室温に維持する段階を更に備える、請求項15に記載の方法。
  25. 所与の条件下で前記表面及び前記ウェーハに対するRFバイアスを掛けることなく、半導体ウェーハ処理チャンバの半導体ウェーハ内の内側チャンバ表面及びシリコンウェーハコンタクト表面を清掃する方法であって、
    シリコン残留汚染物質が前記チャンバの内側表面上に被着された、前記チャンバ内の少なくとも1つの第1半導体ウェーハ上でポリシリコン層をエッチングする段階であって、そして、その後、前記チャンバから少なくとも1つの前記ウェーハを除去する前記段階と、
    前記チャンバに水素ガス及び不活性ガスを備えるガス混合物を与える段階と、
    前記チャンバに結合されたICP電力源を励磁することによって前記ガス混合物中に第1誘導結合プラズマを形成し、かつ前記プロセス条件下で展開する自己バイアス電圧より低いレベルでプラズマからウェーハまでのバイアスポテンシャルを維持する段階と、
    前記シリコン・コンタクトから汚染物質を除去するに十分な時間だけ前記プラズマを維持する段階と、
    前記チャンバ内の接地されていないサスセプタ表面上に少なくとも1つの第2半導体ウェーハを配置する段階であって、前記ウェーハ上の前記シリコン・コンタクトはその上に汚染物質を有する、前記段階と、
    前記チャンバに前記水素ガス及び前記不活性ガスを備えるガス前記ガス混合物を与える段階と、
    前記チャンバに結合されたICP電力源を励磁することによって前記ガス混合物中に第2誘導結合プラズマを形成し、かつ前記プロセス条件下で展開する自己バイアス電圧より低いレベルでプラズマからウェーハまでのバイアスポテンシャルを維持する段階と、
    前記シリコン・コンタクトから汚染物質を除去するに十分な時間だけ前記第2プラズマを維持する段階と、を備える方法。
  26. 前記不活性ガスがアルゴン又はヘリウムである、請求項25に記載の方法。
  27. 前記ガス混合物が約20%〜約80%の水素を備える、請求項25に記載の方法。
  28. 前記ICP電源が励磁されて約15eV未満の前記第1及び第2プラズマから前記表面までのバイアスポテンシャルを与える、請求項25に記載の方法。
  29. 前記ICP電源が励磁されて約10〜15eVの前記プラズマから前記表面までのバイアスポテンシャルを与える、請求項25に記載の方法。
  30. 前記ウェーハは前記チャンバ内の電気絶縁されたサスセプタ表面に配置され、かつ前記ウェーハを約5〜10eVの範囲のポテンシャルで浮動させる段階を備える、請求項25に記載の方法。
  31. 前記ICP電源が励磁されて不活性ガスイオン及び水素ラヂカルから実質的に成る前記プラズマを形成する、請求項25に記載の方法
  32. 前記サスセプタ表面を室温より上の約500℃まで加熱する段階を更に備える、請求項25に記載の方法。
  33. 前記サスセプタを室温より低い温度まで冷却する段階を更に備える、請求項25に記載の方法。
  34. 前記サスセプタを室温に維持する段階を更に備える、請求項25に記載の方法。
  35. 所与の条件下で半導体ウェーハ処理チャンバの半導体ウェーハ上の金属被覆を前記ウェーハにRFバイアスを掛けることなく清掃する方法であって、
    前記チャンバ内の接地されていないサスセプタ表面上に前記半導体ウェーハを配置する段階であって、前記ウェーハはその上に酸化汚染物質を有する、前記段階と、
    前記チャンバに水素ガス及び不活性ガスを備えるガス混合物を与える段階と、
    前記チャンバに結合されたICP電力源を励磁することによって前記ガス混合物中に誘導結合プラズマを形成し、かつ前記プロセス条件下で展開する自己バイアス電圧より低いレベルでプラズマからウェーハまでのバイアスポテンシャルを維持する段階と、
    前記金属被覆から酸化汚染物質を除去するに十分な時間だけ前記プラズマを維持する段階と、を備える方法。
  36. 前記金属被覆が銅、銀及び金から成る群から選択され、かつ前記不活性ガスがヘリウムである、請求項35に記載の方法。
  37. 前記金属被覆はTi、Co、Ta、W及びNiから成る群から選択され、かつ前記不活性ガスはアルゴン又はヘリウムである、請求項35に記載の方法。
  38. 前記不活性ガスがアルゴン又はヘリウムである、請求項35に記載の方法。
  39. 前記ガス混合物が約20%〜約80%の水素を備える、請求項35に記載の方法。
  40. 前記ICP電源が励磁されて約15eV未満の前記プラズマから前記ウェーハまでのバイアスポテンシャルを与える、請求項35に記載の方法。
  41. 前記ICP電源が励磁されて約10〜15eVの間の前記プラズマから前記ウェーハまでのバイアスポテンシャルを与える、請求項35に記載の方法。
  42. 前記ウェーハは前記チャンバ内の電気絶縁されたサスセプタ表面に配置され、かつ前記ウェーハを約5〜10eVの範囲のポテンシャルで浮動させる段階を備える、請求項35に記載の方法。
  43. 前記ICP電源が励磁されて不活性ガスイオン及び水素ラヂカルから実質的に成る前記プラズマを形成する、請求項35に記載の方法。
  44. 前記サスセプタ表面を室温より上の約500℃まで加熱する段階を更に備える、請求項35に記載の方法。
  45. 前記サスセプタ表面を室温より低い温度まで冷却する段階を更に備える、請求項35に記載の方法。
  46. 前記サスセプタ表面を室温に維持する段階を更に備える、請求項35に記載の方法。
  47. 半導体ウェーハ処理装置であって、
    水晶ベルジャーを備える真空清掃チャンバであって、バイアスが掛けられておらず、接地されておらず、電気絶縁されたウェーハ・サポートを前記真空清掃チャンバ内に具備する前記真空清掃チャンバと、
    清掃ガスを前記チャンバ内に導入する、前記清掃チャンバに連結されたガス流ポートと、
    前記ガス流ポーとに接続可能な、水素ガス及び不活性ガスの清掃ガス源と、
    前記清掃チャンバ内の水素及び不活性ガスのガス混合物であって、前記水素は体積で20%〜80%の間である、前記ガス混合物と、
    前記清掃チャンバの前記水晶ベルジャーを包囲するICPコイルと、
    前記コイルに接続されたRFエネルギー源であって、前記コイルを通じて前記清掃チャンバ内を誘導加熱して前記チャンバ内に前記水素及びアルゴンガス混合物内に誘導結合されたピラズマを作り出し、前記プラズマは不活性ガスイオン及び水素ラヂカルから実質的に成る、前記RFエネルギーと、
    前記ガス源から前記清掃チャンバまでの前記不活性ガス及び水素ガスからなる流れを制御して水素が体積で20%〜80%の前記水素及びアルゴンガスを供給し、前記水素及びアルゴンガス混合物中のプラズマを励磁して前記水素ラジカル及び不活性ガスイオンを作り出し、
    浮動ポテンシャルに前記ウェーハ・サポートを維持して、所与のプロセス条件下で展開する自己バイアス電圧より低いレベルでプラズマから基板までのバイアス・ポテンシャルを作り出し、及び
    前記清掃チャンバ内の基板上のコンタクトを該基板と共に清掃するために前記装置を作動させる制御手段と、を備える装置。
  48. 前記清掃チャンバのガス流ポートに連結され、かつ作動して前記清掃ガス源からの清掃ガスの流れを、前記ガス流ポートから前記チャンバ内、前記ガス流ポートから下流に前記基板そして該基板から前記チャンバの外まで前記ポンプによって生じさせるターボ分子ポンプを更に備える、請求項47に記載の装置。
  49. 前記RFエネルギー源の前記RFエネルギーは実質的に450kHzの中間周波数を有する、請求項47に記載の装置。
  50. 前記ウェーハ・サポートに作動連結されたヒータであって、前記ヒータは前記制御手段によって制御されて該ヒータの清掃の間に前記基板を500℃までの温度まで加熱する前記ヒータを更に備える、請求項47に記載の装置。
  51. 前記ウェーハ・サポートに作動連結された冷却手段であって、前記冷却手段は前記制御手段によって制御されて該冷却手段の清掃の間に前記基板を室温より低い温度まで冷却する前記冷却装置を更に備える、請求項47に記載の装置。
JP2006500903A 2003-01-15 2004-01-12 高温水素含有プラズマによるチャンバ及びウェーハ表面から物質を除去する方法及び装置 Expired - Fee Related JP4690308B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/342,645 US6992011B2 (en) 2003-01-15 2003-01-15 Method and apparatus for removing material from chamber and wafer surfaces by high temperature hydrogen-containing plasma
US10/342,645 2003-01-15
PCT/US2004/000649 WO2004065658A1 (en) 2003-01-15 2004-01-12 Method and apparatus for removing material from chamber and wafer surfaces by high temperature hydrogen-containing plasma

Publications (2)

Publication Number Publication Date
JP2007535119A true JP2007535119A (ja) 2007-11-29
JP4690308B2 JP4690308B2 (ja) 2011-06-01

Family

ID=32711769

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006500903A Expired - Fee Related JP4690308B2 (ja) 2003-01-15 2004-01-12 高温水素含有プラズマによるチャンバ及びウェーハ表面から物質を除去する方法及び装置

Country Status (4)

Country Link
US (1) US6992011B2 (ja)
JP (1) JP4690308B2 (ja)
TW (1) TWI231540B (ja)
WO (1) WO2004065658A1 (ja)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010129626A (ja) * 2008-11-26 2010-06-10 Renesas Technology Corp 半導体集積回路装置の製造方法
JP2013197451A (ja) * 2012-03-22 2013-09-30 Nec Corp 銅配線の表面処理方法及びその上に搭載する機能素子の製造方法
JP7447278B2 (ja) 2020-05-05 2024-03-11 アプライド マテリアルズ インコーポレイテッド 選択的金属間隙充填のためのマルチステップ前洗浄
JP7465979B2 (ja) 2020-03-10 2024-04-11 アプライド マテリアルズ インコーポレイテッド 選択的な酸化および簡略化された前洗浄

Families Citing this family (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2002099863A1 (fr) * 2001-06-01 2002-12-12 Tokyo Electron Limited Dispositif de traitement de plasma
KR100452273B1 (ko) * 2002-10-22 2004-10-08 삼성전자주식회사 챔버의 클리닝 방법 및 반도체 소자 제조 방법
JP4286025B2 (ja) * 2003-03-03 2009-06-24 川崎マイクロエレクトロニクス株式会社 石英治具の再生方法、再生使用方法および半導体装置の製造方法
US7341943B2 (en) * 2005-02-08 2008-03-11 Taiwan Semiconductor Manufacturing Co., Ltd. Post etch copper cleaning using dry plasma
RU2462786C2 (ru) 2005-02-28 2012-09-27 Зульцер Метко Аг Способ и установка для эпитаксиального выращивания полупроводников типа iii-v, устройство генерации низкотемпературной плазмы высокой плотности, эпитаксиальный слой нитрида металла, эпитаксиальная гетероструктура нитрида металла и полупроводник
US7799138B2 (en) * 2006-06-22 2010-09-21 Hitachi Global Storage Technologies Netherlands In-situ method to reduce particle contamination in a vacuum plasma processing tool
US7416989B1 (en) 2006-06-30 2008-08-26 Novellus Systems, Inc. Adsorption based material removal process
US7977249B1 (en) 2007-03-07 2011-07-12 Novellus Systems, Inc. Methods for removing silicon nitride and other materials during fabrication of contacts
US7837805B2 (en) * 2007-08-29 2010-11-23 Micron Technology, Inc. Methods for treating surfaces
US8500913B2 (en) 2007-09-06 2013-08-06 Micron Technology, Inc. Methods for treating surfaces, and methods for removing one or more materials from surfaces
US8187486B1 (en) 2007-12-13 2012-05-29 Novellus Systems, Inc. Modulating etch selectivity and etch rate of silicon nitride thin films
US20090277874A1 (en) * 2008-05-09 2009-11-12 Applied Materials, Inc. Method and apparatus for removing polymer from a substrate
US7915115B2 (en) * 2008-06-03 2011-03-29 International Business Machines Corporation Method for forming dual high-k metal gate using photoresist mask and structures thereof
US7981763B1 (en) 2008-08-15 2011-07-19 Novellus Systems, Inc. Atomic layer removal for high aspect ratio gapfill
US8058179B1 (en) 2008-12-23 2011-11-15 Novellus Systems, Inc. Atomic layer removal process with higher etch amount
US20110079242A1 (en) * 2009-10-07 2011-04-07 Werner Reiss Plasma cleaning of wire strands
US9553016B2 (en) 2010-07-09 2017-01-24 Infineon Technologies Ag Contacts for semiconductor devices and methods of forming thereof
US8487440B2 (en) 2010-07-09 2013-07-16 Infineon Technologies Ag Backside processing of semiconductor devices
WO2014130926A1 (en) 2013-02-25 2014-08-28 Kla-Tencor Corporation Methods and apparatus for cleaning objects in a chamber of an optical instrument by generating reactive ions using photon radiation
JP2014220387A (ja) * 2013-05-08 2014-11-20 東京エレクトロン株式会社 プラズマエッチング方法
TWI553728B (zh) * 2014-07-30 2016-10-11 環球晶圓股份有限公司 邊緣氧化層剝除裝置及晶圓邊緣氧化層的剝除方法
US10325800B2 (en) * 2014-08-26 2019-06-18 Applied Materials, Inc. High temperature electrostatic chucking with dielectric constant engineered in-situ charge trap materials
US9431268B2 (en) 2015-01-05 2016-08-30 Lam Research Corporation Isotropic atomic layer etch for silicon and germanium oxides
US9425041B2 (en) 2015-01-06 2016-08-23 Lam Research Corporation Isotropic atomic layer etch for silicon oxides using no activation
US20170133284A1 (en) * 2015-11-05 2017-05-11 Texas Instruments Incorporated Smart in-situ chamber clean
US20170170018A1 (en) * 2015-12-14 2017-06-15 Lam Research Corporation Conformal doping using dopant gas on hydrogen plasma treated surface
CN106910714B (zh) * 2015-12-23 2019-12-17 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制作方法和电子装置
US9888554B2 (en) * 2016-01-21 2018-02-06 Asml Netherlands B.V. System, method and apparatus for target material debris cleaning of EUV vessel and EUV collector
TWI673230B (zh) * 2017-01-16 2019-10-01 昇佳電子股份有限公司 微機電裝置的製造方法
US10544519B2 (en) * 2017-08-25 2020-01-28 Aixtron Se Method and apparatus for surface preparation prior to epitaxial deposition
WO2019226341A1 (en) 2018-05-25 2019-11-28 Lam Research Corporation Thermal atomic layer etch with rapid temperature cycling
WO2020014065A1 (en) 2018-07-09 2020-01-16 Lam Research Corporation Electron excitation atomic layer etch
CN113059405A (zh) * 2019-12-30 2021-07-02 盛美半导体设备(上海)股份有限公司 半导体结构的加工方法及清洗装置
US11626271B2 (en) 2020-06-18 2023-04-11 Tokyo Electron Limited Surface fluorination remediation for aluminium oxide electrostatic chucks
CN112209362B (zh) * 2020-09-27 2021-12-03 电子科技大学 一种等离子体诱导活化氟化碳的方法及锂一次电池制备

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04229621A (ja) * 1990-07-09 1992-08-19 Mitsubishi Electric Corp 半導体基板表面の処理方法
JPH08264483A (ja) * 1995-03-28 1996-10-11 Sony Corp 半導体装置の製造方法
JP2000082681A (ja) * 1998-09-04 2000-03-21 Sony Corp 半導体装置の製造方法
JP2002500276A (ja) * 1997-12-30 2002-01-08 アプライド マテリアルズ インコーポレイテッド サブクオーターミクロン適用のための、メタライゼーションに先立つ予備洗浄方法
JP2003535458A (ja) * 2000-04-25 2003-11-25 東京エレクトロン株式会社 加工物のプラズマクリーニング方法とその装置

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE69531880T2 (de) 1994-04-28 2004-09-09 Applied Materials, Inc., Santa Clara Verfahren zum Betreiben eines CVD-Reaktors hoher Plasma-Dichte mit kombinierter induktiver und kapazitiver Einkopplung
US5647953A (en) * 1995-12-22 1997-07-15 Lam Research Corporation Plasma cleaning method for removing residues in a plasma process chamber
US6805139B1 (en) * 1999-10-20 2004-10-19 Mattson Technology, Inc. Systems and methods for photoresist strip and residue treatment in integrated circuit manufacturing
TW451347B (en) * 2000-06-16 2001-08-21 United Microelectronics Corp Cleaning method after polycide gate etching

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04229621A (ja) * 1990-07-09 1992-08-19 Mitsubishi Electric Corp 半導体基板表面の処理方法
JPH08264483A (ja) * 1995-03-28 1996-10-11 Sony Corp 半導体装置の製造方法
JP2002500276A (ja) * 1997-12-30 2002-01-08 アプライド マテリアルズ インコーポレイテッド サブクオーターミクロン適用のための、メタライゼーションに先立つ予備洗浄方法
JP2000082681A (ja) * 1998-09-04 2000-03-21 Sony Corp 半導体装置の製造方法
JP2003535458A (ja) * 2000-04-25 2003-11-25 東京エレクトロン株式会社 加工物のプラズマクリーニング方法とその装置

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010129626A (ja) * 2008-11-26 2010-06-10 Renesas Technology Corp 半導体集積回路装置の製造方法
JP2013197451A (ja) * 2012-03-22 2013-09-30 Nec Corp 銅配線の表面処理方法及びその上に搭載する機能素子の製造方法
JP7465979B2 (ja) 2020-03-10 2024-04-11 アプライド マテリアルズ インコーポレイテッド 選択的な酸化および簡略化された前洗浄
JP7447278B2 (ja) 2020-05-05 2024-03-11 アプライド マテリアルズ インコーポレイテッド 選択的金属間隙充填のためのマルチステップ前洗浄

Also Published As

Publication number Publication date
TW200425331A (en) 2004-11-16
US6992011B2 (en) 2006-01-31
JP4690308B2 (ja) 2011-06-01
WO2004065658A1 (en) 2004-08-05
TWI231540B (en) 2005-04-21
US20040137750A1 (en) 2004-07-15

Similar Documents

Publication Publication Date Title
JP4690308B2 (ja) 高温水素含有プラズマによるチャンバ及びウェーハ表面から物質を除去する方法及び装置
US6569257B1 (en) Method for cleaning a process chamber
US7704887B2 (en) Remote plasma pre-clean with low hydrogen pressure
US6489248B2 (en) Method and apparatus for etch passivating and etching a substrate
US7695567B2 (en) Water vapor passivation of a wall facing a plasma
US6352081B1 (en) Method of cleaning a semiconductor device processing chamber after a copper etch process
JP3606588B2 (ja) 高アスペクト比を持つ珪素半導体デバイス接点を金属化する方法及び装置
US6893893B2 (en) Method of preventing short circuits in magnetic film stacks
US6319842B1 (en) Method of cleansing vias in semiconductor wafer having metal conductive layer
JPH0855839A (ja) 窒化チタンのエッチング
JPH09186143A (ja) プラズマチャンバ表面から副生成物をクリーニングするための方法及び装置
TW201639029A (zh) 電漿蝕刻方法
JP2000311889A (ja) プラズマエッチングを特長とする腐食防止表面のエッチング後の処理方法
JP2008526024A (ja) プラズマ処理装置用のシリコン電極及び炭化珪素電極の表面から黒色シリコン及び黒色炭化珪素を除去する方法
US7097716B2 (en) Method for performing fluorocarbon chamber cleaning to eliminate fluorine memory effect
WO2006057236A1 (ja) 基板処理方法および半導体装置の製造方法
KR101224747B1 (ko) 감소된 에칭률 마이크로-로딩을 갖는 텅스텐 실리사이드에칭 공정
JP4716566B2 (ja) 基板上の銅酸化物を還元するプラズマ加工チャンバ、及び、その方法
JP2011517368A (ja) 基板からポリマーを除去するための方法及び装置
JP2004363558A (ja) 半導体装置の製造方法およびプラズマエッチング装置のクリーニング方法
JPH0722393A (ja) ドライエッチング装置及びドライエッチング方法
US20130330920A1 (en) Method and apparatus for substrate preclean with hydrogen containing high frequency rf plasma
US8084356B2 (en) Methods of low-K dielectric and metal process integration
JP2000357684A (ja) ガス状汚染物を減少させることによるプラズマ処理の改良
US10256112B1 (en) Selective tungsten removal

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100105

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100402

TRDD Decision of grant or rejection written
A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A712

Effective date: 20110113

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20110118

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20110113

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20110217

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140225

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140225

Year of fee payment: 3

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313117

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140225

Year of fee payment: 3

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

LAPS Cancellation because of no payment of annual fees