TWI231540B - Method and apparatus for removing material from chamber and wafer surfaces by high temperature hydrogen-containing plasma - Google Patents

Method and apparatus for removing material from chamber and wafer surfaces by high temperature hydrogen-containing plasma Download PDF

Info

Publication number
TWI231540B
TWI231540B TW093101011A TW93101011A TWI231540B TW I231540 B TWI231540 B TW I231540B TW 093101011 A TW093101011 A TW 093101011A TW 93101011 A TW93101011 A TW 93101011A TW I231540 B TWI231540 B TW I231540B
Authority
TW
Taiwan
Prior art keywords
semiconductor wafer
processing chamber
cleaning
wafer
silicon
Prior art date
Application number
TW093101011A
Other languages
English (en)
Other versions
TW200425331A (en
Inventor
Takenao Nemoto
Emmanuel Guidotti
Gerrit Leusink
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of TW200425331A publication Critical patent/TW200425331A/zh
Application granted granted Critical
Publication of TWI231540B publication Critical patent/TWI231540B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0245Pretreatment of the material to be coated by cleaning or etching by etching with a plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02CCAPTURE, STORAGE, SEQUESTRATION OR DISPOSAL OF GREENHOUSE GASES [GHG]
    • Y02C20/00Capture or disposal of greenhouse gases
    • Y02C20/30Capture or disposal of greenhouse gases of perfluorocarbons [PFC], hydrofluorocarbons [HFC] or sulfur hexafluoride [SF6]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P70/00Climate change mitigation technologies in the production process for final industrial or consumer products
    • Y02P70/50Manufacturing or production processes characterised by the final manufactured product

Description

1231540 五、發明說明(1) 【發明所屬之技術領域 曰本發明係關於一種清潔處理室表面、接觸孔及半導體 晶圓基板的方法,而特別地是,能在不施加偏壓於半導體 晶圓基板的情形下,利用一種含氫之電感耦合電漿,以乾 蝕刻方式,清潔及準備接點與半導體晶圓基板的設備和製 程。 一、【先前技術】 省矽接點在半導體元件上形成一豐富多樣的面貌。一半 =體元件上之矽接點的典型結構是,一次微米寬度的孔洞 :=道延伸穿過元件上一層一微米厚或更厚的絕緣層,而 /達,於下方之導電石夕層的暴露區。在半導體元件製造 •丄:Ϊ Ϊ Ϊ點通常是先被鍍上一層如鈦或氮化鈦的金屬 鋁的導電金屬於m成屬層’再塗佈滿如鎢或 、、 ;’同上,以成為一穿透絕緣層之導體。 I埴:^ ί : f形成一滿佈的覆蓋層且增強平坦化之金屬層 間會形成一有效的鍵姓Γ二f工 薄膜和石夕接點 現,-般用來塗佈鶴的製程方式是利用 斤以
法,將氟化鶴中的鶴轉變集結到氮化鈦層上面, 孔洞和通道,—般常以覆蓋鎢金屬膜達到將 二:沾 二的。在這樣的一個半導體製造過程 二;潢 2的,匕鈦薄膜與石夕接點間之接合處二 技在低數值。在矽接點表面上的氧化物 // 接點的電阻率…,特別是當接點尺寸很 1231540
五、發明說明(2) 孔洞底部之矽表面將這些氧化物與雜質清除乾淨。 現今的研究已將注意力集中在這些高縱橫比半導體元 件中之石夕接點的清潔方法上。濕化學技術,例如多步驟勢 程法及氫氟酸浸泡製程法,已被當成現今業界標準方式$ 使用,但當孔·洞尺寸只有四分之一微米或者更小時,這此 濕式清潔法常會連帶產生一些微粒子造成晶圓的污染,^ 而使位於這些深且窄的孔洞及通道底部之矽接點表面的清 潔效果變得不那麼有效。
原位清潔石夕接點的方法即乾式清潔法,此方法是在_ 用來進行金屬沈積前所產生的金屬化過程之製程機台裡, 逐處清潔包含元件的晶圓。此乾式清潔法已引發極大的關 注,因為在轉換穿過氣體及進入沈積模組的過程中,此法 能提供一無微粒子的環境並且減少在石夕接點上之天然氧化 物的生成。然而,習知技術的原位清潔方式已經不具效 果。一種能同時清潔接點及通道層表面的原位清潔法,是 在使用如氬氣之惰性氣體的電漿中進行之物理性蝕刻製 程。這類電漿的一般典型製造方式,是用相對的偏壓電 極’使電子通過氬氣體而把氬氣體原子中的電子解離出 來’以製造帶正電的氬離子電漿。其中之一的電極是一典 型的陰極組件,欲清潔的表面被固定在此陰極上。在此電 漿中製造出來的帶正電氬離子,會被施加在晶圓表面上的 負偏壓加速往晶圓表面移動,進而藉由此帶正電氬離子撞 擊晶圓表面所產生的動量轉換將晶圓表面物質移除。電漿 通常是利用處理室壁面及處理室内其他物理結構的表面型
第7頁 1231540 L、發明說明(3) 態來將電漿限制在拉、 ? 作,或利用磁場來,认蝕亥,之表面上,以進行蝕刻動 子。 ’來捕捉此欲蚀刻表面上電聚所製造的電 使用像電费紅 面及施作在晶圓上=這樣的物理性製程’會產生對晶圓表 一種稱為「軟成損傷之離子森擊。在過去, 與濃稠電漿間之賤t=f是以維持一低的晶圓基板 了一些蝕刻晶圓表面的潛在性損傜法已充刀減夕 米尺寸之形貌的現,但對於具有次微
的損Γί =2而導致這些離子對晶圓上的-些元件 壓為動力來% @ 4樣的軟蝕刻製程是用以獨立於加速偏 &為動力來源之電漿來進行 偏壓提供個別的杵制^如此便此對此 夂蛘你田j 對件形貌不會太小的晶圓,能 择:攻進=濺鍍能*,但仍能維持一濃稠的電漿以持
清潔製,。但是’如此的低能量濺鑛清潔 =私,對於現今所製造的非常高縱橫比之半導體元件而 言,仍然有許多缺點。像濺鍍這樣的物理性製程,能從、言 樣的高縱橫比半導體元件之孔洞與通道的邊^上,再 物質到位處底部的接點區域上。&外’位處於深的孔;盘 通道底部之接點上的濺鍍良率很低,這使得清潔製程施;|乍 得不夠充分或至少進行得很慢。 使用如電子迴旋共振所產生的高密度低能量電聚來進 行清潔晶圓的製程’已較接近符合’在承受較低的濺鍍離 子能量所造成的損害情況下,進行半導體晶圓表面之清 1231540 五、發明說明(4) 潔,.這樣的需 波產生器及其 佔空間且昂貴 生往下沈流而 這樣的電漿有 而使離子撞擊 的損害。然而 通道底部之石夕 之處。 特別對於 化學成分加入 子團的成分可 進行鈦的化學 潔矽接點,這 之以氫為主的 漿源仍存在著 問題。 在所有上 於將離子推進 甚至連像電子 缺少一射頻偏 法有效移除晶 一約 1 0 0 - 3 0 0 i 自偏壓則通常 求。然而,電子迴旋共振電漿源,包含有微 他不論是在清潔端或靠近清潔端稍遠處都很 的複雜零件。這些電子迴旋共振產生器會產 抵制晶圓表面進行清潔動作的高密度電漿^。 能力觸及已施加了低伏偏壓的晶圓表面,因 晶圓表面時所帶能量夠低而減低對晶圓表面 ,低濺鍍良率及因此造成難以有效清潔位於 接點的問題仍是純物理性蝕刻製程有所不足 改善低濺鍍良率方面,已朝向將一擴散性的 餘刻製程中的方向研究,例如加入一含氫原 知1供 以氧為主的石夕接點乾式清潔製程。在 氣相,積法之前使用一氫/氬電漿可成功清 樣的貫例已展現出使用電子迴旋共振電浆源 敍d法之清潔效果。但是,電子迴旋共振 儀器體積太大、複雜且昂貴等前述所提及的 述提及的# 4t 1 曰钇式々漯法中,發現一射頻偏壓對 到aa圓表而v、土 〃丘 面以達到蝕刻效果而言是必要的。 芦式ώ f電漿這樣的遙距電漿也被發現,當 $二 ,壓產生之顯著的離子轟擊時,無 6牯夕雷的氣化物和石夕。射頻偏壓通常包括有 、、默對晶圓基板之偏壓電位,反之, 出現在S ,1> 〇 η 主J 2 0伏特之偏壓電位時。
1231540 五、發明說明(5) 在J. Ramm等人所著的「利用一超高真空相容電漿源 低溫原位清潔矽晶圓」( 1 9 92,222頁)一書中之第126頁 到第131頁之有關固態薄膜的論述,及J. Ramm等人所著的 「矽晶圓的氫清潔法:經電漿處理後之晶圓表面的研究」 ( 1 993,228頁)一書中之第23頁到第26頁之有關固態薄膜 的論述中提及,氫/氬氣體的放電現象是因,在接地的晶 圓基板夾具與直流電燈絲間施加一電位所導致的。當施加 2 0 - 3 5伏特之電壓,譬如施加3 0伏特,及微調電流至最高 100安培,譬如調整電流在10-1 〇〇安培間,此放電現象會 特別顯著。一明顯的直流電流流經矽基板到達接地的晶圓 基板爽具。此高電流及伴隨產生的離子轟擊將晶圓基板加 熱至約200-4 0 0 °C。此所描述的清潔製程被應用在為後續 蠢晶沈積而準備的矽晶圓上。因此,此方法是特定使用在 裸石夕曰a圓上而不使用在咼縱橫比半導體元件内部深處的清 溧上。結果,此所描述的清潔製程就沒有必要被要求需避 免因電荷增加而導致對晶圓的損害,如同在清潔接點時通 過晶圓的鬲電流密度會對半導體元件所造成的損害。 因此,對於乾式清潔法及其所用之設備,仍存在著希
望在不損傷到半導體元件的情況下,能有效地清潔高縱横 比半導體元件内之接點的要求,至少要能達到同電子迴旎 共振電漿源機台所提供的相同優點,但卻簡單得多的儀 器。 此外,當製造矽接點時,一複晶矽(簡寫成p〇ly — si) 層被磊長在晶圓基板上,而後,一部份的複晶矽被以氬濺
1231540 、發明說明(6)
錄餘刻方式蝕刻掉。在進行此複晶矽蝕刻步驟時,矽被沈 積在餘刻處理室的室内表面上。在進行過複晶矽蝕刻處理 之後’這些矽殘留物對於後續在相同處理室中進行氧化物 餘刻步驟的晶圓形成了一記憶效應,改變了這些晶圓的氧 1匕物蝕刻速率。因此需要一些空白晶圓被犧牲用來將氧化 物的钱刻速率喚回至正常程度。使用製程空片來喚回氧化 ^的钱刻速率會增加成本,而且對於晶圓產量的增加沒有 飧助三因此,一處理室内的晶圓原位清潔法被要求在同一 地里至進行元複晶石夕钱刻步驟後,需能回復 氧化物敍刻速率的蝕刻製程。 進仃山的 二、【發明内容】 本發明提供一種清潔具高縱橫比特徵部之半導體晶圓 上的接點及晶圓表面而又不損傷到晶圓上所載有的半導體 70件之方法與設備。 本發明更進一步提供一種清潔半導體晶圓之基板表面 及接點的設備,此設備既不複雜也不昂貴,且尚未有立他 設備=同此設備能在不損傷到半導體晶圓上的元件之情況 下進行對接點及晶圓基板表面之有效清潔。 本發明也提供一種清潔處理室内部表面的方法與設 ’此方法與設備能將殘留的矽沈積物移除,進而達到降 低或消除此矽沈積物對後續的晶圓清潔速率之改變程度。 為達此一目的,而提供一種用以清潔具高縱橫比的接 點、晶圓基板表面及處理室内部表面的方法,於此方法 中,在接近於維持在約為次室溫至約5〇〇。〇(例如約為室溫
1231540 五、發明說明(7) 至約2 0 〇 °C )的溫度之一未施加偏壓也無接地的晶圓處戒處 理室的表面處,由氫氣和惰性氣體混合物產生一電感鵪舍 電漿;且於此方法中,該電漿係被維持在具有一電黎對表 面的偏壓電位,而此偏壓電位係低於在相同或相似處毯條 件下建立自偏壓的電壓之位準。 四、【實施方式】
圖1中所顯示之化學氣相沈積設備1 〇,是在半導體元 件的製造過程中,能被用來清潔晶圓及處理室表面的裝 置。在設備1 0的範例中,包含有一氣體供應器丨2及導入管 線1 4用來供應氫氣和惰性氣體混合物,譬如氫氣和氬氣混 合物,到一高密度電漿放電處理室1 6中,此處理室中有一 晶圓支撐器或晶座1 8用來撐托住晶圓2 〇。此晶座1 §有一向 上面向圓形晶圓表面的支撐面22,及一加熱器/降溫器 24 ’用來將支擇面22上的晶圓加熱至超越室溫,若有需要 的話’可加熱到約5 0 0 °C,或將其降溫至次室溫。此設備 10也含有一渦輪分子泵26,當壓力超過至少從〇·ι到1〇
Torr的範圍時,用來製造一流速範圍約在1到2〇 sccin的氫 氣流。
電漿放電處理室16外圍的上蓋30是由一石英鐘形罩所 組成’在此,一電感耦合電漿動力源與電漿放電處理室i 6 連結在一起,舉例來說,在設備丨〇中,一組用來產生電感 搞合電滎的螺旋線圈3 2被用來沿著鐘形罩3 〇纏繞在電漿放 電處理室1 6的外圍,並與一射頻源3 4相連結,以用來提供 中頻或向頻能量,譬如,在450 kHz、2 MHz或13.56 MHz
第12頁 1231540 五、發明說明(8) 的頻率時,在緊鄰晶 漿。此電感耦合電漿 内,譬如一200 mm的 約是1000 W。對於一 電漿動能。舉例來說 1125到2250 W範圍内 用石英鐘形罩可將與 低,進而將能把電漿 自然能將電漿完整留 晶座18是一未施 來說,如同一靜電夾 此絕緣的晶圓支座1 8 經晶圓’而且也有助 非常適合用來當作底 面的離子能量能從2 〇 動的,譬如當電位在 因此,偏壓電位的梯 圖1 A是一具有高 察。设備10是一台| 明裡被用來進行對如 晶圓的有效清潔製程 或基板層40,在其上 厚度約如1 · 5 // m之二 程’貫穿過此已形成 圓20的區域36裡能產生一向密度電 的動能能在約5 0 0到約1 0 0 0 W的範g 晶圓表面,其電感麵合電漿的動能大 較大的表面,能使用較大的電感麵合 ’對於一300 mm的晶圓表面,使用 的電感麵合電漿動能能達到效果。使 電漿區域36交界的導電表面降到最 中的電子傳導出去的表面降到最低, 住在處理室裡。 加偏壓也沒有接地的晶圓支座。舉例 一般’晶座18是一絕緣的晶圓支座。 不只能避免會損傷元件的直流電流淹 於降低離子到達晶圓表面時的能暈, 部的濺鍍閾。舉例來說,到達晶圓表 eV減少到約1 5 eV。晶座1 8是允許浮 約5到1 〇 ev時,晶座丨8是可浮動的。 度通常是在約1 〇到1 5 eV的範圍内。 縱橫比接點之半導體晶圓的詳細觀 有上述所提各項功能之儀器,在本發 晶圓20之具有高縱橫比接點之半導體 。在圖1A裡,晶圓2〇包含一矽的基材 一般會沈積一絕緣層42,譬如像是一 氧化石夕層。藉由蝕刻或一些其他製 之絕緣的二氧化矽層4 2,做出很多的
1231540 五、發明說明(9) 孔洞44或通道,使在底下的導電矽層4〇暴露出來。此暴露 出來的區域位於孔洞44的底部,構成接點46,應藉由一稱 為「金屬化」的製程與一導電體相連接。在金屬化製程 中’在接點46之上典型地會鍍上一鈦或氮化鈦的薄層48, 而後用一導電金屬如鎢沈積成一覆蓋層5 〇以填滿孔洞4 4, 形成一延伸穿透二氧化矽層4 2的接點。此鈦及氮化鈦層加 強了鶴與石夕底層4 0間的鍵結強度與導電率。
形成一氧化矽層4 2及孔洞4 4分佈圖的製程,典型地是 在一或多台製程機器中完成,過程裡,晶圓被從這些機台 中經過一含氧環境運送到一化學氣相沉積設備裡以進行下 一步製程。在部分進行晶圓2 〇製程的運送途中,氧化物會 有在,點46上生成的傾向,這些生成的氧化物具有能抑制 鈦或氮化鈦沉積到接點4 6的矽上之效果,且能增加接點處 的電阻率:藉由本發明的製程方式,在以化學氣相沉積= 沉積鈦或氮化鈦層之前,接點46會被一含氫及惰性氣體之 電感耦合電漿清潔乾淨。不同於以往製程中所用之低密声 電漿,電感耦合電漿動力源能在氣體混合物中生成二高ς 度電漿,以達到有效清潔接點的效果,而不需透過施加ς 頻偏壓的方式或藉由顯著的自偏壓以施加偏壓於晶圓基 板。 土 根據本發明之製程方式的實施例,將一以矽為基板 40其上覆蓋一如二氧化矽的絕緣層42,再依設計佈^制 一些穿透絕緣層42而連通到矽基板層4〇上的孔洞44這^ 晶圓放置到设備1 0的處理室1 6中的晶座1 8上。然後,數
1231540 五、發明說明(ίο) 一惰性氣體,譬如氬氣或氦氣,來排空處理室1 6,並將其 抽真空到大約1 0_4 Torr。當處理室1 6中的製程中不需要之 氣體被排空時’ 一氫和惰性氣體的混合物便從氣體供應源 1 2進入到處理室1 6中。流速能在約1到2 〇 s c c m的範圍内 譬如當氫氣在氣體混合物中所佔比例介於2 〇到8 〇百分比之 間,其他用如氬氣或氦氣等惰性氣體來保持平衡,此時, 氫氣的流速約為3到1 2 sccm。若有需要的話,提供能量給 加熱器2 4 ’它便能將晶圓2 0加熱到約5 〇 〇。〇,或維持在室 温或低達次室溫的溫度下。
當氣與惰性氣體混合物的氣流已被建立之後,產生哭 3 4會被活化以提供能量給一電感耦合電漿,此電漿是在鄰 近於被撐托在晶座18之上表面22的晶圓20處的氣體混合物 中。氣體混合物中的氫氣含量在4〇到8〇百分比的範圍裡 時,有利於電漿的激發。雖然其他的頻率,譬如2 MHz或 1^、5 6 MHz,也能被使用,但典型地,來自產生器34的能 ,是在中間頻帶的450 kHz。在此清潔製程中,晶座18不 而要被旋轉。此製程的條件大約維持6 〇秒。不需要施加任 何偏壓於晶圓20,也不需要接地。在製程過程中,一富含 氫的濃稠電漿僅僅藉由電感耦合電漿的線圈來放電,在無 施加射獲偏壓或顯著的自偏壓於晶圓2〇的情況下,產生氫 f子團及惰性氣體離子對矽與二氧化矽進行化學蝕刻。本 X明的製程方式僅會對晶圓2〇造成低度的損害。 本卷,的清潔方法是依靠由濃稠氫氬電漿或氫氦電漿 生的氫原子團及惰性氣體離子對矽與二氧化矽進行化 1231540 五、發明說明(11) 學餘刻。其反應式如下: (2+x)H + Si〇2 -> SiHx(g) + H2〇( } xH* + Si - SiHx(g) 2 =可以是任意值。此浮動❼晶圓支座或晶座U 2,電漿產生一低的電漿電位與一低的偏壓電位。 =電水電,低於使氫發生離子化的電位。所以,此電 :自由的氫原子團,有助於有效清潔接點表面,: = = Γ對元件所造成的損[所形成的電 =位准持在低檔。因此之故,離子轟擊便被減少或消除 污染矽晶圓的氟化碳也能被暴露在不 ,壓便能有效清潔晶圓的電感輕合電聚之中十=; 圓:ΠΓ碳Γ是在利用氣化碳化學物質對覆蓋在: :生的…碳污染物會殘…晶Κ L2疋在接點46的角落處。藉由本發明的電感耦 水β冻製程能移除氟化碳污染物,其反應式如下1 ° it yH* + CFX(s) - CfxHy(g) 此處的χ與7可以是任意值。所以本發明的 二 f製程能用來清除在ULSI製程中習知的餘刻;二吝 的:染物。同樣地,也能移除其他有機ί染物。 此電感耦合電漿清潔製程也能應用到鋼金屬化制 或/、他譬如銀或金的金屬化製程裡。銅氧化物(銀氧^匕壬物
1231540 五、發明說明(12) 或金氧化物)能在不濺鍍銅金屬的情況下被移除,其反應 式如下: ^ 2H* + CuO —> Cu + H20(g) 對於一些金屬,如銅、銀或金,的氧化物,氬原子能 將其濺鍍,而因此會在移除氧化物的過程時損傷到金屬。 因為氦原子無濺鍍金屬的傾向,所以在電漿氣體混合物中 的惰性氣體若使用氦氣,有助於降低對晶圓表面的^傷。 ^樣的道理,本發明的清潔方法能應用到其他金屬化清潔 製程上,舉例來說,可依照如下的反應式進行: 、
TiOx + 2H* — Ti + H20(g)
CoOx + 2Η* — Co + H20(g) WOx + 2H* NiOx +
TaOx + 2H* — Ta + H20(g) ‘ W + H20(g) —Ni + H20(g) 此處的X可以是任意值。這些金屬不會被氬原子明顯 从又+所以不疋氬氣就是氦氣會被應用到電漿氣體混合 物之中。 处、11用來清潔晶圓表面之外,氫/氩電感耦合電漿也 ^移除半導體電漿製程儀器之内部表面上的物質, 罩30的内部表面及晶座18的表面,特別是用在清 =雷咸叙=多道晶圓製造程序的處理室之儀器上。本發明 電感耦&電漿清潔製程被用來喚回正常的晶圓製程結 2會因在之前的晶圓製造過程中沉積在儀器内部表 勺物質而影響後續進行的晶圓製程。電感耦合電漿被用
第17頁 1231540 五、發明說明(13) 來改善在氬錢蚀刻石夕晶圓過程中所沉積在處理室内部表 面的矽沉積物的情形。传用& & / ^ ^ ^ ^ _ 、 A 便用此氧/氣電感耦合電漿來改善 月皂消除對電感搞合電数設借齡 ^ ^ ^ : ^电水汉備所造成的記憶效應。此氫/氬 與二二I電水s二’儿積在處理室内部表面的石夕殘留物起化 :飾二qifSlilx/體,而後被移出處理室外。舉例來說’ 0. . ΛΑ ^ 7 % 以1 〇〇〇 W電漿動能,含60 %氫40 ^虱的電感耦合電漿,對處理室進行200秒的改善處理, i =使此處理室在複晶石夕银刻前及餘刻後具有相同的氧化 曰。刻速率。虽缺少本發明的改善處理時,需要犧牲5片 =圓以移除沉積在處理室表面的氧化物,彳能使後續的蝕 刻速率回復到正常的位準。 圖t到圖5A及5B提供了用於清潔接點之二氧化矽蝕刻 乂私的範例。在圖2中,測試條件設定在,使用1 0 0 0 W電 感輕合,漿動能,處理室壓力為5 mt〇rr,氫氣流速為12 sccm ’氬氣流速為8 sccm,及晶座溫度為5〇〇 〇c的情況 下。隨著時間的變化’得到一時間對被蝕刻量的座標圖, 自此圖中’可發現在蝕刻過程中,蝕刻速率為一常數,且 無潛伏時間。圖3是在使用與圖2等量的電漿動能、壓力及 流速參數的情況下,處理時間設定為60秒時,所得到在不 度下,氫濃度對蝕刻量的座標圖。從圖3中可看出, 氣遭度與蝕刻速率間並沒有明顯的關聯,而最大的蝕刻速 率發生在氣體含量約為60 %氫40 %氬的情況下。可用氦氣 取代這裡的氛氣。圖3的左下方有一座標點,是表示當不 含氫氣時,蝕刻速率僅為每分鐘3A ,在此提供參考。這麼
第18頁 1231540 五、發明說明(14) 小的蝕刻量,是被加速通過介於晶圓表 間之電綱說離子所移除的二氧切。漿 濃度的情況下,發現晶座溫度較低時具^的^^飞 率。圖4是在變化不同的電感…漿動能處理室匕 及氫濃度的情況下,得到—曰庙加庚斜μ 處理至壓力 圖,自此圖中也可看到曰广曰曰座/J!度對蝕刻速率的座標 率。從圖4中也可進一步看^時具合有^高 電漿動能的減少及處理室壓Λ x 、Η曰隨著電感耦合 基於在圖2至圖"以
有一實驗被設計來評估此用於、主、初垃L虱 蝕刻結果,另 程’即觀察其移除實際元件上:感耦合電漿製 石夕的效果。針對此試驗 孔底部的二氧化石夕與 ;進行。對於所有試驗厚二物等冗曰積:其接點上的元件 除,且此清潔製程在以電心”二氧化妙被移 以化學氣相、„接、土、_ a电浆化予軋相沉積法沉積70A的鈦/ 時採用如下的變化:件2:〇〇A白々氮化鈦時也被沿用。在試驗
至’皿,僅用電感轉合電漿 ^0 °c,僅用電感耦合電漿 為〇°c,僅用電感耦合電漿 也以使明與習知技術之間的差,,同樣的試驗 件如下用射頻偏壓及用氫敦酸清潔的方式進行,其設定條 5 〇 〇 °p ^ ,200 watt射頻偏壓
1231540 五、發明說明(15) 以氫氟酸浸泡,持續3 〇秒(炎本 一 、多号用) 圖5 A和5 B顯示以這此不间沾# 點電阻值,其中,圖5Ai描::接4點清:製程所得到的接 製程的結果,圖5B則是對〇 45 // m的通道進仃此清潔 4 J # m的通道進行此清潔贺鋥 =果。令人驚,的是,最低的電阻值是發生纟,以僅用 電感耦合電漿在室溫時進行的製程中。以僅用電 入 浆在室溫時進行的製程,所得到的結果明顯優於二在;;〇 C時施加一射頻偏壓並用氫氬蝕刻的結果,也優於以氫氟 酸浸泡的參考製程所得之結果。 此氫氬電感麵合電漿清潔製程,對其他晶圓表面與接 點污染物,特別是以碳為主的污染物,之延伸能力可從其 將矽晶圓表面的氟化碳移除的實例中得到印證。如上述所 討論的,氟化碳污染物是在使用氟化碳化學物質乾蝕刻覆 盍在晶圓上的光阻時所產生的。表1提供氮/复電感麵合電 漿,在3 0 °C到50 0 °c的溫度範園内,從矽晶圓表面移除氟 化碳高分子污染物的蝕刻數據。所有的,但是大約單一層 的碳,被從矽晶圓表面移除。在此,碳被相信是以揮發性 的CHxFy的形式被移除。在進行此製程之前,雖然含氟的程 度在低於偵查界線之下,但〆般相信氟是以HF和/或CHxFy 的形式被移除。 表1 經歷氫/氬電感耦合電漿製稃之前與之後的碳與氟之密度
第20頁 1231540 五、發明說明(16) p 製程4 卜數 污染物 樣本 溫度 壓力 偏壓 ICP動能 時間 破4 氟 - CC) CmT) (WattsAfelts) (Watts) (seconds) (atoms/cm2) (atoms /cm2) 版..監控 27 X 10,G 8 x 10,5 清潔§1、 20 x 10丨5 耒偵測到氟 1 30 10 無偏壓 1000 5 4.0 X 10,5 未偵測到氟 2 100 10 無偏塵 1000 5 4.5 X 10,5 未偵測到氟 3 200 10 無偏壓 1000 5 4.0 X 1015 未偵測到氟 4 300 10 無偏壓 1000 5 3.0 X 10丨5 未偵測到氟 5 400 10 無偏壓 1000 5 4.0 X 1015 未偵測到氟 6 500 10 無偏壓 1000 5 3.5 X 10,5 未偵測到氟 *+/-0· 5 X 1015 **偵測氟的界線為1. 0 X 1 〇i6 此氫/ i電感輛合電I清潔製程%伸到清潔石夕晶圓以 外的應用上之實例’可從移除處理室内部表面上因進行 石夕晶圓的氬濺鑛姓刻而產生的沉積石夕中得到印冑 現到,在姓刻氧化物晶圓前’在進行完賤鍍姓刻妙晶圓製 程之後,當進行易反,的氫/氬電感耦合電漿清潔製程 ?,5晶圓t移除二氧化矽的速率改變了。此效應'也就 是所謂的記憶效應,一般典型地备& 心 ’ 晶圓後消失,如圖6所示,其中;^接連姓刻5片氧化物 值與最小值之間的差異除以兩倍的八'"不分佈曲線的最大 其中i以㈣為-標準差。從圖曲線的平均值,且 量所得數據中發現,氧化物姓刻移^立,餘軋體“以)測 J移除速率的回復與從石英
第21頁 1231540 五、發明說明(17) 處理胃室内部表面移除石夕的過程同時發生(31}12的量減少而Η 2 0的篁增加)。很明確地,殘留氣體分析的訊號顯示出,在 以氳/氬電漿從處理室表面移除矽的同時,Si仏與§1札的訊 唬都減少了。使用製程空片來喚回氧化物的蝕刻速率不但 會增加成本,而且不切實際。因此,本發明利用氫/氬電 感耦合電漿的原位處理室清潔步驟,被用來將處理過矽蝕 刻製程之後的氧化物蝕刻製程回復到正常速率。在圖8 中’可看出處理室内部表面經氫/氮電感搞合電裝處理 後,能完全回復氧化物的蝕刻速率。在處理過程中, 在,成Si Hx的形況下從處理室内部表面被有效移除 : 含氟的化合物,矽的化合物是親環境的化學物質,处 ^^a^m±^H20(^)>Si〇2(^) 〇 , 潔製程涉及齒化氣體’ 4些函化氣體能在生 ::: ,情況之下移除污染物。至此可知,4吏用本發明 =方式,允許在無揮發性物種生成或不需使用製程=4 情況下清潔處理室。 二片的 從上述所提及的本發明之製程方式與設備之每 描述中,|明顯地,精於本項技術之一般人士能::二的 本發明之範圍内,對此所揭露之實施例進行變化與添加離
第22頁 1231540 圖式簡單說明 五、【圖式簡單說明^ 圖1係依本發明一實施例之化學氣相 面圖,此設備包含清嗲呈古w ρ αα積认備的横剖 之接點的儀器;;Γ 縱k比特徵部之半導體晶圓上 圖1A係顯示沿圖i中之j A線所取的部分放 圖,描繪,一具有高縱橫比通道的晶圓; 、^面 圖2係顯示利用本發明的製 座標圖; 丁〈吋間對蝕刻量的 圖3係顯不利用本發明的製程所得之氫濃度對# μ、& 率的座標圖; 、風/辰没對餘刻速 速率=示利用本發明的製程所得之晶座溫度對餘刻 種不==係:示利用本發明的製程及習知技術的各 ϊ = j J清潔0·4,和0·45⑽大小的通 、,所付之接點電阻對累計機率的座標圖; 圖6的座標圖係描繪在進行完複晶矽晶圓 =氧化物勉刻製程時,#由所钱刻之 : 察到的記憶效應; )里叩规 圖7的座標圖係描繪使用RGA及射頻偏壓探針,藉由所 蝕刻之氧化物的量而觀察到的記憶效應;及 圖8的座標圖係描繪經過2〇〇秒和4〇〇秒的氫/氬電感耦 :電漿#刻製程後,藉由對後續晶圓所#刻之氧化物& ϊ ’來觀察此製程對複晶石夕晶圓姓刻後所造成的記憶效應 之消除效果。 第23頁 1231540 圖式簡單說明 元件符號簡單說明: 1 〇〜化學氣相沈積設備 1 2〜氣體供應器 1 4〜導入管線 16〜高密度電漿放電處理室 1 8〜晶圓支撐器或晶座 2 0〜晶圓 22〜支撐面 24〜加熱器/降溫器 2 6〜渦輪分子泵 3 2〜螺旋線圈 3 4〜射頻源 36〜產生一高密度電漿的區域 4 0〜秒的基材或基板層 4 2〜絕緣(如二氧化矽)層 4 4〜孔洞或通道 4 6〜接點 48〜鈦或氮化鈦薄層 5 0〜導電金屬(如鎢)覆蓋層

Claims (1)

1231540 六、申請專利範圍 1 · 一種半導體晶圓處理室的表面清潔方法,用以對 一半導體晶圓處理室内之一表面,在給定之處理條件而不 施加射頻偏壓於該表面的狀況下,進行該表面的清潔,此 方法包含: a) 提供一包含氫氣及惰性氣體的氣體混合物給該半導 體晶圓處理室; b) 藉由對連接到該半導體晶圓處理室的一電感耦合電 漿動力源施加能量,以在該氣體混合物中形成一電感耦合 電漿,且將電漿對表面之偏壓電位維持在低於會在此處理 條件下發展產生之一自偏電壓的位準;及 c) 將該電漿維持一段充分的時間,以移除表面上的污 染物。 2 ·如申請專利範圍第1項之半導體晶圓處理室的表面 清潔方法,更包含提供一半導體晶圓到半導體晶圓處理室 裡一無施加偏壓也無接地之晶座表面上之步驟,此晶圓包 含在二氧化矽層裡的矽接點,矽接點上有氧化污染物,於 此步驟中,將該電漿維持一段充分的時間,以移除矽接點 上的氧化污染物。 3 .如申請專利範圍第1項之半導體晶圓處理室的表面 清潔方法,更包含提供一半導體晶圓到半導體晶圓處理室 裡一無施加偏壓也無接地之晶座表面上之步驟’此晶圓包 含在二氧化矽層裡的矽接點,矽接點上有氟化碳污染物,
第25頁
於此步驟中 點上的氟化
碳污染物 毁維持一段充分的時間,以移除石夕接 清潔方、、如申明專利範圍第1項之半導體晶圓處理室的表面 體曰圆^,在提供氣體混合物之前,更包含··對於該半導 蝕:之::室ti至少—片半導體晶圓上之複晶矽層進行 圓處理驟會有石夕殘留污染物沉積在該半導體晶 圓i理= 及在前述步驟後,自該半導體晶 該電漿維持一=右八v —片晶圓之步驟,在此步驟中,將 内部矣品、&充/刀的時間,以移除該半導體晶圓處理室 丨表面上之矽殘留污染物。 ^ ΰ ·如申請專利範圍第j 二潔方法,更包含提供一半 至裡無施加偏壓也無接地 體晶圓包含金屬化層,在此 此步驟中,將該電漿維持一 化層上的氧化污染物。 項之半導體晶圓處理室的表面 導體晶圓到該半導體晶圓處理 之晶座表面上之步驟,該半導 金屬化層上有氧化污染物,在 段充分的時間,以移除該金屬 、生 如中請專利範圍w項之半導體晶圓處理室的表面 二^方法,更包含提供一半導體晶圓到該半導體晶圓處理 至不曰無&加偏壓也無接地之晶座表面上之步驟,該半導 體b曰圓包含金屬化層,在此金屬化層上有有機污染物,在 此步驟中,將該電漿維持一段充分的時間,以移除該金屬 1231540 六、申請專利範圍 化層上的有機污染物。 7 ·如申請專利範圍第6項之半導體晶圓處理室的表面 清潔方法,其中該金屬化層是選自於由銅、銀及金所組成 的群組裡,且所用的惰性氣體為氦氣。 8 ·如申請專利範圍第6項之半導體晶圓處理室的表面 清潔方法,其中該金屬化層是選自於由鈦、始、钽、鐫及 鎳所組成的群組裡,且所用的惰性氣體為氬氣或氦氣。 9 ·如申請專利範圍第1項之半導體晶圓處理室的表面 清潔方法,其中,該惰性氣體為氬氣或氦氣。 I 0 .如申請專利範圍第1項之半導體晶圓處理室的表 面清潔方法,其中,該氣體混合物組成中包含約2 0 %到約 80 %的氫氣。 II ·如申請專利範圍第1項之半導體晶圓處理室的表 面清潔方法,其中,該電感耦合電漿動力源被施加能量, 以提供大約1 5 e V或更少之電漿對表面之偏壓電位。 1 2 ·如申請專利範圍第1項之半導體晶圓處理室的表 面清潔方法,其中,該電感耦合電漿動力源被施加能量, 以提供介於大約1 0到1 5 eV之電漿對表面之偏壓電位。
第27頁 1231540 六、申請專利範圍 1 3 ·如申請專利範圍第1項之半導體晶圓處理室的表 面清潔方法,更包含提供一半導體晶圓到該半導體晶圓處 理室裡一電性絕緣晶座表面上,並在一約5到1 0 eV範圍之 電位時使該半導體晶圓保持浮動。 請專利範圍第1項之半導體晶圓處理室的表 其中,該電感搞合電漿動力源被施加能量, 以形成一主要由惰性氣體離子及氫原子團所組成之電漿。 14 ·如申 面清潔方法, 15 · —種 半導體晶圓 理條件 的清潔 放置該 定之處 矽接點 a) 接地之b) 體晶圓 c) 漿動力 電漿, 此處理d) 接點上 晶座表 提供一 處理室 藉由對 源施加 且將電 條件下 將該電 的污染 半導體晶圓上之矽接點的清潔方法,用以對 處理室内之一半導體晶圓^上之矽接點,在給 而不施加射頻偏壓於該晶圓的狀況下,進行 ,此方法包含: 半導體晶圓於該半導體晶圓處理室中之一無 面上,於該晶圓上之矽接點上有污染物; 包含氫氣及惰性氣體的氣體混合物給該半導 連接到該半導體晶圓處理室的一電感耦合電# 能量,以在該氣體混合物中生成一電感耦合f 漿對半導體晶圓之偏壓電位維持在低於會在 發展生成之自偏電壓的位準;及 感耦合電漿維持一段充分的時間,以移除矽 物。
第28頁 1231540
1 6 ·如申請專利範圍第1 5項之半導體晶圓上之矽接點 的清潔方法,其中,該惰性氣體為氬氣或氦氣。 1 7 ·如申請專利範圍第1 5項之半導體晶圓上之矽接點 的清潔方法’其中,該氣體混合物組成中包含約2 〇 %到約 8 0 %的氫氣。 如法約 •方大 18潔供 清提。 的以位 li, 接量 碎能 之加 上施 圓被 晶源 體力 導動 半漿 之電 項合 5 馬 1耜 第感 圍電 範該 利, 專中 請其 中 <5Ϊ『 壓 偏 之 圓 晶 體 導 半 對 漿 電 之 少 更 或 V e 5 11
生·如申請專利範圍第丨5項之半導體晶圓上之矽接點 的f潔方法’其中,該電感耦合電漿動力源被施加能量, 以提供介於大約丨〇到丨5 ev之電漿對半導體晶圓之偏壓電 位0 的、、主2 j ·如申請專利範圍第1 5項之半導體晶圓上之矽接點 室清f方法,其中放置一半導體晶圓到該半導體晶圓處理 ^ = 一電性絕緣晶座表面上,並在一約5到1 0 eV範圍之電 位時使該半導體晶圓保持浮動。 2 1 ·如申請專利範圍第丨5項之半導體晶圓上之矽接點
第29頁 1231540 六、申請專利範圍 的清潔方法,其中,該電感耦合電漿動力源被施加能量, 以形成一主要由惰性氣體離子及氫原子團所組成之電漿。 22 .如申請專利範圍第1 5項之半導體晶圓上之矽接點 的清潔方法,更包含將該晶座表面加熱到高於室溫達於大 約5 0 0 °C的溫度之步驟。 23 ·如申請專利範圍第1 5項之半導體晶圓上之矽接點 的清潔方法,更包含將該晶座表面冷卻到一低於室溫的溫 度之步驟。 24 ·如申請專利範圍第1 5項之半導體晶圓上之矽接點 的清潔方法,更包含將該晶座表面維持在室溫之步驟。 2 5 · —種半導體晶圓處理室内之矽晶圓接點表面與處 理室内表面的清潔方法,用以在給定之處理條件而不施加 射頻偏壓於該等表面及晶圓的狀況下進行該等表面之清 潔,此方法包含: a) 於該半導體晶圓處理室裡,對至少一片第一組的半 導體晶圓上之複晶矽層進行蝕刻,此過程會產生矽殘留污 染物沉積於該半導體晶圓處理室的内部表面上,在進行完 蝕刻複晶矽層之製程後,自該半導體晶圓處理室裡移除該 至少一片之半導體晶圓; b) 提供一包含氫氣及惰性氣體的氣體混合物給該半導
第30頁 1231540
C)藉由對連接到該半導體晶圓處理室的一電感麵合電 漿動力源施加能量,以在此氣體混合物中生成第一電感耦 合電聚,且將第一電感耦合電聚對表面之偏壓電位維持在 低於會在此處理條件下發展生成之自偏電壓的位準; d )將该第一電感耦合電漿維持一段充分的時間,以移 除該半導體晶圓處理室内部表面上的矽殘留 六、申請專利範圍 體晶圓處理室 e) …少-片具有污染物於硬接V/:上物之[ 組半導體晶圓於該半導體晶圓處理室裡無接地之晶座表面 上;β 一 ❿ f) 提供一包含氫氣及該惰性氣體的氣體混合物給該 _ 半導體晶圓處理室; g) 藉由對連接到該半導體晶圓處理室的電感耦合電 漿動力源施加能量,以在此氣體混合物中生成第二電感耦 合電漿,且將第二電感耦合電漿對表面之偏壓電位維持在 低於會在此處理條件下發展生成之自偏電壓的位準;及 h) 將邊第一電感搞合電漿維持一段充分的時間,以 移除該半導體晶圓上之矽接點上的矽殘留污染物。 2 6 ·如申請專利範圍第2 5項之半導體晶圓處理室内之f 石夕aa圓接點表面與處理室内表面的清潔方法,其中,該惰 性氣體為氬氣或氦氣。 27 ·如申請專利範圍第25項之半導體晶圓處理室内之
第31頁 1231540 六、申請專利範圍 矽晶圓接點表面與處理室内表面的清潔方法,其中,該氣 體混合物組成中包含約2 0 %到約8 0 %的氫氣。 28 ·如申清專利範圍弟25項之半導體晶圓處理室内之 矽晶圓接點表面與處理室内表面的清潔方法,其中,該電 感耦合電漿動力源被施加能量,以提供大約1 5 eV或更少 之第一及第二電感耦合電漿對表面之偏壓電位。 2 9 ·如申請專利範圍第2 5項之半導體晶圓處理室内之 矽晶圓接點表面與處理室内表面的清潔方法,其中,該電 感耦合電漿動力源被施加能量,以提供介於大約1 0到1 5 eV之第一及第二電感耦合電漿對表面之偏壓電位。 3〇 ·如申請專利範圍第25項之半導體晶圓處理室内之 矽晶圓接點表面與處理室内表面的清潔方法,其中,放置 一半導體晶圓到該半導體晶圓處理室裡一電性絕緣晶座表 面上,並在一約5到1 0 e V範圍之電位時使該半導體晶圓保 持浮動。 3 1 ·如申請專利範圍第2 5項之半導體晶圓處理室内之| 矽晶圓接點表面與處理室内表面的清潔方法,其中,該電 感耦合電漿動力源被施加能量,以形成一主要由惰性氣體 離子及氫原子團所組成之電漿。
第32頁 1231540 六、申請專利範圍 32 .如申請專利範圍第25項之半導體晶圓處理室内之 矽晶圓接點表面與處理室内表面的清潔方法,更包含,將 該晶座表面加熱到高於室溫達於大約5 0 0 °C的溫度之步 3 3 ·如申請專利範圍第2 5項之半導體晶圓處理室内之 矽晶圓接點表面與處理室内表面的清潔方法,更包含,將 該晶座表面冷卻到一低於室溫的溫度之步驟。 34 ·如申請專利範圍第25項之半導體晶圓處理室内之 矽晶圓接點表面與處理室内表面的清潔方法,更包含,將 該晶座表面維持在室溫之步驟。 35 · —種半導體晶圓上之金屬化層的清潔方法,用以 對一半導體晶圓處理室内之一半導體晶圓上之金屬化層, 在給定之處理條件而不施加射頻偏壓於該晶圓的狀況下, 進行金屬化層的清潔,此方法包含: a) 放置半導體晶圓於該半導體晶圓處理室裡無接地 之晶座表面上,該半導體晶圓具有金屬化層,該金屬化層 上有氧化污染物; b) 提供一包含氫氣及惰性氣體的氣體混合物給該半導 體晶圓處理室, c) 藉由對連接到該半導體晶圓處理室的一電感耦合電 漿動力源施加能量,以在該氣體混合物中生成一電感耦合
第33頁 1231540 六、申請專利範圍 電漿,且將電漿對半導體晶圓之偏壓電位維持在低於會在 此處理條件下發展生成之自偏電壓的位準;及 d )將該電感耦合電漿維持一段充分的時間,以移除該 金屬化層上的氧化污染物。 36 ·如申請專利範圍第35項的半導體晶圓上之金屬化 層的清潔方法,其中該金屬化層是選自於由銅、銀及金所 組成的群組裡,且所用的惰性氣體為氦氣。 3 7 ·如申請專利範圍第3 5項的半導體晶圓上之金屬化 層的清潔方法,其中該金屬化層是選自於由鈦、鈷、鈕、 鎢及鎳所組成的群組裡,且所用的惰性氣體為氬氣或氦 氣。 38 .如申請專利範圍第35項的半導體晶圓上之金屬化 層的清潔方法,其中,該惰性氣體為氬氣或氦氣。 3 9 .如申請專利範圍第3 5項的半導體晶圓上之金屬化 層的清潔方法,其中,該氣體混合物組成中包含約2 0 %到 約8 0 %的氛氣。 40 ·如申請專利範圍第35項的半導體晶圓上之金屬化 層的清潔方法,其中,該電感耦合電漿動力源被施加能 量,以提供大約1 5 eV或更少之電漿對半導體晶圓之偏壓
1231540 六、申請專利範圍 電位。 4 1 ·如申請專利範圍第3 5項的半導體晶圓上之金屬化 層的清潔方法,其中,該電感耦合電漿動力源被施加能 量,以提供介於大約1 0到1 5 eV之電漿對半導體晶圓之偏 壓電位。 42 ·如申請專利範圍第35項的半導體晶圓上之金屬化 層的清潔方法,其中放置一半導體晶圓到該半導體晶圓處 理室裡一電性絕緣晶座表面上,並在一約5到1 0 eV範圍之 電位時使該半導體晶圓保持浮動。 43 ·如申請專利範圍第35項的半導體晶圓上之金屬化 層的清潔方法,其中,該電感麵合電漿動力源被施加能 量,以形成一主要由惰性氣體離子及氫原子團所組成之電 漿0 44 ·如申請專利範圍第35項的半導體晶圓上之金屬化 層的清潔方法,更包含將該晶座表面加熱到高於室溫達於 大約5 0 0 °C的溫度之步驟。 45 ·如申請專利範圍第35項的半導體晶圓上之金屬化 層的清潔方法,更包含將該晶座表面冷卻到一低於室溫的 溫度之步驟。
第35頁 1231540 六、申請專利範圍 46 ·如申請專利範圍第35項的半導體晶圓上之金屬化 層的清潔方法,更包含將該晶座表面維持在室溫之步驟。 47 . —種半導體晶圓處理設備,包含: a) —真空清潔處理室,具有一石英鐘形罩 空清潔處理室裡有一無施加偏壓也無接地之電 支撐器; ,及在此真 性絕緣晶圓 b) — 製程所需 c) 一 潔製程所 d) — 理室裡, e) — 的石英鐘 f) 一 真空清潔 理室裡的 耦合電漿 g) 操 包含:用 氫氬氣體 氫氬氣體 連接到 之氣體 能與該 需之氫 氫氣與 氫氣所 電感耦 形罩外 連接到 處理室 氫氬氣 主要是 作該電 來控制 混合物 混合物 該真空清 導引進入 氣流口相 氣與惰性 惰性氣體 佔體積百 合電漿之 圍; 電感線圈 相連結, 體混合物 由氫原子 感耦合電 從該氣體 ,以供給 ;用來施 潔處理室之氣流口,用來將清潔 該真空清潔處理室; 連接之氣體供應源, 氣體; 的氣體混合物’在該 分比介於20 %到80 % 線圈,纏繞在該真空 用來供應清 真空清潔處 之間; 清潔處理室 之射頻能量源,此電 透過該電感線圈在該 中產生一電感耦合電 團與惰性氣體離子所 漿清潔設備的控制裝 供應源流進該真空清 一含氫體積百分比2 0 加能量於該氮氮氣體 感線圈與該 真空清潔處 漿,該電感 組成; 置,其作用 潔處理室的 %到8 0 %的 混合物中的
第36頁 1231540 六、申請專利範圍 2裝以產生氫原子團與惰性氣體離子;用來將該晶圓支撐 裔維持在一浮動電位以產生一電漿對晶圓基板之偏壓電 位’該電漿對晶圓基板之偏壓電位在低於會在此處理條件 下發展生成之自偏電壓的位準;及用來在該真空清潔處理 室裡清潔晶圓基板上的接點。 48 ·如申請專利範圍第47項之半導體晶圓處理設備 更包含: 一渦輪分子泵,此渦輪分子泵被連接到該真空清潔處 理室的氣流口 ,且可被操作用來將清潔製程所需之氣體從 該氣體供應源透過該氣流口進入該真空清潔處理室,使該 氣體從氣流口順流到該晶圓基板支撐器,且透過此渦輪分 子泵從晶圓基板支撐器流出該真空清潔處理室。 49 ·如申請專利範圍第47項之半導體晶圓處理設備 其中: 該射頻能量源的射將At旦g 士 , >1 r Λ T q耵頸旎置具有一大約450 kHz之中等 5J ··如申請專利範圍第47項之半導體晶圓處理設備, 更包含· …=丨可操作方式連接到晶圓支撐$,此加熱 ^ ^ ^" 2所控制,以在晶圓基板之清潔過程中將 該日日a基板加熱至高達約50〇 t的溫度。
1231540 六、申請專利範圍 5 1 ·如申請專利範圍第47項之半導體晶圓處理設備, 更包含: 一冷卻器,以可操作方式連接到晶圓支撐器,此冷卻 器是由該控制裝置所控制,以在晶圓基板之清潔過程中將 該晶圓基板冷卻至低於室溫的溫度。
第38頁
TW093101011A 2003-01-15 2004-01-15 Method and apparatus for removing material from chamber and wafer surfaces by high temperature hydrogen-containing plasma TWI231540B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/342,645 US6992011B2 (en) 2003-01-15 2003-01-15 Method and apparatus for removing material from chamber and wafer surfaces by high temperature hydrogen-containing plasma

Publications (2)

Publication Number Publication Date
TW200425331A TW200425331A (en) 2004-11-16
TWI231540B true TWI231540B (en) 2005-04-21

Family

ID=32711769

Family Applications (1)

Application Number Title Priority Date Filing Date
TW093101011A TWI231540B (en) 2003-01-15 2004-01-15 Method and apparatus for removing material from chamber and wafer surfaces by high temperature hydrogen-containing plasma

Country Status (4)

Country Link
US (1) US6992011B2 (zh)
JP (1) JP4690308B2 (zh)
TW (1) TWI231540B (zh)
WO (1) WO2004065658A1 (zh)

Families Citing this family (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2002099863A1 (fr) * 2001-06-01 2002-12-12 Tokyo Electron Limited Dispositif de traitement de plasma
KR100452273B1 (ko) * 2002-10-22 2004-10-08 삼성전자주식회사 챔버의 클리닝 방법 및 반도체 소자 제조 방법
JP4286025B2 (ja) * 2003-03-03 2009-06-24 川崎マイクロエレクトロニクス株式会社 石英治具の再生方法、再生使用方法および半導体装置の製造方法
US7341943B2 (en) * 2005-02-08 2008-03-11 Taiwan Semiconductor Manufacturing Co., Ltd. Post etch copper cleaning using dry plasma
EP1872383A2 (en) 2005-02-28 2008-01-02 Epispeed S.A. System and process for high-density,low-energy plasma enhanced vapor phase epitaxy
US7799138B2 (en) * 2006-06-22 2010-09-21 Hitachi Global Storage Technologies Netherlands In-situ method to reduce particle contamination in a vacuum plasma processing tool
US7416989B1 (en) 2006-06-30 2008-08-26 Novellus Systems, Inc. Adsorption based material removal process
US7977249B1 (en) 2007-03-07 2011-07-12 Novellus Systems, Inc. Methods for removing silicon nitride and other materials during fabrication of contacts
US7837805B2 (en) * 2007-08-29 2010-11-23 Micron Technology, Inc. Methods for treating surfaces
US8500913B2 (en) 2007-09-06 2013-08-06 Micron Technology, Inc. Methods for treating surfaces, and methods for removing one or more materials from surfaces
US8187486B1 (en) 2007-12-13 2012-05-29 Novellus Systems, Inc. Modulating etch selectivity and etch rate of silicon nitride thin films
US20090277874A1 (en) * 2008-05-09 2009-11-12 Applied Materials, Inc. Method and apparatus for removing polymer from a substrate
US7915115B2 (en) * 2008-06-03 2011-03-29 International Business Machines Corporation Method for forming dual high-k metal gate using photoresist mask and structures thereof
US7981763B1 (en) 2008-08-15 2011-07-19 Novellus Systems, Inc. Atomic layer removal for high aspect ratio gapfill
JP5358165B2 (ja) * 2008-11-26 2013-12-04 ルネサスエレクトロニクス株式会社 半導体集積回路装置の製造方法
US8058179B1 (en) 2008-12-23 2011-11-15 Novellus Systems, Inc. Atomic layer removal process with higher etch amount
US20110079242A1 (en) * 2009-10-07 2011-04-07 Werner Reiss Plasma cleaning of wire strands
US8487440B2 (en) 2010-07-09 2013-07-16 Infineon Technologies Ag Backside processing of semiconductor devices
US9553016B2 (en) 2010-07-09 2017-01-24 Infineon Technologies Ag Contacts for semiconductor devices and methods of forming thereof
JP6040544B2 (ja) * 2012-03-22 2016-12-07 日本電気株式会社 銅配線の表面処理方法及びその上に搭載する機能素子の製造方法
WO2014130926A1 (en) 2013-02-25 2014-08-28 Kla-Tencor Corporation Methods and apparatus for cleaning objects in a chamber of an optical instrument by generating reactive ions using photon radiation
JP2014220387A (ja) * 2013-05-08 2014-11-20 東京エレクトロン株式会社 プラズマエッチング方法
TWI553728B (zh) * 2014-07-30 2016-10-11 環球晶圓股份有限公司 邊緣氧化層剝除裝置及晶圓邊緣氧化層的剝除方法
US10325800B2 (en) * 2014-08-26 2019-06-18 Applied Materials, Inc. High temperature electrostatic chucking with dielectric constant engineered in-situ charge trap materials
US9431268B2 (en) 2015-01-05 2016-08-30 Lam Research Corporation Isotropic atomic layer etch for silicon and germanium oxides
US9425041B2 (en) 2015-01-06 2016-08-23 Lam Research Corporation Isotropic atomic layer etch for silicon oxides using no activation
US20170133284A1 (en) * 2015-11-05 2017-05-11 Texas Instruments Incorporated Smart in-situ chamber clean
US20170170018A1 (en) * 2015-12-14 2017-06-15 Lam Research Corporation Conformal doping using dopant gas on hydrogen plasma treated surface
CN106910714B (zh) * 2015-12-23 2019-12-17 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制作方法和电子装置
US9888554B2 (en) * 2016-01-21 2018-02-06 Asml Netherlands B.V. System, method and apparatus for target material debris cleaning of EUV vessel and EUV collector
TWI673230B (zh) * 2017-01-16 2019-10-01 昇佳電子股份有限公司 微機電裝置的製造方法
US10544519B2 (en) * 2017-08-25 2020-01-28 Aixtron Se Method and apparatus for surface preparation prior to epitaxial deposition
WO2019226341A1 (en) 2018-05-25 2019-11-28 Lam Research Corporation Thermal atomic layer etch with rapid temperature cycling
EP3821457A4 (en) 2018-07-09 2022-04-13 Lam Research Corporation ETCHING ATOMIC LAYER ETCHING USING ELECTRON EXCITATION
CN113059405A (zh) * 2019-12-30 2021-07-02 盛美半导体设备(上海)股份有限公司 半导体结构的加工方法及清洗装置
US11776805B2 (en) 2020-03-10 2023-10-03 Applied Materials, Inc. Selective oxidation and simplified pre-clean
US11380536B2 (en) 2020-05-05 2022-07-05 Applied Materials, Inc. Multi-step pre-clean for selective metal gap fill
US11626271B2 (en) 2020-06-18 2023-04-11 Tokyo Electron Limited Surface fluorination remediation for aluminium oxide electrostatic chucks
CN112209362B (zh) * 2020-09-27 2021-12-03 电子科技大学 一种等离子体诱导活化氟化碳的方法及锂一次电池制备

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2814021B2 (ja) * 1990-07-09 1998-10-22 三菱電機株式会社 半導体基板表面の処理方法
DE69531880T2 (de) 1994-04-28 2004-09-09 Applied Materials, Inc., Santa Clara Verfahren zum Betreiben eines CVD-Reaktors hoher Plasma-Dichte mit kombinierter induktiver und kapazitiver Einkopplung
JP3329128B2 (ja) * 1995-03-28 2002-09-30 ソニー株式会社 半導体装置の製造方法
US5647953A (en) * 1995-12-22 1997-07-15 Lam Research Corporation Plasma cleaning method for removing residues in a plasma process chamber
US6107192A (en) * 1997-12-30 2000-08-22 Applied Materials, Inc. Reactive preclean prior to metallization for sub-quarter micron application
JP4228424B2 (ja) * 1998-09-04 2009-02-25 ソニー株式会社 半導体装置の製造方法
US6805139B1 (en) * 1999-10-20 2004-10-19 Mattson Technology, Inc. Systems and methods for photoresist strip and residue treatment in integrated circuit manufacturing
AU2001259119A1 (en) * 2000-04-25 2001-11-07 Tokyo Electron Limited Method and apparatus for plasma cleaning of workpieces
TW451347B (en) * 2000-06-16 2001-08-21 United Microelectronics Corp Cleaning method after polycide gate etching

Also Published As

Publication number Publication date
US20040137750A1 (en) 2004-07-15
JP4690308B2 (ja) 2011-06-01
TW200425331A (en) 2004-11-16
WO2004065658A1 (en) 2004-08-05
US6992011B2 (en) 2006-01-31
JP2007535119A (ja) 2007-11-29

Similar Documents

Publication Publication Date Title
TWI231540B (en) Method and apparatus for removing material from chamber and wafer surfaces by high temperature hydrogen-containing plasma
US7704887B2 (en) Remote plasma pre-clean with low hydrogen pressure
TWI430356B (zh) 用於從基板移除金屬氧化物的設備以及其方法
US6569257B1 (en) Method for cleaning a process chamber
JP4084020B2 (ja) フォトレジスト物質の除去方法
KR101737378B1 (ko) 플라즈마 전해질 산화 코팅에서의 구리 또는 미량 금속 오염 물질의 감소
TWI600083B (zh) Plasma etching method
US6319842B1 (en) Method of cleansing vias in semiconductor wafer having metal conductive layer
US20010049181A1 (en) Plasma treatment for cooper oxide reduction
TW200818306A (en) Etch method in the manufacture of an integrated circuit
JP2008512854A (ja) 基板上のフォトレジストを除去する方法
JP2008526024A (ja) プラズマ処理装置用のシリコン電極及び炭化珪素電極の表面から黒色シリコン及び黒色炭化珪素を除去する方法
JP2008218959A (ja) エッチング方法および記憶媒体
TWI240366B (en) Method of manufacturing semiconductor device
TW201301391A (zh) 利用碳電漿浸入以修復低介電常數材料之方法
TW200828432A (en) Method of treating a mask layer prior to performing an etching process
TW200425251A (en) Method for producing semiconductor device and method for cleaning plasma etching device
JP2010010573A (ja) 半導体加工方法
US20050011612A1 (en) Plasma etching apparatus and plasma etching method
TWI451493B (zh) 低介電常數材料與金屬製程整合方法
US20130330920A1 (en) Method and apparatus for substrate preclean with hydrogen containing high frequency rf plasma
JP2012015411A (ja) 半導体装置の製造方法及び半導体装置
TWI223341B (en) Method of etching porous insulating film, dual damascene process, and semiconductor device
JP2000357684A (ja) ガス状汚染物を減少させることによるプラズマ処理の改良
JP4228424B2 (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees