JP7447278B2 - 選択的金属間隙充填のためのマルチステップ前洗浄 - Google Patents

選択的金属間隙充填のためのマルチステップ前洗浄 Download PDF

Info

Publication number
JP7447278B2
JP7447278B2 JP2022541997A JP2022541997A JP7447278B2 JP 7447278 B2 JP7447278 B2 JP 7447278B2 JP 2022541997 A JP2022541997 A JP 2022541997A JP 2022541997 A JP2022541997 A JP 2022541997A JP 7447278 B2 JP7447278 B2 JP 7447278B2
Authority
JP
Japan
Prior art keywords
plasma
process chamber
metal
dielectric
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2022541997A
Other languages
English (en)
Other versions
JP2023515750A (ja
Inventor
シー ツェン
ヤクアン ヤオ
イミン ライ
カイ ウー
アヴゲリノス ヴイ ジェラトス
デイヴィッド ティー オア
ケヴィン カシェフィ
ユ レイ
リン ドン
ヘ レン
イ シュー
メフル ナイク
ハオ チェン
マン-マン リン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2023515750A publication Critical patent/JP2023515750A/ja
Application granted granted Critical
Publication of JP7447278B2 publication Critical patent/JP7447278B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • H01L21/02244Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of a metallic layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02334Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment in-situ cleaning after layer formation, e.g. removing process residues
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Description

本開示の実施形態は一般に半導体の間隙の充填方法に関する。詳細には、開示の実施形態は、金属堆積選択性を向上させるために基板を前洗浄する方法に関する。
論理デバイスおよびメモリデバイスでは相互接続メタライゼーションが広範囲に使用されている。バイア/トレンチ間隙の充填用途には通常、ライナ膜およびそれに続くバルク堆積CVD/PVD膜が使用されている。しかしながら、特徴サイズが小さくなるにつれてバイア/トレンチ構造も小さくなり、ライナ膜の体積比は大きくなり、それにより、欠陥のない低抵抗率の金属間隙充填を達成することが難しくなる。
選択的堆積プロセスは、堆積中の1つの表面材料と別の表面材料のインキュベーション差(incubation difference)を利用する。このインキュベーション遅延を利用して、シーム/ボイドおよびライナ膜のないボトムアップ間隙充填を可能にすることができる。しかしながら、この技法がより幅広く使用されることを妨げているいくつかの問題がある。最も深刻な問題の1つは、誘電体フィールド(dielectric field)に対する金属表面の選択的金属成長の選択性を低下させるバイア底部および誘電体表面の不純物に起因する。現在のプロセスは、インキュベーションを短くするために金属底部の表面の表面汚染物質(例えば酸素、炭素、フッ素、塩素)を洗浄除去するのに、単一ガス前洗浄(例えばH2プラズマまたはArプラズマ)を使用している。しかしながら、Arプラズマは、特徴(トレンチまたはバイア)の側壁の選択性損失に寄与するスパッタリングを容易に引き起こしうる。水素(H2)プラズマを使用して、金属表面からフッ素、塩素および酸素汚染物質を洗浄除去することができるが、炭素汚染物質を除去するH2プラズマの効率は不十分である。より攻撃的な水素/アルゴン(H2/Ar)プラズマは欠陥を生じさせ、ついにはフィールド表面の選択性損失をもたらす。
一般に、フィールド上での無成長または最小限の成長を依然として維持しつつ、金属表面を効率的に洗浄することが、広範囲にわたる使用を妨げている主な課題である。単一ステップ前洗浄プロセスでは、用途を広げるのに選択性ウィンドウのロバストネスが十分ではない。例えば、異なるエッチング残留物または汚染物質を有する異なる表面構造は、選択的成長を可能にするために異なる前洗浄プロセスを必要とすることがある。
さらに、現在の選択的金属堆積プロセスはしばしば、選択性損失(フィールド損傷)とバイア底部洗浄効率との間の非常に狭い選択性ウィンドウを有する。この狭いウィンドウは、プロセスを1つまたは2つの用途だけに限定する。
したがって、当技術分野では、選択的堆積のために基板表面を前洗浄する改良された方法および装置が依然として求められている。
本開示の1つまたは複数の実施形態は、基板を前洗浄する方法を対象としている。表面汚染物質を有する金属底部と誘電体側壁および誘電体フィールドとを含む表面構造を備える基板を強い還元剤にさらして、純金属底部から酸化物および/または窒化物を除去し、誘電体の側壁および/またはフィールドに表面欠陥を生じさせる。基板を酸化剤にさらして、誘電体の側壁および/またはフィールドの表面欠陥を修復し、構造の金属底部を酸化して構造の金属酸化物底部を形成する。基板を弱い還元剤にさらして、誘電体に実質的に損傷を与えることなしに金属酸化物底部を還元して金属底部にする。
本開示の追加の実施形態は、プロセスチャンバ間で基板を移動させるように構成されたロボットを含む中央移送ステーションを備える処理ツールを対象としている。中央移送ステーションには第1のプロセスチャンバが接続されており、第1のプロセスチャンバは、強い還元プロセスを実行して、金属表面から表面汚染物質を除去し、誘電体側壁に欠陥を生じさせるように構成されている。中央移送ステーションには第2のプロセスチャンバが接続されており、第2のプロセスチャンバは、酸化プロセスを実行して、誘電体側壁の欠陥を修復し、金属表面を酸化して金属酸化物を形成するように構成されている。中央移送ステーションには第3のプロセスチャンバが接続されており、第3のプロセスチャンバは、弱い還元プロセスを実行して、誘電体に実質的に損傷を与えることなしに金属酸化物を還元して純金属にするように構成されている。中央移送ステーション、第1のプロセスチャンバ、第2のプロセスチャンバおよび第3のプロセスチャンバには少なくとも1つのコントローラが接続されている。この少なくとも1つのコントローラは、中央移送ステーション、第1のプロセスチャンバ、第2のプロセスチャンバおよび第3のプロセスチャンバのうちの2つ以上の間で基板を移動させるための構成、第1のプロセスチャンバで強い還元プロセスを実行するための構成、第2のプロセスチャンバで酸化プロセスを実行するための構成、ならびに第3のプロセスチャンバで弱い還元プロセスを実行するための構成の中から選択された1つまたは複数の構成を有する。
本開示のさらなる実施形態は、命令を含む非一過性コンピュータ可読媒体であって、この命令が、処理チャンバのコントローラによって実行されたときに、中央移送ステーション、第1のプロセスチャンバ、第2のプロセスチャンバおよび第3のプロセスチャンバのうちの2つ以上の間で基板を移動させる操作、第1のプロセスチャンバで強い還元プロセスを実行する操作、第2のプロセスチャンバで酸化プロセスを実行する操作、ならびに第3のプロセスチャンバで弱い還元プロセスを実行する操作を処理チャンバに実行させる、非一過性コンピュータ可読媒体を対象としている。
上に挙げた本開示の特徴を詳細に理解することができるように、上に概要を簡単に示した開示が、そのうちのいくつかが添付図面に示されている実施形態を参照することにより、より具体的に説明されていることがある。しかしながら、添付図面は、本開示の典型的な実施形態だけを示しており、したがって、添付図面を、本開示の範囲を限定するものとみなすべきではないことに留意すべきである。これは、本開示が、等しく有効な他の実施形態を受け入れる可能性があるためである。
本開示の1つまたは複数の実施形態による基板構造の概略図である。 本開示の1つまたは複数の実施形態による方法の流れ図である。 図2の方法中の基板の概略図である。 図2の方法中の基板の概略図である。 本開示の1つまたは複数の実施形態で使用する処理ツールの概略図である。
本開示の例示的ないくつかの実施形態の説明に進む前に、本開示は、以下の説明に記載された構造またはプロセスステップの詳細だけに限定されないことを理解すべきである。本開示は、他の実施形態を含むことができ、さまざまなやり方で実施または実行することができる。
本明細書および添付の特許請求項で使用されているとき、用語「基板」は、その上でプロセスが実行される表面または表面の部分を指す。さらに、文脈からそうでないことが明らかである場合を除き、基板への論及が基板の一部分だけへの論及でもありうることを当業者は理解するであろう。さらに、基板上への堆積への論及は、裸の基板と、1つまたは複数の膜または特徴がその上に堆積したまたは形成された基板の両方を意味しうる。
本明細書で使用されているとき、「基板」は、製造プロセス中にその上で膜処理が実行される任意の基板または基板上に形成された任意の材料表面を指す。例えば、その上で処理を実行することができる基板表面は、用途に応じて、ケイ素、酸化ケイ素、ストレインドシリコン、シリコンオンインシュレータ(SOI)、炭素がドープされた酸化ケイ素、アモルファスシリコン、ドープされたケイ素、ゲルマニウム、ヒ化ガリウム、ガラス、サファイヤなどの材料、ならびに金属、金属窒化物、金属合金および他の導電性材料などの他の任意の材料を含む。限定はされないが基板は半導体ウェーハを含む。基板表面を研磨、エッチング、還元、酸化、ヒドロキシル化、アニール、UV硬化、eビーム硬化および/またはベークするために、基板を前処理プロセスにかけることができる。基板自体の表面でじかに膜処理を実行することに加えて、本開示では、後により詳細に開示するように、基板上に形成された下層上で、開示されたいずれかの膜処理ステップを実行することもでき、用語「基板表面」は、文脈が指示するそのような下層を含むことが意図されている。したがって、例えば、基板表面に膜/層または部分膜/層を堆積させた場合には、新たに堆積させたその膜/層の露出した表面が基板表面となる。
本開示の1つまたは複数の実施形態は、より多くの潜在的用途を対象としうる選択的堆積の方法を有利に提供する。いくつかの実施形態は、基板を前洗浄する方法であって、構造タイプおよび選択性ウィンドウの範囲をより広くする方法を有利に提供する。いくつかの実施形態は、側壁/頂部フィールド誘電体選択性を維持しつつ、金属(例えばタングステン、コバルト)から表面汚染物質を効果的に洗浄除去する一連のプロセスステップを有利に提供する。
本開示の方法のいくつかの実施形態は、インキュベーションを最小にするために清浄な表面から開始する必要があるコンタクトまたはバイア底部の選択的金属堆積プロセスのためのものである。いくつかの実施形態では、選択的堆積プロセスを妨害し、かなりのインキュベーション遅延を引き起こす可能性がある金属酸化物/金属窒化物/金属炭化物などの汚染物質が除去される。いくつかの実施形態は、コンタクト/バイア構造を選択的プロセスに対して好ましいものに維持しつつ、金属汚染物質を効果的に洗浄除去することができる。
本開示の1つまたは複数の実施形態は、異なる汚染物質を標的とする異なる目的を有する、酸化および還元反応を使用した一連の3つのプロセスステップを使用する。いくつかの実施形態は、2つの水素還元プロセスおよびその間の酸化を使用する。いくつかの実施形態は、2つの水素還元ステップおよびその間の1つの酸化ステップを使用して金属汚染物質(例えば金属酸化物、金属窒化物)を効果的に洗浄除去することができる方法を有利に提供する。いくつかの実施形態は、下流の選択的堆積プロセスのための誘電体選択性を有利に維持する。例えば、いくつかの実施形態は、基板の誘電体側壁/フィールド上への金属堆積を防ぐ。
本開示のいくつかの実施形態は、比較的に低温の酸化プロセスを提供する。いくつかの実施形態は、異なる金属表面(例えばタングステン、コバルト)とともに使用可能な十分に低い温度で酸化する。
例示的で非限定的な実施形態では、洗浄プロセスシーケンスが以下のものを含む。(1)400℃~450℃の水素誘導結合プラズマ(inductively copled plasma)(ICP)プラズマにさらすこと。いくつかの実施形態では、このステップが、主要な金属汚染物質を還元する高密度水素プラズマ、すなわち金属酸化物および金属窒化物を還元して純金属にすることを含む。このステップによって金属化合物の大部分が還元されることが期待され、汚染物質の小さな割合だけが残されてさらなる処理にかけられるであろう。(2)残留金属窒化物を、次のステップで還元して純金属にすることができる酸化物に転化させること、ならびに/または最初の強い水素プラズマプロセス中に損傷した側壁および頂部フィールド誘電体材料(酸化物および窒化物)を酸化することができる低温(-15℃~180℃)酸化プロセス。いくつかの実施形態では、この酸化プロセスが、強い酸化により誘電体表面を修復し、選択的金属堆積のための高い選択性を維持する。このプロセスの1つの利点は、低温プロセスであるため、コバルト(Co)のようないくつかの金属の過度の酸化を生じさせず、したがって酸化した金属を後に還元して純金属にすることができることである。(3)いくつかの実施形態において金属酸化物を還元して純金属にする、(例えば400℃~450℃の)第2の水素プラズマプロセスまたは誘導結合プラズマ(CCP)プロセス。いくつかの実施形態のこのプロセスは、約5~20トル(Torr)の圧力範囲で実行される。いくつかの実施形態では、CCPの相対的な低いプラズマ密度が、誘電体に対してあまり影響を及ぼすことなく金属還元を穏やかに終了させる。この第2の還元プロセスの後、いくつかの実施形態のコンタクト/バイア底部は、選択的堆積プロセスの準備ができた純金属であり、側壁/頂部フィールド誘電体は、選択的堆積に対して選択的に不活性のままであり、これにより構造全体のボトムアップ成長が維持される。
単一ステップ前洗浄は、いくつかの選択的金属堆積の要件を満たさない。いくつかの実施形態は、誘電体フィールドの損傷および選択的損失を容易に引き起こしうる効果的な洗浄(攻撃的なH2またはArプラズマ)を含む。それゆえ、本開示の実施形態は、選択性ウィンドウを広げて選択的金属技術をよりロバストなプロセスにすることができるマルチステップ前洗浄手法を提供する。
図1は、本開示の1つまたは複数の実施形態に従って使用されるコンタクト構造を示している。図1に示された基板100は、第1の材料102および第2の材料104を境界とする構造130を含む。図示の実施形態の構造130はバイアまたはトレンチである。構造の底部132は第1の材料102を境界としており、構造の側部134は、第1の材料102とは異なる第2の材料104を境界としている。いくつかの実施形態の第1の材料102は、構造の金属底部115を形成する金属110を含む。金属110は、限定はされないが、タングステン(W)、コバルト(Co)および/または銅(Cu)を含む適当な任意の金属とすることができる。第1の材料102およびいくつかの実施形態では構造130の底部132が非金属を含む。適当な非金属は、限定はされないが、金属窒化物(例えば窒化チタン(TiN))、金属ケイ素化合物(例えばケイ化チタン(TiSi))またはケイ素(Si)を含む。本明細書および添付の特許請求項で使用されているとき、下付き添字によってそうではないと指定されていない限り、化学式は元素の識別を表わしており、化学式が特定の化学量論比を含意することは意図されていない。例えば、窒化チタン(TiN)膜は、チタン原子と窒素原子の適当な任意の組合せを有することができ、1対1の関係だけに限定されない。
いくつかの実施形態では、第2の材料104が誘電体120を含む。構造130の側壁134は、誘電体120の側壁122によって形成されている。第2の材料104の頂面106はフィールドとも呼ばれる。いくつかの実施形態では、第2の材料104が、側壁122およびフィールド124を有する誘電体120を含む。誘電体120は、限定はされないが、酸化ケイ素(SiO)、二酸化ケイ素(SiO2)、窒化ケイ素(SiN)、酸窒化ケイ素(SiON)、窒化アルミニウム(AlN)、酸化アルミニウム(AlO)または高k誘電体材料を含む適当な任意の材料とすることができる。いくつかの実施形態では、第2の材料104がハードマスク材料(例えば炭素(C))を含む。
図2は、本開示の1つまたは複数の実施形態による方法200を示している。図3A~3Dは、選択的堆積の前に基板100を前洗浄する方法200を示している。図3Aは、表面汚染物質116を有する金属表面115を含む表面構造130を示している。誘電体側壁122が構造130の境界となっている。いくつかの実施形態では、誘電体側壁122またはフィールド124のうちの1つまたは複数がその上に汚染物質125を有する。いくつかの実施形態の汚染物質は、酸素、窒素、炭素またはハロゲン(例えばフッ素、塩素、臭素もしくはヨウ素)のうちの1つまたは複数を含む。いくつかの実施形態では、汚染物質が有機化合物を含む。
図4A~4Dは、本開示の別の実施形態を示している。図4Aでは、基板300に形成された構造130が図3Aに示された構造よりも複雑であり、2つ以上の金属110、110’を含んでいる。図3A~3Dおよび4A~4Dに示された実施形態は単に可能な構成を表わしているだけであること、ならびに本開示は図示の構造だけに限定されないことを当業者は理解するであろう。
構造130が構造130内の異なる位置に多数の底部を有するように、金属110、110’の各々は、構造130の金属表面115、115’を形成する表面を有する。図4Aに示された実施形態は金属110の下にゲート140を含む。ゲート140は、構造130にさらしておらず、洗浄方法200の影響を受けない。図示の構造の側壁134はいくつかの材料層からなる。第1の誘電体120aと第2の誘電体120bの互層が側壁134を形成している。図示の金属底部は汚染物質116を有し、底部115’は汚染物質116’を有する。
方法200は、強い洗浄プロセス210、キュアリングプロセス220および酸化物還元230プロセスを含む。方法200は、金属表面115から汚染物質116を除去する強い洗浄プロセス210に進む。いくつかの実施形態では、強い洗浄プロセス210が、誘電体120の側壁122および/またはフィールド124から汚染物質125を除去する。
いくつかの実施形態の強い洗浄プロセス210の結果が図3Bに示された基板100である。金属110の底面115から汚染物質が洗浄除去されている。図4Bでは、強い洗浄によって金属110の底面115の汚染物質116が減っており、表面115には、例えば容易に還元されないいくつかの汚染物質117種が残っている。図4Bの実施形態では、図3Bに示された実施形態と同様に、金属表面115’の汚染物質116’が除去されている。
いくつかの実施形態の強い洗浄プロセス210は、基板100を強い還元剤にさらすことを含む。図3Bは、強い還元剤にさらした後の基板100を示している。強い還元剤は、金属表面115から酸化物および/または窒化物を除去し、誘電体120の側壁122および/またはフィールド124内/上に表面欠陥127を生じさせる。いくつかの実施形態の表面欠陥127は水素ダングリングボンドを含む。図4Bは、強い還元剤にさらして、誘電体122a、122bの側壁122a、122bおよび/またはフィールド124a、124b内/上に表面欠陥127を形成した後の基板300を示している。
この洗浄プロセス中に、誘電体の側壁および/またはフィールドに損傷が生じる。いくつかの実施形態では、強い洗浄プロセス210の結果、誘電体の表面に水素(H)ダングリングボンドができる。いくつかの実施形態の水素ダングリングボンドは堆積プロセスの選択性を低減させる。言い換えると、いくつかの実施形態の水素ダングリングボンドは、選択性損失に帰着する核生成部位(インキュベーション源)を提供する。
いくつかの実施形態では、「強い洗浄」プロセスがプラズマにさらすことを含む。いくつかの実施形態では、このプラズマが、水素(H2)またはアルゴン(Ar)のうちの1つまたは複数を含む。いくつかの実施形態では、このプラズマが、金属表面115の汚染を洗浄除去して純金属表面または汚染物質濃度が低下した金属表面を形成する水素とアルゴンの混合物(H2/Ar)を含む。図3Bに示された実施形態の結果が純金属表面115であり、図4Bの実施形態は、濃度が低下した汚染物質117または改質された汚染物質117を有する金属表面115、115’を示している。
いくつかの実施形態では、強い洗浄プロセス210が水素(H2)プラズマを含む。いくつかの実施形態では、水素プラズマが誘導結合プラズマ(ICP)である。いくつかの実施形態では、水素プラズマが高密度高エネルギープラズマである。いくつかの実施形態では、高エネルギープラズマが400ワット(W)以上のパワーを有する。いくつかの実施形態では、高エネルギープラズマが、450ワット以上、500ワット以上、550ワット以上、600ワット以上、650ワット以上、700ワット以上、750ワット以上、800ワット以上、850ワット以上、900ワット以上、950ワット以上または1000ワット以上のパワーを有する。いくつかの実施形態では、高密度プラズマが1019イオン/m2以上のイオン密度を有する。いくつかの実施形態では、高密度プラズマが、2×1019イオン/m2以上、3×1019イオン/m2以上、4×1019イオン/m2以上、5×1019イオン/m2以上、6×1019イオン/m2以上、7×1019イオン/m2以上、8×1019イオン/m2以上、9×1019イオン/m2以上、1×1020イオン/m2以上、2×1020イオン/m2以上、3×1020イオン/m2以上、4×1020イオン/m2以上または5×1020イオン/m2以上のイオン密度を有する。
1つまたは複数の実施形態では、水素プラズマが、1ミリトル(mTorr)~50ミリトルの範囲、または2ミリトル~40ミリトルの範囲、または3ミリトル~30ミリトルの範囲、または4ミリトル~20ミリトルの範囲、または5ミリトル~10ミリトルの範囲の圧力を有する。
1つまたは複数の実施形態では、水素プラズマにさらされている間、基板が、200℃~500℃の範囲の温度に維持される。いくつかの実施形態では、水素プラズマにさらされている間、基板が、250℃~450℃の範囲または300℃~400℃の範囲の温度に維持される。
いくつかの実施形態では、水素プラズマの間、基板にバイアスがかけられる。バイアスは、バイアス源に向かってまたはバイアス源から離れる方向にイオンを導く電磁場であることを当業者は理解するであろう。いくつかの実施形態では、水素プラズマの間、基板に、30W~300Wの範囲のバイアスがかけられる。いくつかの実施形態では、このバイアスが、50W~280Wの範囲、または75W~260Wの範囲、または100W~250Wの範囲にある。
強い洗浄プロセス210の結果として誘電体を損傷させた後、「キュア」プロセスを実行する。強い洗浄プロセス210の後、方法200は、誘電体の損傷を修復する欠陥キュアリングプロセス220に進む。
いくつかの実施形態の欠陥キュアリングプロセス220は、損傷を除去することができる反応剤に基板をさらすことを含む。いくつかの実施形態では、この反応剤がさらに構造の金属底部を酸化する。いくつかの実施形態では、欠陥キュアリングプロセス220が、基板を酸素(O2)プラズマにさらすことを含む。いくつかの実施形態では、この酸素プラズマが、強い洗浄プロセスによって生じた水素ダングリングボンドをキュアし、金属表面および/または誘電体表面のうちの1つまたは複数を酸化する。
図3Bおよび3Cに示された実施形態を参照すると、いくつかの実施形態では、基板100を酸化剤にさらして、誘電体の側壁122および/またはフィールド124の表面欠陥127を修復する。さらに、図示の実施形態の欠陥キュアリングプロセス220は、構造130の金属表面115を酸化して、構造130の金属酸化物底部111を形成する。この段階で、構造130の底部は金属酸化物底部119を有している。
同様に、図4Bおよび4Cでは、図4Bから図4Cへ向かう欠陥キュアリングプロセス220中に基板300が酸化剤にさらされ、その結果、欠陥127のキュアリングおよび金属酸化物底部の形成または金属底部の汚染物質の酸化が生じている。図4Cでは、低い方の金属110表面の底部の汚染物質が、最初の強い洗浄プロセス210で還元されなかった残留物113または欠陥キュアリングプロセス220で完全に酸化された残留物113である。いくつかの実施形態の残留物113は、後続のプロセスでの除去が可能になるように、プロセス210、220のうちの1つまたは複数によって化学的に改変されている。
いくつかの実施形態では、欠陥キュアリングプロセス220が基板を酸化剤にさらすことを含む。いくつかの実施形態では、酸化剤が酸素プラズマを含む。いくつかの実施形態では、酸化剤が事実上酸素からなる。このように使用されているとき、用語「事実上酸素からなる」は、プラズマ中の酸化種が、約95%以上、約98%以上、約99%以上または約99.5%以上、酸素(O2もしくはイオンまたはそれらのラジカル)であることを意味する。不活性ガス、希釈ガスおよび/またはキャリアガスは、それらのガス種が、存在する材料の酸化剤の役目も果たす場合を除き、考慮に入れられない。
いくつかの実施形態では、酸素プラズマが誘導結合プラズマである。いくつかの実施形態では、酸素プラズマが容量結合プラズマである。いくつかの実施形態では、酸素(O2)プラズマが高密度低エネルギープラズマである。いくつかの実施形態では、低エネルギー酸素プラズマが200ワット(W)以下のパワーを有する。いくつかの実施形態では、低エネルギー酸素プラズマが、175ワット以下、150ワット以下、125ワット以下、100ワット以下、75ワット以下、50ワット以下または25ワット以下のパワーを有する。いくつかの実施形態では、高密度酸素プラズマが1019イオン/m2以上のイオン密度を有する。
いくつかの実施形態では、酸素プラズマにさらされている間、基板が、-15℃~180℃の範囲の温度に維持される。いくつかの実施形態では、酸素プラズマにさらされている間、基板が、-10℃~180℃の範囲、または-5℃~170℃の範囲、または0℃~165℃の範囲、または5℃~160℃の範囲、または10℃~155℃の範囲、または20℃~150℃の範囲、または25℃~125℃の範囲、または30℃~100℃の範囲の温度に維持される。
いくつかの実施形態では、酸素プラズマが、1ミリトル~200ミリトルの範囲の圧力を有する。いくつかの実施形態では、酸素プラズマが、2ミリトル~150ミリトルの範囲、または3ミリトル~100ミリトルの範囲、または5ミリトル~50ミリトルの範囲の圧力を有する。
方法200がキュアリングプロセス220からまたは酸化プラズマにさらすことから始まるように、本開示のいくつかの実施形態は強い洗浄プロセス210を含まない。
強い洗浄プロセス210および欠陥キュアリングプロセス220の間に、いくつかの実施形態のO2プラズマは、誘電体表面欠陥および不純物をキュアすることによってプロセスウィンドウを広げ、したがって、より攻撃的なH2プラズマを使用して、誘電体表面での成長を最小限にまたは全くなしに維持しつつ、底部をより効率的に洗浄することができる。実験によれば、単一のH2プラズマプロセス(CCPまたはICP)は、誘電体フィールド上でのさまざまなレベルの選択性損失を生じさせた。いくつかの実施形態の2ステップ前洗浄手法(O2プラズマ+H2プラズマ)では、選択性損失は観察されず、その一方で、洗浄後に堆積させたバイアは良好に成長していた。2ステップ前洗浄のいくつかの実施形態では、この方法が、この組合せO2/H2プラズマの後に終了となる。
酸素プラズマは、金属表面と誘電体表面の両方で、炭素(C)および/またはフッ素(F)不純物濃度を効果的に低下させることができることを当業者は理解するであろう。さらに、誘電体表面をキュアすると、不純物が減り、表面がより均一に末端化されることを本発明の発明者は見出した。いくつかの実施形態では、酸素プラズマを使用して、清浄な金属表面を生み出し、酸化物表面/誘電体表面を「キュア」する。いくつかの実施形態の酸化の結果は、金属表面115が酸化されて金属酸化物底部119になることである。適当な還元剤(例えばH2プラズマ)を使用した後続の酸化物還元230プロセスは、金属酸化物底部111を還元して純金属110にし、さらに金属表面115の他の残留物113(図4C参照)を洗浄除去することができる。
方法200のいくつかの実施形態では、欠陥キュアリングプロセス220の後に酸化物還元プロセス230が実行される。いくつかの実施形態の酸化物還元プロセス230では、金属酸化物を還元して金属にするプロセスまたは金属表面に形成された残留物113を除去するプロセスに基板をかける。いくつかの実施形態では、水素(H2)熱アニールを使用して、誘電体を損傷することなしにまたは誘電体をあまり損傷することなしに金属酸化物を還元して金属表面にする。熱H2ベーキングは、誘電体にダングリングボンドを生じさせることなく金属酸化物を還元して金属にするのに有効な穏やかな還元プロセスである。
いくつかの実施形態では、図3C~3Dに示されているように、酸化物還元プロセス230が、基板を弱い還元剤にさらして、誘電体120に実質的に損傷を与えることなしに金属酸化物底部119を還元して金属115底部にすることを含む。いくつかの実施形態では、図4C~4Dに示されているように、酸化物還元プロセス230が、基板を弱い還元剤にさらして、誘電体120に損傷を与えることなしに金属表面115の残留物113を除去することを含む。
いくつかの実施形態では、酸化物還元プロセス230が弱い還元剤を含む。いくつかの実施形態では、弱い還元剤が水素(H2)プラズマを含む。いくつかの実施形態では、水素プラズマが容量結合プラズマ(CCP)を含む。
いくつかの実施形態では、水素プラズマが、1トル~100トルの範囲の圧力にある。いくつかの実施形態では、水素プラズマが、2トル~80トルの範囲、または3トル~60トルの範囲、または4トル~40トルの範囲、または5トル~30トルの範囲の圧力にある。
いくつかの実施形態では、酸化物還元プロセス230のプラズマが低密度低エネルギープラズマである。いくつかの実施形態では、低エネルギープラズマが200ワット(W)以下のパワーを有する。いくつかの実施形態では、低エネルギープラズマが、175ワット以下、150ワット以下、125ワット以下、100ワット以下、75ワット以下、50ワット以下または25ワット以下のパワーを有する。いくつかの実施形態では、低密度プラズマが1019イオン/m2以下のイオン密度を有する。いくつかの実施形態では、低密度プラズマが、8×1018イオン/m2以下、または6×1018イオン/m2以下、または4×1018イオン/m2以下、または2×1018イオン/m2以下、または1×1018イオン/m2以下のイオン密度を有する。
いくつかの実施形態では、酸化物還元プロセス230の間、基板が、300℃~550℃の範囲の温度に維持される。いくつかの実施形態では、酸化物還元プロセス230中に弱い還元剤にさらされている間、基板が、350℃~500℃の範囲または400℃~450℃の範囲の温度に維持される。
方法のいくつかの実施形態は、3つのプロセス、すなわち強い洗浄プロセス、欠陥キュアプロセスおよび酸化物還元プロセス(弱い洗浄とも呼ばれる)を含み、または事実上3つのプロセス、すなわち強い洗浄プロセス、欠陥キュアプロセスおよび酸化物還元プロセス(弱い洗浄とも呼ばれる)からなる。このように使用されるとき、「事実上~からなる」方法は、誘電体表面、ダングリングボンド、金属表面、金属酸化物表面、汚染物質または残留物の化学組成に影響を及ぼす介在プロセスがないことを意味する。1つまたは複数の実施形態では、強い洗浄プロセス210が450℃の誘導結合プラズマを含み、欠陥キュアリングプロセス220が-15℃の誘導結合プラズマを含み、酸化物還元プロセス230が450℃の容量結合プラズマを含む。1つまたは複数の実施形態では、強い洗浄プロセス210が450℃の誘導結合プラズマを含み、欠陥キュアリングプロセス220が120℃の誘導結合プラズマを含み、酸化物還元プロセス230が450℃の容量結合プラズマを含む。
いくつかの実施形態では、図2に示された統合されたマルチステップ前洗浄方法が、残留物を効率的に洗浄除去し、後続の堆積プロセスの選択性を高める。いくつかの実施形態では、不純物/ダングリングボンドを洗浄除去し、金属表面および/または誘電体表面を均一な酸化物として末端化することによって選択性損失の問題を軽減するために、酸素プラズマが使用される。この種のいくつかの実施形態では、選択性ウィンドウを広げるために、アルコール処理、水処理またはオゾン処理のうちの1つまたは複数が使用される。いくつかの実施形態では、この方法が、H2/Arプラズマ、酸素プラズマおよび六フッ化タングステン浸漬を含み、または事実上H2/Arプラズマ、酸素プラズマおよび六フッ化タングステン浸漬からなる。この種のいくつかの実施形態では、六フッ化タングステン浸漬が特定の金属底部(例えばタングステン)の状態を改善する。いくつかの実施形態では、30トル~300トルの範囲の圧力でWF6が基板にさらされる。いくつかの実施形態では、WF6にさらされている間、基板が、300℃~600℃の範囲の温度に維持される。
さまざまなハードウェア配置を使用して方法200を実施することができる。いくつかの実施形態では、表面洗浄のために、1つまたは2つのチャンバを使用して多数のプロセスを達成することができる。異なるガス種を用いたO2/Ar/H2プラズマ処理のために複数のチャンバを使用することができる。いくつかの実施形態では、H2およびO2処理が、指定されたガスパネル設計を有する1つのチャンバ内で実行され、余分な水分形成を回避するために低い圧力が維持される。いくつかの実施形態では、H2ベーク(アニール)が、H2プラズマと同じチャンバまたはタングステンCVDチャンバで実行される。
本開示の1つまたは複数の実施形態は、より選択的な堆積用途を対象とするよりロバストな解決策を提供する。異なる構造は、異なる金属/酸化物表面条件に応じた異なる選択性を有する。HプラズマまたはArプラズマだけを使用する場合、いくつかの用途は、選択的Wのための選択性ウィンドウを有しないであろう。
図5を参照すると、本開示の追加の実施形態は、本明細書に記載された方法を実行するための処理システム900を対象としている。図5は、本開示の1つまたは複数の実施形態による、基板を処理する目的に使用することができるシステム900を示している。システム900をクラスタツールと呼ぶことができる。システム900は、その中にロボット912を有する中央移送ステーション910を含む。ロボット912は、シングルブレード(single blade)ロボットとして示されているが、他のロボット912構成も本開示の範囲に含まれることを当業者は理解するであろう。ロボット912は、中央移送ステーション910に接続されたチャンバ間で1つまたは複数の基板を移動させるように構成されている。
中央移送ステーション910には、少なくとも1つの前洗浄/緩衝チャンバ920が接続されている。前洗浄/緩衝チャンバ920は、ヒータ、ラジカル源またはプラズマ源のうちの1つまたは複数を含むことができる。前洗浄/緩衝チャンバ920を、処理に備えて個々の半導体基板またはウェーハカセットを保持する保持エリアとして使用することができる。前洗浄/緩衝チャンバ920は、前洗浄プロセスを実行することができ、または処理に備えて基板を予熱することができ、または単純にプロセスシーケンスのステージングエリア(staging area)とすることができる。いくつかの実施形態では、中央移送ステーション910に2つの前洗浄/緩衝チャンバ920が接続されている。いくつかの実施形態では、それらの前洗浄チャンバが、方法200の1つまたは複数のプロセスで使用される。
図5に示された実施形態では、前洗浄チャンバ920が、ファクトリインタ-フェース905と中央移送ステーション910の間のパススルー(pass through)チャンバの働きをすることができる。ファクトリインタ-フェース905は、カセットから前洗浄/緩衝チャンバ920へ基板を移動させるための1つまたは複数のロボット906を含むことができる。次いで、ロボット912が、前洗浄/緩衝チャンバ920からシステム900内の他のチャンバへ基板を移動させることができる。
中央移送ステーション910に第1の処理チャンバ930を接続することができる。いくつかの実施形態では、第1の処理チャンバ930が、強い洗浄プロセス210、欠陥キュアリングプロセス220または酸化物還元プロセス230のうちの1つまたは複数を実行するように構成されている。第1の処理チャンバ930の構成の対象である1つまたは複数のプロセスに応じた1つまたは複数の反応性ガス流を第1の処理チャンバ930に提供するために、第1の処理チャンバ930は1つまたは複数の反応性ガス源と流体連結している。ロボット912によって、分離弁914を通して基板を処理チャンバ930へ移動させること、および分離弁914を通して基板を処理チャンバ930から移動させることができる。
中央移送ステーション910にさらに処理チャンバ940を接続することができる。いくつかの実施形態では、処理チャンバ940が、強い洗浄プロセス210、欠陥キュアリングプロセス220または酸化物還元プロセス230のうちの1つまたは複数を実行するように構成されており、対象プロセスを実行するための反応性ガス流を処理チャンバ940に提供するために、1つまたは複数の反応性ガス源と流体連結している。ロボット912によって、分離弁914を通して基板を処理チャンバ940へ移動させること、および分離弁914を通して基板を処理チャンバ940から移動させることができる。
中央移送ステーション910にさらに処理チャンバ945を接続することができる。いくつかの実施形態では、処理チャンバ945が、強い洗浄プロセス210、欠陥キュアリングプロセス220または酸化物還元プロセス230のうちの1つまたは複数を実行するように構成されている。いくつかの実施形態では、処理チャンバ945が、処理チャンバ930または処理チャンバ940と同じプロセスを実行するように構成されている。この配置は、処理チャンバ940で実行されるプロセスが処理チャンバ930で実行されるプロセスよりもはるかに長い時間がかかる場合に役立つことがありうる。
いくつかの実施形態では、中央移送ステーション910に処理チャンバ960が接続されており、プロセスチャンバ960は、強い洗浄プロセス210、欠陥キュアリングプロセス220または酸化物還元プロセス230のうちの1つまたは複数を実行するように構成されている。他のいずれかのプロセスチャンバと同じプロセスまたは他のどのプロセスチャンバとも異なるプロセスのうちの1つまたは複数を実行するように、処理チャンバ960を構成することができる。
いくつかの実施形態では、処理チャンバ930、940、945および960の各々が、処理方法の異なる部分を実行するように構成されている。例えば、いくつかの実施形態では、処理チャンバ930が、強い洗浄プロセス210を実行するように構成されており、処理チャンバ940が、欠陥キュアリングプロセス220を実行するように構成されており、処理チャンバ945が、酸化物除去プロセス230を実行するように構成されている。ツール上の個々のプロセスチャンバの数および配置はさまざまとすることができること、ならびに図5に示された実施形態は単に可能な1つの構成を表わしているだけであることを当業者は理解するであろう。
中央移送ステーション910、前洗浄/緩衝チャンバ920、処理チャンバ930、940、945または960のうちの1つまたは複数に、少なくとも1つのコントローラ950が結合されている。いくつかの実施形態では、個々のチャンバまたはステーションに2つ以上のコントローラ950が接続されており、これらの別個のプロセッサの各々に、システム900を制御するための主制御プロセッサが結合されている。コントローラ950は、さまざまなチャンバおよびサブプロセッサを制御するための工業セッティングの中で使用することができる任意の形態の汎用コンピュータプロセッサ、マイクロコントローラ、マイクロプロセッサなどのうちの1つとすることができる。
少なくとも1つのコントローラ950は、プロセッサ952、プロセッサ952に結合されたメモリ954、プロセッサ952に結合された入力/出力デバイス956、および異なる電子構成要素間で通信するための支持回路958を有することができる。メモリ954は、一過性メモリ(例えばランダムアクセスメモリ)および非一過性メモリ(例えばストレージ)のうちの1つまたは複数を含むことができる。
プロセッサのメモリ954またはコンピュータ可読媒体は、ランダムアクセスメモリ(RAM)、リードオンリーメモリ(ROM)、フロッピーディスク、ハードディスクまたは他の任意の形態のディジタルストレージなどの容易に入手可能なローカルまたはリモートメモリのうちの1つまたは複数とすることができる。メモリ954は、システム900のパラメータおよび構成要素を制御するようにプロセッサ952によって実行可能な命令セットを保持することができる。支持回路958は、プロセッサを従来のやり方で支持するためにプロセッサ952に結合されている。回路は例えば、キャッシュ、電源、クロック回路、入力/出力回路、サブシステムなどを含むことができる。
プロセスは一般に、プロセッサによって実行されたときに本開示のプロセスをプロセスチャンバに実行させるソフトウェアルーチンとしてメモリに記憶することができる。このソフトウェアルーチンを、そのプロセッサが制御しているハードウェアから遠隔して置かれた第2のプロセッサ(図示せず)によって記憶および/または実行することもできる。本開示の方法の一部または全部をハードウェアで実行することもできる。そのため、プロセスをソフトウェアで実施し、コンピュータシステムを使用して実行すること、または例えば特定用途向け集積回路もしくは他のタイプのハードウェアインプリメンテーションとしてハードウェアで実施すること、またはソフトウェアとハードウェアの組合せとして実施することができる。プロセッサによって実行されたとき、ソフトウェアルーチンは、汎用コンピュータを、プロセスが実行されるようにチャンバの動作を制御する特定目的コンピュータ(コントローラ)に変える。
いくつかの実施形態では、コントローラ950が、方法を実行するための個々のプロセスまたはサブプロセスを実行するための1つまたは複数の構成を有する。方法の機能を実行するための中間構成要素を動作させるように、コントローラ950を接続および構成することができる。例えば、ガス弁、アクチュエータ、モータ、スリット弁、真空制御装置などのうちの1つまたは複数を制御するように、コントローラ950を接続および構成することができる。
いくつかの実施形態のコントローラ950は、ロボット上の基板を複数の処理チャンバと計測ステーションとの間で移動させるための構成、基板をロードするためおよび/または基板をシステムからアンロードするための構成、中央移送ステーション、第1のプロセスチャンバ、第2のプロセスチャンバおよび第3のプロセスチャンバのうちの2つ以上の間で基板を移動させるための構成、第1のプロセスチャンバで強い還元プロセスを実行するための構成、第2のプロセスチャンバで酸化プロセスを実行するための構成、ならびに第3のプロセスチャンバで弱い還元プロセスを実行するための構成の中から選択された1つまたは複数の構成を有する。当業者には十分に理解されるであろうが、これらのさまざまな処理チャンバの位置は、図5に示された実施形態だけに限定されない。
本開示の1つまたは複数の実施形態は、プロセスチャンバ間で基板を移動させるように構成されたロボットを含む中央移送ステーションを備える処理ツールを対象としている。中央移送ステーションには第1のプロセスチャンバが接続されており、第1のプロセスチャンバは、強い還元プロセスを実行して、金属表面から表面汚染物質を除去し、誘電体側壁に欠陥を生じさせるように構成されている。中央移送ステーションには第2のプロセスチャンバが接続されており、第2のプロセスチャンバは、酸化プロセスを実行して、誘電体側壁の欠陥を修復し、金属表面を酸化して金属酸化物を形成するように構成されている。中央移送ステーションには第3のプロセスチャンバが接続されており、第3のプロセスチャンバは、弱い還元プロセスを実行して、誘電体に実質的に損傷を与えることなしに金属酸化物を還元して純金属にするように構成されている。中央移送ステーション、第1のプロセスチャンバ、第2のプロセスチャンバおよび第3のプロセスチャンバには少なくとも1つのコントローラが接続されている。この少なくとも1つのコントローラは、中央移送ステーション、第1のプロセスチャンバ、第2のプロセスチャンバおよび第3のプロセスチャンバのうちの2つ以上の間で基板を移動させるための構成、第1のプロセスチャンバで強い還元プロセスを実行するための構成、第2のプロセスチャンバで酸化プロセスを実行するための構成、ならびに第3のプロセスチャンバで弱い還元プロセスを実行するための構成の中から選択された1つまたは複数の構成を有する。
本明細書の全体を通じて、「一実施形態」、「ある種の実施形態」、「1つまたは複数の実施形態」または「実施形態」への言及は、その実施形態に関して記載された特定の特徴、構造、材料または特性が本開示の少なくとも1つの実施形態に含まれることを意味する。したがって、本明細書全体のさまざまな箇所における「1つまたは複数の実施形態では」、「ある種の実施形態では」、「一実施形態では」または「実施形態では」などの句の出現は、必ずしも本開示のその同じ実施形態に関するわけではない。さらに、1つまたは複数の実施形態では、それらの特定の特徴、構造、材料または特性を適当な任意のやり方で組み合わせることができる。
本明細書では特定の実施形態を参照して本開示を説明したが、記載された実施形態は単に本開示の原理および用途の例に過ぎないことを当業者は理解するであろう。本開示の趣旨および範囲を逸脱することなく本開示の方法および装置にさまざまな変更および変形を加えることができることは当業者には明白であろう。したがって、本開示は、特許請求項およびそれらの等価物の範囲に含まれる変更および変形を含みうる。

Claims (20)

  1. 基板を前洗浄する方法であって、
    表面汚染物質を有する金属底部と誘電体側壁および誘電体フィールドとを含む表面構造を備える前記基板を強い還元剤にさらして、金属底部から酸化物および/または窒化物を除去し、前記誘電体の前記側壁および/またはフィールドに表面欠陥を生じさせること、
    前記基板を酸化剤にさらして、前記誘電体の前記側壁および/またはフィールドの前記表面欠陥を修復し、前記構造の前記金属底部を酸化して前記構造の金属酸化物底部を形成すること、ならびに
    前記基板を弱い還元剤にさらして、前記誘電体に実質的に損傷を与えることなしに前記金属酸化物底部を還元して純金属底部にすること
    を含む方法。
  2. 前記強い還元剤が水素プラズマを含む、請求項1に記載の方法。
  3. 前記水素プラズマが誘導結合プラズマである、請求項2に記載の方法。
  4. 前記水素プラズマが高密度高エネルギープラズマである、請求項2に記載の方法。
  5. 前記水素プラズマが、5ミリトル~10ミリトルの範囲の圧力を有する、請求項2に記載の方法。
  6. 前記水素プラズマの間、前記基板が、200℃~500℃の範囲の温度に維持される、請求項2に記載の方法。
  7. 前記水素プラズマの間、前記基板に30W~300Wの範囲のバイアスがかけられる、請求項2に記載の方法。
  8. 前記酸化剤が酸素プラズマを含む、請求項1に記載の方法。
  9. 前記酸素プラズマにさらされている間、前記基板が、-15℃~180℃の範囲の温度に維持される、請求項8に記載の方法。
  10. 前記酸素プラズマが、容量結合プラズマ(CCP)または誘導結合プラズマ(ICP)である、請求項8に記載の方法。
  11. 前記酸素プラズマが高密度低エネルギープラズマである、請求項10に記載の方法。
  12. 前記酸素プラズマが、5ミリトル~50ミリトルの範囲の圧力を有する、請求項10に記載の方法。
  13. 前記弱い還元剤が水素プラズマを含む、請求項1に記載の方法。
  14. 前記水素プラズマが容量結合プラズマ(CCP)を含む、請求項13に記載の方法。
  15. 前記水素プラズマが、5トルから30トルの範囲の圧力にある、請求項14に記載の方法。
  16. 前記水素プラズマが低密度低エネルギープラズマである、請求項14に記載の方法。
  17. 前記弱い還元剤にさらされている間、前記基板が、400℃~450℃の範囲の温度に維持される、請求項14に記載の方法。
  18. 前記誘電体が、窒化ケイ素(SiN)、酸化ケイ素(SiO)、酸窒化ケイ素(SiON)または高k誘電体のうちの1つまたは複数を含み、前記金属が、タングステン(W)またはコバルト(Co)のうちの1つまたは複数を含む、請求項1に記載の方法。
  19. プロセスチャンバ間で基板を移動させるように構成されたロボットを含む中央移送ステーションと、
    前記中央移送ステーションに接続された第1のプロセスチャンバであり、強い還元プロセスを実行して、金属表面から表面汚染物質を除去し、誘電体側壁に欠陥を生じさせるように構成された、前記第1のプロセスチャンバと、
    前記中央移送ステーションに接続された第2のプロセスチャンバであり、酸化プロセスを実行して、前記誘電体側壁の欠陥を修復し、前記金属表面を酸化して金属酸化物を形成するように構成された、前記第2のプロセスチャンバと、
    前記中央移送ステーションに接続された第3のプロセスチャンバであり、弱い還元プロセスを実行して、前記誘電体に実質的に損傷を与えることなしに前記金属酸化物を還元して純金属にするように構成された、前記第3のプロセスチャンバと、
    前記中央移送ステーション、前記第1のプロセスチャンバ、前記第2のプロセスチャンバおよび前記第3のプロセスチャンバに接続された少なくとも1つのコントローラであり、前記中央移送ステーション、前記第1のプロセスチャンバ、前記第2のプロセスチャンバおよび前記第3のプロセスチャンバのうちの2つ以上の間で基板を移動させるための構成、前記第1のプロセスチャンバで前記強い還元プロセスを実行するための構成、前記第2のプロセスチャンバで前記酸化プロセスを実行するための構成、ならびに前記第3のプロセスチャンバで前記弱い還元プロセスを実行するための構成の中から選択された1つまたは複数の構成を有する、前記少なくとも1つのコントローラと
    を備える処理ツール。
  20. 命令を含む非一過性コンピュータ可読媒体であって、前記命令が、処理チャンバのコントローラによって実行されたときに、中央移送ステーション、第1のプロセスチャンバ、第2のプロセスチャンバおよび第3のプロセスチャンバのうちの2つ以上の間で基板を移動させる操作、前記第1のプロセスチャンバで強い還元プロセスを実行する操作、前記第2のプロセスチャンバで酸化プロセスを実行する操作、ならびに前記第3のプロセスチャンバで弱い還元プロセスを実行する操作を前記処理チャンバに実行させる、非一過性コンピュータ可読媒体。
JP2022541997A 2020-05-05 2021-05-05 選択的金属間隙充填のためのマルチステップ前洗浄 Active JP7447278B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US16/867,554 2020-05-05
US16/867,554 US11380536B2 (en) 2020-05-05 2020-05-05 Multi-step pre-clean for selective metal gap fill
PCT/US2021/030835 WO2021226203A1 (en) 2020-05-05 2021-05-05 Multi-step pre-clean for selective metal gap fill

Publications (2)

Publication Number Publication Date
JP2023515750A JP2023515750A (ja) 2023-04-14
JP7447278B2 true JP7447278B2 (ja) 2024-03-11

Family

ID=78413151

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2022541997A Active JP7447278B2 (ja) 2020-05-05 2021-05-05 選択的金属間隙充填のためのマルチステップ前洗浄

Country Status (6)

Country Link
US (2) US11380536B2 (ja)
JP (1) JP7447278B2 (ja)
KR (1) KR20220113514A (ja)
CN (1) CN114981952A (ja)
TW (1) TWI833074B (ja)
WO (1) WO2021226203A1 (ja)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20240167148A1 (en) * 2022-11-18 2024-05-23 Applied Materials, Inc. Methods of removing metal oxide using cleaning plasma

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040137750A1 (en) 2003-01-15 2004-07-15 Tokyo Electron Limited Method and apparatus for removing material from chamber and wafer surfaces by high temperature hydrogen-containing plasma
JP2006216673A (ja) 2005-02-02 2006-08-17 National Institute Of Advanced Industrial & Technology 半導体装置とその製造方法、及び製造装置
JP2008527711A (ja) 2005-01-11 2008-07-24 東京エレクトロン株式会社 フォトレジスト及びエッチング残渣の低圧除去方法
US20100127336A1 (en) 2008-11-21 2010-05-27 Texas Instruments Incorporated Structure and method for metal gate stack oxygen concentration control using an oxygen diffusion barrier layer and a sacrificial oxygen gettering layer
US20100267248A1 (en) 2009-04-20 2010-10-21 Applied Materials, Inc. Post Treatment Methods for Oxide Layers on Semiconductor Devices
WO2014013941A1 (ja) 2012-07-18 2014-01-23 東京エレクトロン株式会社 半導体装置の製造方法

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5071788A (en) 1988-02-18 1991-12-10 International Business Machines Corporation Method for depositing tungsten on silicon in a non-self-limiting CVD process and semiconductor device manufactured thereby
US5084417A (en) 1989-01-06 1992-01-28 International Business Machines Corporation Method for selective deposition of refractory metals on silicon substrates and device formed thereby
US6107192A (en) * 1997-12-30 2000-08-22 Applied Materials, Inc. Reactive preclean prior to metallization for sub-quarter micron application
AU2002363866A1 (en) * 2002-12-10 2004-06-30 Applied Materials, Inc. Method for cleaning a metal surface by a dry-etching step
US7351656B2 (en) * 2005-01-21 2008-04-01 Kabushiki Kaihsa Toshiba Semiconductor device having oxidized metal film and manufacture method of the same
US20070184666A1 (en) * 2006-02-08 2007-08-09 Texas Instruments Inc. Method for removing residue containing an embedded metal
WO2008027216A2 (en) * 2006-08-30 2008-03-06 Lam Research Corporation Processes and integrated systems for engineering a substrate surface for metal deposition
US8021514B2 (en) * 2007-07-11 2011-09-20 Applied Materials, Inc. Remote plasma source for pre-treatment of substrates prior to deposition
US8227344B2 (en) * 2010-02-26 2012-07-24 Tokyo Electron Limited Hybrid in-situ dry cleaning of oxidized surface layers
KR20150005533A (ko) * 2012-04-11 2015-01-14 도쿄엘렉트론가부시키가이샤 반도체 장치의 제조 방법, 반도체 장치, 반도체 제조 장치
US9142505B2 (en) 2013-06-18 2015-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for back end of line semiconductor device processing
CN105448801A (zh) * 2014-05-28 2016-03-30 中芯国际集成电路制造(上海)有限公司 一种形成浅沟槽隔离的方法
US10580642B2 (en) * 2017-04-04 2020-03-03 Applied Materials, Inc. Two-step process for silicon gapfill
KR102455480B1 (ko) 2017-05-26 2022-10-18 어플라이드 머티어리얼스, 인코포레이티드 금속 규화물들의 선택적 증착
TWI719316B (zh) 2017-06-12 2021-02-21 美商應用材料股份有限公司 利用鎢氧化還原之無縫鎢填充
TWI762194B (zh) 2017-07-18 2022-04-21 美商應用材料股份有限公司 在金屬材料表面上沉積阻擋層的方法
US10643889B2 (en) * 2018-08-06 2020-05-05 Lam Rasearch Corporation Pre-treatment method to improve selectivity in a selective deposition process
US11124874B2 (en) 2018-10-25 2021-09-21 Applied Materials, Inc. Methods for depositing metallic iridium and iridium silicide
US11410880B2 (en) * 2019-04-23 2022-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. Phase control in contact formation
US20200347493A1 (en) * 2019-05-05 2020-11-05 Applied Materials, Inc. Reverse Selective Deposition
WO2021108297A1 (en) * 2019-11-27 2021-06-03 Applied Materials, Inc. Dual plasma pre-clean for selective gap fill

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040137750A1 (en) 2003-01-15 2004-07-15 Tokyo Electron Limited Method and apparatus for removing material from chamber and wafer surfaces by high temperature hydrogen-containing plasma
JP2007535119A (ja) 2003-01-15 2007-11-29 東京エレクトロン株式会社 高温水素含有プラズマによるチャンバ及びウェーハ表面から物質を除去する方法及び装置
JP2008527711A (ja) 2005-01-11 2008-07-24 東京エレクトロン株式会社 フォトレジスト及びエッチング残渣の低圧除去方法
JP2006216673A (ja) 2005-02-02 2006-08-17 National Institute Of Advanced Industrial & Technology 半導体装置とその製造方法、及び製造装置
US20090014881A1 (en) 2005-02-02 2009-01-15 National Inst Of Adv Industrial Science And Tech. Semiconductor device, and method and apparatus for manufacturing same
US20100127336A1 (en) 2008-11-21 2010-05-27 Texas Instruments Incorporated Structure and method for metal gate stack oxygen concentration control using an oxygen diffusion barrier layer and a sacrificial oxygen gettering layer
US20100267248A1 (en) 2009-04-20 2010-10-21 Applied Materials, Inc. Post Treatment Methods for Oxide Layers on Semiconductor Devices
WO2014013941A1 (ja) 2012-07-18 2014-01-23 東京エレクトロン株式会社 半導体装置の製造方法

Also Published As

Publication number Publication date
US20210351032A1 (en) 2021-11-11
TWI833074B (zh) 2024-02-21
CN114981952A (zh) 2022-08-30
US20220270871A1 (en) 2022-08-25
US11380536B2 (en) 2022-07-05
KR20220113514A (ko) 2022-08-12
US11776806B2 (en) 2023-10-03
TW202209417A (zh) 2022-03-01
JP2023515750A (ja) 2023-04-14
WO2021226203A1 (en) 2021-11-11

Similar Documents

Publication Publication Date Title
JP5595481B2 (ja) 選択的窒素化の方法
JP2002222861A (ja) プラズマ前処理モジュールを具備した装置における半導体素子の製造方法
US10395916B2 (en) In-situ pre-clean for selectivity improvement for selective deposition
US11164767B2 (en) Integrated system for semiconductor process
JP7447278B2 (ja) 選択的金属間隙充填のためのマルチステップ前洗浄
US20240038859A1 (en) Metal cap for contact resistance reduction
JP7175385B2 (ja) シリコン含有層を形成する方法
US20200357629A1 (en) Diffusion Barrier Layer
US11955381B2 (en) Low-temperature plasma pre-clean for selective gap fill
US11776805B2 (en) Selective oxidation and simplified pre-clean
US20240194605A1 (en) Post-treatment for removing residues from dielectric surface
US20220181201A1 (en) Selective tungsten deposition within trench structures
TWI840682B (zh) 用於半導體製程的整合系統

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20220907

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20231016

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20231019

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20240118

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20240129

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20240228

R150 Certificate of patent or registration of utility model

Ref document number: 7447278

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150