TW201639029A - 電漿蝕刻方法 - Google Patents

電漿蝕刻方法 Download PDF

Info

Publication number
TW201639029A
TW201639029A TW105122740A TW105122740A TW201639029A TW 201639029 A TW201639029 A TW 201639029A TW 105122740 A TW105122740 A TW 105122740A TW 105122740 A TW105122740 A TW 105122740A TW 201639029 A TW201639029 A TW 201639029A
Authority
TW
Taiwan
Prior art keywords
film
gas
tantalum nitride
etching
nitride film
Prior art date
Application number
TW105122740A
Other languages
English (en)
Other versions
TWI600083B (zh
Inventor
Tomoyuki Watanabe
Michikazu Morimoto
Mamoru Yakushiji
Tetsuo Ono
Original Assignee
Hitachi High Tech Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi High Tech Corp filed Critical Hitachi High Tech Corp
Publication of TW201639029A publication Critical patent/TW201639029A/zh
Application granted granted Critical
Publication of TWI600083B publication Critical patent/TWI600083B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32131Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by physical means only
    • H01L21/32132Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by physical means only of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Abstract

提供一種可使被蝕刻膜對不同於被蝕刻膜的 膜的蝕刻選擇比比習知技術更為提升的電漿蝕刻方法。 本發明係一種電漿蝕刻方法,其係相對 不同於被蝕刻膜的膜,將前述被蝕刻膜作選擇性蝕刻,其中,使用可生成沈積膜的氣體對前述被蝕刻膜進行電漿蝕刻,該沈積膜係含有與前述膜之成分為同樣成分者為其特徵。

Description

電漿蝕刻方法
本發明係關於使用電漿進行蝕刻的電漿蝕刻方法。
氧化矽膜及氮化矽膜係以絕緣膜而言具有優異的特性,因此在半導體裝置的製造中,在多數工程中加以使用。在半導體裝置的製造工程中,已知有多數將形成在氧化矽膜上的氮化矽膜進行蝕刻的工程。例如有在電晶體中形成摻雜層時,被配置在閘極電極的兩側的間隔件構造的製造工程。在前述間隔件構造,一般使用氮化矽膜,在形成於閘極電極周圍的氧化矽膜上形成氮化矽膜,藉由將該氮化矽膜進行蝕刻來進行製造。使用氧化矽膜作為氮化矽膜蝕刻時的阻蝕膜。
以加大如上所示之形成在氧化矽膜上的氮化矽膜相對氧化矽膜的蝕刻選擇比的技術而言,例如專利文獻1所示,已揭示將CHF3氣體、或CH2F2氣體等氟碳氣體作為蝕刻氣體而將氮化矽膜進行蝕刻的內容。
在專利文獻1所揭示的技術中,氮化矽膜係根據以氟自由基(F*)為主蝕刻種的自由基反應來進行蝕刻,在氧 化矽膜上係沈積氟碳系的沈積膜,藉此可使蝕刻速度降低,而可加大對氧化矽膜的蝕刻選擇比。
〔先前技術文獻〕 〔專利文獻〕
〔專利文獻1〕日本特開平10-303187號公報
藉由前述習知技術,可加大氮化矽膜對氧化矽膜的蝕刻選擇比。但是,對於氧化矽膜,亦主要根據以CF3離子為主蝕刻種的離子輔助反應機構來進行蝕刻。因此,在氮化矽膜蝕刻速度的提升、前述間隔件構造的製造工程等中的形狀控制的目的下對晶圓施加高偏壓時,氧化矽膜亦被進行蝕刻,而使氮化矽膜對氧化矽膜的選擇比降低。
但是,伴隨著近年來的半導體裝置的微細化,在前述間隔件構造的製造工程中,作為氮化矽膜蝕刻用的阻蝕膜而加以使用的氧化矽膜的薄膜化亦更為明顯。因此,更加要求氮化矽膜對氧化矽膜的選擇比提升。
鑑於如上所示之課題,本發明係提供一種在高微細化的半導體裝置之製造相關之氧化矽膜上的氮化矽膜蝕刻中,可獲得所要求之氮化矽膜對氧化矽膜的選擇比的電漿蝕刻方法。
本發明關於對不同於被蝕刻膜的膜選擇性地進行前述被蝕刻膜之蝕刻的電漿蝕刻方法中,使用可以產生沈積膜的氣體進行前述被蝕刻膜之電漿蝕刻,該沈積膜係含有和前述膜成分同樣之成分者為其特徵。
又,本發明關於對不同於被蝕刻膜的膜,選擇性地進行前述被蝕刻膜之蝕刻的電漿蝕刻方法中,使用可以產生沈積膜的氣體進行前述被蝕刻膜之電漿蝕刻,該沈積膜係含有Si-O鍵結者為其特徵。
藉由本發明之構成,相較於習知技術,可使被蝕刻膜相對不同於被蝕刻膜的膜的蝕刻選擇比更為提升。
100‧‧‧試料
101‧‧‧真空容器
102‧‧‧真空泵
103‧‧‧處理室
104‧‧‧磁控管電源
105‧‧‧導波管
106‧‧‧共振容器
106’‧‧‧共振室
107‧‧‧試料台
108‧‧‧螺線管線圈
109‧‧‧窗構件
110‧‧‧噴氣板
111‧‧‧高頻偏壓電源
112‧‧‧直流電源
第1圖係顯示適用於本發明之微波電漿蝕刻裝置之構成圖。
第2圖係顯示將實施例1之條件與習知條件中氮化矽膜對氧化矽膜的蝕刻選擇比作比較後的結果的圖。
第3圖係顯示使用X射線光電子光譜法的分析結果圖。
第4圖係顯示實施例1之蝕刻經過圖。
第5圖係顯示氮化矽膜對氧化矽膜的蝕刻選擇比中SiF4氣體流量相對由CH3F氣體與O2氣體所構成的混合氣體的依存性的圖。
第6圖係顯示氮化矽膜對氧化矽膜的蝕刻選擇比中O2氣體流量相對由CH3F氣體與SiF4氣體所構成的混合氣體的依存性的圖。
第7圖係表示實施例2之條件與習知條件之中,將氮化矽膜對多晶矽膜之蝕刻選擇比進行比較之結果圖。
第8圖係表示實施例2之蝕刻經過圖。
第9圖係表示實施例2之氮化矽膜之間隔件形成的蝕刻經過圖。
第10圖係表示實施例3之蝕刻經過圖。
以下參照第1圖至第10圖,說明本發明之一實施例。
第1圖係本實施例中所使用之微波電漿蝕刻裝置之構成的縱剖面圖。在第1圖中,電漿蝕刻裝置係具備有:真空容器101、對真空容器101內部供給微波的手段、及將真空容器101內部進行排氣的排氣手段。
在真空容器101內部係配備有:其內側為形成電漿的空間且藉由電漿來處理被配置在內側的處理對象的試料100的處理室103;及被配置在處理室103內而在其上面載置試料100且予以保持的試料台107。此外,在真空容器101上方係配置有:供給微波的磁控管電源104;作為傳播微波而導入至處理室103內的管路的導波管105;及與導波管105相連接而被導入在導波管105內部傳播的微 波,在內部的空間產生共振的共振容器106。
此外,在真空容器101內配置有使磁場發生的螺線管線圈108。螺線管線圈108係包圍圓筒形狀真空容器101的上部的外周而作配置,藉由供給至此的電流而發生磁場。在本實施例中,螺線管線圈108係以複數段數作配置,在上下方向的中心軸周圍,等磁場以軸對稱而朝下逐漸開展的形狀的磁場被導入至處理室103內側。
此外,在真空容器101的下方配置有作為排氣手段之渦輪分子泵等真空泵102,在處理室103下部與被配置在試料台107之正下方的圓形排氣用開口相連通。
在圓筒形狀的處理室103的上方配置有使軸成為一致的具有圓筒形狀的共振容器106內之屬於共振用空間的共振室106’。該共振室106’與處理室103之間係配置有構成共振室106’之底面的介電質製圓板狀窗構件109。
在窗構件109的下方係與窗構件109的下面隔著間隙並列配置有介電質製的圓板狀噴氣板110,噴氣板110的下面構成處理室103的頂棚面。
噴氣板110係與試料台107的上面相對向配置,在其中央部配置複數個由上方將晶圓處理用氣體導入至處理室103內的貫穿孔。前述窗構件109與噴氣板110之間的間隙係連通有供由被設置在供設置微波電漿蝕刻裝置的清淨室等建屋的氣體源(未圖示)所被供給的蝕刻用氣體通流的管路,來自氣體源的蝕刻用氣體係在通過管路而被導入至前述間隙之後,通過貫穿孔而流入至下方的試料台107 方向。
試料台107係在內部配置導電體製電極,該電極與將預定頻率的高頻電力供給至試料台107的高頻偏壓電源111作電性連接。在試料台107上面之載置面上載置有晶圓的狀態下,藉由由該高頻偏壓電源111所被供給的高頻電力,在晶圓表面形成偏壓電位,藉由與形成在試料台107上方的處理室103內的電漿之間的電位差,將離子拉入至晶圓上面。
此外,在試料台107的上部係配置有構成試料載置面之由Al2O3或Y2O3等介電質材料所構成的介電質膜(未圖示),但是在其內部配置有藉由靜電力將晶圓吸附在介電質膜表面上的靜電吸附電極,而使對該靜電吸附電極供給直流電力的直流電源112作電性連接。
在具備有前述構成的微波電漿蝕刻裝置中,係在藉由在處理室103內由氣體源被導入氬(Ar)等惰性氣體,並且藉由排氣手段進行排氣而予以減壓的狀態下,藉由機械手臂等搬送手段(未圖示),通過閘閥(未圖示)而使作為試料100的晶圓搬送在試料台107上且在此作交接。
作為試料100的晶圓係在被載置於構成試料台107之載置面的介電質膜上之後,由直流電源112對介電質膜內的電極供給電力而形成靜電力,而吸附保持在介電質膜上。
由氣體源通過前述噴氣板110的貫穿孔而在處理室103內導入蝕刻用氣體,並且藉由與利用真空泵102所為 之排氣的均衡,處理室103內部係被調節成預定的壓力。由磁控管電源104所被振盪的微波係在導波管105內傳播而到達至共振容器106,在內部的共振室106’形成預定強度的電場。該電場係透過窗構件109、噴氣板110而被供給至處理室103內。
藉由由螺線管線圈108所被供給的磁場與由共振容器106所被供給的微波的相互作用,蝕刻用氣體被激發而電漿化,在處理室103內的試料台107上方的空間形成電漿。藉由該所形成的電漿來對晶圓施行蝕刻處理。
接著,以下說明使用前述微波電漿蝕刻裝置之本發明之一實施例。
在使用由如表1所示之CH3F氣體與O2氣體與SiF4氣體所構成的混合氣體進行蝕刻的本實施例之條件與習知條件中,將氮化矽膜及氧化矽膜分別進行蝕刻,將氮化矽膜對氧化矽膜的蝕刻選擇比進行比較檢討。將比較檢討結果顯示於第2圖。
如第2圖所示,在習知條件中,氮化矽膜對氧化矽膜的蝕刻選擇比係顯示較高的值,為約17,但是由於氧化矽膜的蝕刻速度為正,因此氧化矽膜的蝕刻不斷在進行。
另一方面,在本實施例之條件中,氮化矽膜的蝕刻速度係比習知條件較為增加。此外,顯示出氧化矽膜的蝕刻速度係成為負的值,在氧化矽膜上形成有沈積物。因此,在本實施例之條件中,氮化矽膜對氧化矽膜的蝕刻選擇比係成為∞。
如上所示本實施例係比習知條件,可使氮化矽膜對氧化矽膜的蝕刻選擇比大幅提升。換言之,可得在與製造經高微細化的半導體裝置相關之氧化矽膜上的氮化矽膜蝕刻中所被要求之氮化矽膜對氧化矽膜的選擇比。
在此,氮化矽膜對氧化矽膜的蝕刻選擇比係指將氮化矽膜的蝕刻速度除以氧化矽膜的蝕刻速度所得的值。
接著,為了調查藉由本實施例所得之氮化矽膜對氧化矽膜的蝕刻選擇比提升的機制,使用X射線光電子光譜法(X-Ray Photoelectron Spectroscopy:以下稱為XPS),來對以本實施例之條件與習知條件之處理後的矽晶圓表面進行分析,且將分析結果顯示於第3圖。
本實施例之條件與習知條件均在矽晶圓表面形成有沈積物。經藉由XPS分析該沈積物後的結果,如第3圖所示,可確認出習知條件為2.2nm、實施例的條件為6.6nm之形成有約3倍的厚度的沈積物。此外,以實施例的條 件,與習知條件相比較,亦可確認出在沈積物含有多數Si-O鍵結。由該分析結果可知,由於含有大量Si-O鍵結的沈積物沈積在氧化矽膜上而使選擇比提升。
根據前述XPS的分析結果,藉由含有大量Si-O鍵結的沈積物,氮化矽膜對氧化矽膜的蝕刻選擇比大幅提升的機制係如以下所示。
第4圖係顯示以實施例的條件,將基底膜為氧化矽膜、遮罩為氧化矽膜、被蝕刻膜為氮化矽膜的晶圓進行蝕刻處理時的蝕刻的進行經過圖。
第4圖(a)係顯示電漿發生瞬後,藉由利用電漿所發生的自由基、離子,以離子輔助反應為主體來進行晶圓的蝕刻。此外,SiF4氣體、O2氣體分別藉由電漿而被解離,藉此在電漿中生成Si、O。該等Si與O係如第4圖(b)所示,作為Si-O鍵結的沈積膜而附著在氧化矽膜的遮罩表面。
另一方面,在氮化矽膜表面上,前述的Si與O係在進行Si-O鍵結前,O係與氮化矽膜的N鍵結而成為揮發性高的NOx,因此在氮化矽膜表面上不易生成Si-O鍵結的沈積膜。此係由於N-O鍵結的鍵結能量(150Kcal/mol)高於Si-N鍵結的鍵結能量(105Kcal/mol),因此若O附著在氮化矽膜表面上時,容易引出氮化矽膜的N之故。
因此,如第4圖(c)所示,形成為氧化矽膜的遮罩並未被蝕刻,僅有氮化矽膜進行蝕刻的狀態。
若藉由蝕刻去除氮化矽膜時,會露出基底膜的氧化矽膜,但是如第4圖(d)所示,即使在基底膜的氧化矽膜表面上,亦與氧化矽膜的遮罩表面上同樣地,電漿中的Si與O作為Si-O鍵結的沈積膜而附著。
此時,氮化矽膜的蝕刻形狀由於為推拔形狀,必須要有用以形成為垂直形狀的追加的蝕刻,但是在基底膜的氧化矽膜表面上生成有Si-O鍵結的沈積膜,因此基底膜的氧化矽膜並未被蝕刻。
因此,如第4圖(e)所示不會對基底膜的氧化矽膜造成損傷,即可得垂直形狀。
接著,調查氮化矽膜對氧化矽膜的蝕刻選擇比中SiF4氣體流量相對由CH3F氣體與O2氣體所構成的混合氣體的依存性,將結果顯示於第5圖。
如第5圖所示,若添加SiF4氣體,氮化矽膜的蝕刻速度會增加,氧化矽膜的蝕刻速度係變為負而形成為蝕刻不會進行的狀態。氮化矽膜的蝕刻速度係SiF4氣體相對由CH3F氣體與O2氣體所構成的混合氣體的流量比在7%的附近成為最大,若比此還要增加前述的流量比時,則蝕刻速度會減少。
若前述流量比超過20%,氮化矽膜的蝕刻速度成為3.0nm/min左右,若前述流量比更加增加時,會形成為氮化矽膜的蝕刻不會進行的蝕刻阻蝕狀態。因此,SiF4氣體係以相對由CH3F氣體與O2氣體所構成的混合氣體成為20%以下的流量來使用為宜。
接著,調查氮化矽膜對氧化矽膜的蝕刻選擇比中O2氣體相對由CH3F氣體與SiF4氣體所構成的混合氣體的流量的依存性,將結果顯示於第6圖。
如第6圖所示,無關於O2氣體相對由CH3F氣體與SiF4氣體所構成的混合氣體的流量比,由於氧化矽膜的蝕刻速度為負的值,因此可知氧化矽膜的蝕刻未進行。
另一方面,氮化矽膜的蝕刻速度係取決於O2氣體的流量比而大幅變化。在O2氣體的流量比為25%以下與45%以上的領域中,由於氮化矽膜係蝕刻阻蝕,因此在O2氣體的流量比為25%至45%的領域中使用為佳。
此外,藉由O2氣體的流量比,氮化矽膜的蝕刻速度會大幅變化,因此藉由適當設定O2氣體的流量比,可將氮化矽膜的蝕刻速度控制成所希望的蝕刻速度。
基於近年來之半導體裝置的微細化,在本實施例中作為蝕刻對象的氮化矽膜亦薄膜化。在將如上所示之薄膜進行蝕刻時,藉由減慢氮化矽膜的蝕刻速度,變得容易控制蝕刻量。在本實施例中,如上所述,可藉由O2氣體的流量比而將氮化矽膜的蝕刻速度控制成所希望的蝕刻速度,因此對如上所述之蝕刻亦為有效。
在本實施例中,係使用由CH3F氣體、O2氣體、及SiF4氣體所構成的混合氣體,但是CH3F氣體及O2氣體即使分別使用氟碳氣體、含氧氣體,亦可得與本實施例同樣的效果。以氟碳氣體而言,係可使用CH3F氣體、CH2F2氣體、CHF3氣體之中至少一種氣體。
此外,以含氧氣體而言,係可使用O2氣體、CO氣體、CO2氣體之中至少一種氣體。再者,亦可在由氟碳氣體、含氧氣體、及SiF4氣體所構成的混合氣體添加惰性氣體。以惰性氣體而言,係可使用He氣體、Ne氣體、Ar氣體、Xe氣體、Kr氣體之中至少一種氣體。
此外,以前述氟碳系氣體的替代氣體而言,亦可使用由CH4氣體及含氟氣體所構成的混合氣體而得與本實施例同樣的效果。以含氟氣體而言,係可使用SF6氣體、CF4氣體之中至少一種氣體。
此外,在本實施例中,係將被蝕刻膜形成為氮化矽膜,但是即使將氮氧化矽膜(SiON膜)、氮碳氧化矽膜(SiOCN膜)作為被蝕刻膜,亦可得與本實施例同樣的效果。此外,即使將碳化矽膜(SiC膜)、碳氧化矽膜(SiOC膜)作為被蝕刻膜,亦可得與本實施例同樣的效果。若為碳化矽膜(SiC膜)、碳氧化矽膜(SiOC膜),在蝕刻時因O2氣體解離所發生的O與被蝕刻膜中的C鍵結而生成揮發性高的COx,因此可使被蝕刻膜對氧化矽膜的蝕刻選擇比提升。
此外,在本實施例中,係針對使用微波之ECR(Electron Cyclotron Resonance)方式的微波電漿蝕刻裝置的適用例加以說明,但是並非限定於此,亦可適用於使用電容耦合型、感應耦合型的電漿生成手段的電漿蝕刻裝置。
以上如上所述,本發明係使用可生成與不同於被蝕刻 膜的膜為同樣成分的沈積膜的氣體,對抑制前述沈積膜生成的被蝕刻膜進行蝕刻的電漿蝕刻方法。因此,藉由本發明,相較於習知技術,可使抑制前述沈積膜生成的被蝕刻膜對不同於被蝕刻膜的膜的蝕刻選擇比大幅提升。
以本發明之其他實施例而言,即使在使用金屬電極材料作為基底膜的多晶矽膜的蝕刻中,亦若例如在基底膜使用TaN膜或WN膜時,使用TaF5氣體、或WF6氣體來對多晶矽膜進行蝕刻,藉此在TaN膜或WN膜上形成含有Ta或W的沈積膜,因此相較於習知技術,可使多晶矽膜對TaN膜或WN膜的蝕刻選擇比大幅提升。
〔實施例2〕
於最近的半導體裝置製造工程之中之氮化矽膜的間隔件形成等,習知技術顯著存在著氮化矽膜相對於多晶矽膜或矽基板之選擇比不足之課題。因此,本實施例中針對提升氮化矽膜對多晶矽膜或矽基板之選擇比的手段予以說明。
首先,針對氮化矽膜相對矽基板之蝕刻選擇比進行比較檢討。針對使用如表2所示由CH3F氣體與O2氣體與SiF4氣體構成的混合氣體進行蝕刻的本實施例之條件,與習知條件之中取代矽基板而被使用的形成於矽基板上的多晶矽膜(Poly-Si)以及氮化矽膜分別進行蝕刻,而進行氮化矽膜相對多晶矽膜之蝕刻選擇比之比較及檢討。比較檢討之結果係如第7圖所示。
又,之所以改用多晶矽膜作為矽基板之取代而求出氮化矽膜相對矽基板之蝕刻選擇比,其理由乃基於矽基板為單結晶,多晶矽膜為多結晶雖然存在著結晶差異,但是構成元素不論是矽基板或多晶矽膜均為矽(Si)元素。
如第7圖所示,於習知條件下氮化矽膜相對多晶矽膜之蝕刻選擇比約為10,但是多晶矽膜之蝕刻速度為正,因此多晶矽膜之蝕刻被進行。
另外,本實施例之條件下,多晶矽膜之蝕刻速度成為負之值,顯示於多晶矽膜上形成沈積層。因此於本實施例之條件下,氮化矽膜相對多晶矽膜之蝕刻選擇比為∞。
如前述說明,本實施例比起習知條件可以大幅提升氮化矽膜相對多晶矽膜之蝕刻選擇比。換言之,可以獲得高微細化半導體裝置之製造相關的矽基板上之氮化矽膜之蝕刻所要求的,氮化矽膜相對矽基板之選擇比。
於此,氮化矽膜相對多晶矽膜之蝕刻選擇比,係指氮 化矽膜之蝕刻速度除以多晶矽膜之蝕刻速度獲得之值。
本實施例使用的氣體系,係和實施例1使用的氣體系為同樣者,因此由圖3所示使用XPS的分析結果可以推測出,和習知條件比較,於表2所示條件下含有Si-O鍵結的沈積膜之生成變為容易,該沈積膜之沈積於多晶矽膜上有助於選擇比之提升。
根據該結果,以下參照第8圖說明藉由表2所示條件可以大幅提升氮化矽膜對多晶矽膜的選擇比的機制。
第8圖係顯示以本實施例的條件,將基底膜為矽基板、遮罩為氧化矽膜、被蝕刻膜為氮化矽膜的晶圓進行蝕刻處理時的蝕刻的進行經過圖。
第8圖(a)係顯示電漿發生瞬後,藉由利用電漿所發生的自由基、離子,以離子輔助反應為主體來進行晶圓的蝕刻。此外,SiF4氣體、O2氣體分別藉由電漿而被解離,藉此在電漿中生成Si、O。該等Si與O係如第8圖(b)所示,作為Si-O鍵結的沈積膜而附著在氧化矽膜的遮罩表面。
另一方面,在氮化矽膜表面上,前述的Si與O係在進行Si-O鍵結前,O係與氮化矽膜的N鍵結而成為揮發性高的NOx,因此在氮化矽膜表面上不易生成Si-O鍵結的沈積膜。此係由於N-O鍵結的鍵結能量(150Kcal/mol)高於Si-N鍵結的鍵結能量(105Kcal/mol),因此藉由離子切斷氮化矽膜之Si-N鍵結之後,N容易與附著在氮化矽膜上的O鍵結之故。
因此,如第8圖(c)所示,形成有沈積膜的氧化矽膜的遮罩並未被蝕刻,成為僅有難以形成沈積膜的氮化矽膜被進行蝕刻的狀態。
藉由蝕刻去除氮化矽膜後,露出基底的矽基板而成為被電漿蝕刻的狀態。但是,於矽基板表面上亦同樣附著沈積物,藉由含於沈積物的O使矽基板表面氧化,而形成氧化矽膜。
因此,如第8圖(d)所示,和遮罩之氧化矽膜同樣於矽基板表面上亦被含有Si-O鍵結的沈積膜。基於該沈積膜之形成而使矽基板之蝕刻不被進行,可以獲得被蝕刻膜之氮化矽膜之高選擇比。於矽基板表面上之所以形成氧化矽膜,推測為基於離子之能量而於矽基板表面上Si-Si鍵結(鍵結能量:76Kcal/mol)被切斷後,Si再度鍵結時,比起Si-Si鍵結或Si-F鍵結(鍵結能量:130Kcal/mol)之產生,與含於沈積膜的O容易產生鍵結能量高的Si-O鍵結(鍵結能量:192Kcal/mol)。
於第8圖(d)時,氮化矽膜的蝕刻形狀為推拔形狀,因此必須要追加設為垂直形狀的蝕刻,但是在基底的矽基板表面上生成有包含Si-O鍵結的沈積膜,因此基底的矽基板未被蝕刻。
因此,如第8圖(e)所示在不對基底的矽基板造成損傷之情況下可得垂直形狀。
於此,氮化矽膜之基底雖設為矽基板,但基底為多晶矽膜時,同樣可以獲得和氮化矽膜間之高選擇比,可於不 帶來損傷之情況下進行蝕刻。
接著,說明氮化矽膜相對矽基板之高選擇比及氮化矽膜相對多晶矽膜之高選擇比為必要的氮化矽膜之間隔件之形成,適用於本發明之例。
欲在以覆蓋如圖9(a)所示由多晶矽膜(Poly-Si)構成的閘極電極的方式而被形成的氮化矽膜形成間隔件時,係對閘極電極上部之氮化矽膜與矽基板上部之氮化矽膜進行蝕刻,使閘極電極之側面之氮化矽膜殘留而形成氮化矽膜之間隔件。
將閘極電極之側面予以覆蓋的氮化矽膜未被蝕刻而必須殘留的理由為,必須由高頻偏壓電源111供給高的高頻電力,將離子垂直引入,而進行高的異方性蝕刻。但是,因為供給高的高頻電力,離子能量變高,多晶矽膜或矽基板亦變為容易被蝕刻。
因此於習知方法,於高的異方性蝕刻中有可能容易對矽基板或多晶矽膜帶來損傷。亦即,於習知方法之氮化矽膜之間隔件形成,氮化矽膜相對矽基板之選擇比以及氮化矽膜相對多晶矽膜之選擇比不夠大。
又,伴隨著半導體裝置之微細化,間隔件形成被要求更進一步蝕刻之異方性,使用的高頻偏壓電力變高。因此,習知方法有可能無法獲得必要的選擇比。
將本實施例適用在圖9(d)所示氮化矽膜之間隔件形成時,於多晶矽膜或矽基板之表面被選擇性沈積沈積物,多晶矽膜或矽基板之蝕刻不被進行,可獲得無損傷之 形狀。以下,說明將本實施例適用在氮化矽膜之間隔件形成之例。
蝕刻條件係使用和表2同一條件。製程氣體係於處理室103內實施電漿化,產生自由基或離子。又,SiF4氣體,O2氣體係分別藉由電漿被解離,而於電漿中產生Si,O。
以產生的自由基或離子之離子‧輔助反應作為主體而進行蝕刻。藉由施加於試料台107的高頻偏壓電力將離子引入,如圖9(b)所示使矽基板上部及閘極電極上部之氮化矽膜被蝕刻。又,前述之Si與O係作為含有Si-O鍵結的沈積膜被沈積,如本實施例之說明,於氮化矽膜上進行Si-O鍵結前,O係與氮化矽膜之N產生鍵結而成為揮發性高的NOx,因此於氮化矽膜表面上難以產生Si-O鍵結之沈積膜,氮化矽膜之蝕刻被進行。
氮化矽膜之蝕刻之進行後,如圖9(c)所示矽基板先行露出,成為閘極電極上部之氮化矽膜殘留的狀態。在閘極電極上部之氮化矽膜之蝕刻終了為止之間,露出的矽基板暴露於電漿,因此習知方法對於矽基板會帶來損傷。但是,本實施例中,係於矽基板上沈積含有Si-O鍵結的沈積膜,因此矽基板之蝕刻不被進行。因此,在閘極電極上部之氮化矽膜之蝕刻終了為止之時間,矽基板係不被進行蝕刻。
又,閘極電極上部露出後,追加進行蝕刻時,係如圖9(d)所示,於多晶矽膜之上部亦沈積含有Si-O鍵結的 沈積膜,因此多晶矽膜不被進行蝕刻。如此則,如圖9(d)所示可於不損及矽基板及多晶矽膜之情況下,形成氮化矽膜之間隔件。
於圖9(c),係先消除矽基板上之氮化矽膜,而使矽基板露出,但是先消除閘極電極上部之氮化矽膜,而使多晶矽膜露出之場合亦同樣。
又,本實施例雖使用矽基板作為閘極電極之基底,但使用氧化矽膜作為閘極電極之基底之情況下,亦和本實施例同樣,於氮化矽膜被蝕刻而露出的氧化矽膜表面,會被沈積含有Si-O鍵結的沈積膜,因此氧化矽膜不會被蝕刻。因此,和矽基板之場合同樣,可以在不對基底之氧化矽膜及多晶矽膜帶來損傷之情況下,形成氮化矽膜之間隔件。
在本實施例中,係使用由CH3F氣體、O2氣體、及SiF4氣體所構成的混合氣體作為製程氣體,但是CH3F氣體及O2氣體即使分別使用氟碳氣體、含氧氣體,亦可得與本實施例同樣的效果。以氟碳氣體而言,係可使用CH3F氣體、CH2F2氣體、CHF3氣體之中至少一種氣體。
此外,以含氧氣體而言,係可使用O2氣體、CO氣體、CO2氣體之中至少一種氣體。再者,亦可在由氟碳氣體、含氧氣體、及SiF4氣體所構成的混合氣體添加惰性氣體。以惰性氣體而言,係可使用He氣體、Ne氣體、Ar氣體、Xe氣體、Kr氣體之中至少一種氣體。
此外,以前述氟碳系氣體的替代氣體而言,亦可使用 由CH4氣體及含氟氣體所構成的混合氣體而得與本實施例同樣的效果。以含氟氣體而言,係可使用SF6氣體、CF4氣體之中至少一種氣體。
此外,在本實施例中,係將被蝕刻膜形成為氮化矽膜,但是即使將氮氧化矽膜(SiON膜)、氮碳氧化矽膜(SiOCN膜)作為被蝕刻膜,亦可得與本實施例同樣的效果。
此外,即使將碳化矽膜(SiC膜)、碳氧化矽膜(SiOC膜)作為被蝕刻膜,亦可得與本實施例同樣的效果。若為碳化矽膜(SiC膜)、碳氧化矽膜(SiOC膜),在蝕刻時因O2氣體解離所發生的O與被蝕刻膜中的C鍵結而生成揮發性高的COx,因此可使被蝕刻膜對矽基板或多晶矽膜的蝕刻選擇比提升。
此外,在本實施例中,係針對使用微波之ECR(Electron Cyclotron Resonance)方式的微波電漿蝕刻裝置的適用例加以說明,但是並非限定於此,亦可適用於使用電容耦合型、感應耦合型的電漿生成手段的電漿蝕刻裝置。
〔實施例3〕
以下說明之本實施例,係使用可以產生和不同於被蝕刻膜之膜為同樣成分之沈積膜的氣體,使前述被蝕刻膜相對於和前述被蝕刻膜不同的膜進行選擇性電漿蝕刻的電漿蝕刻方法,係針對以金屬材料為基底膜的多晶矽膜進行蝕 刻的金屬閘極電極形成方法。
如圖10(a)所示,遮罩設為硬質遮罩(HM),基底膜之金屬材料設為氮化鉭膜(TaN)而進行多晶矽膜(Poly-Si)之蝕刻。於多晶矽膜之蝕刻,製程氣體係使用HBr或Cl2等之鹵素系氣體,鹵素系氣體亦使氮化鉭被蝕刻。
於鹵素系氣體添加TaF5氣體及N2氣體,彼等氣體用於產生和基底膜之氮化鉭膜為同一成分之沈積膜。使用彼等之氣體進行蝕刻時,如圖10(b)所示,鉭雖由電漿中被供給至多晶矽膜表面,但是藉由Cl或Br等鹵素可將其連同多晶矽膜予以除去。多晶矽膜被蝕刻除去後,基底膜之氮化鉭呈露出。
但是,相較於多晶矽膜表面,氮化鉭之表面係更容易吸收含有氮化鉭的沈積物,因此如圖10(c)所示形成含有氮化鉭的沈積膜,氮化鉭之蝕刻不會被進行。又,雖然需要將多晶矽膜之形狀設為垂直化之追加蝕刻,但是因為氮化鉭之蝕刻不被進行,因此不會造成氮化鉭之損傷而可以獲得圖10(d)所示的垂直形狀。
本實施例之金屬材料雖使用氮化鉭,但使用氮化鎢的場合,藉由在製程氣體添加WF6及N2亦可獲得同樣之效果。
此外,在本實施例中,係針對使用微波之ECR(Electron Cyclotron Resonance)方式的微波電漿蝕刻裝置的適用例加以說明,但是並非限定於此,亦可適用於使 用電容耦合型、感應耦合型的電漿生成手段的電漿蝕刻裝置。
如上所述,本發明係使用可生成與不同於被蝕刻膜的膜為同樣成分的沈積膜的氣體,使前述被蝕刻膜相對於和前述被蝕刻膜不同的膜被進行選擇性電漿蝕刻的電漿蝕刻方法。因此,相較於習知技術,本發明可使前述被蝕刻膜相對於不同於被蝕刻膜的膜具有的蝕刻選擇比大幅提升。
又,本發明係使用可以產生含有Si-O鍵結的沈積膜之氣體,使前述被蝕刻膜相對於和被蝕刻膜不同的膜被進行選擇性電漿蝕刻的電漿蝕刻方法。因此,相較於習知技術,依據本發明可使前述被蝕刻膜對於不同於被蝕刻膜的膜具有的蝕刻選擇比大幅提升。

Claims (7)

  1. 一種電漿蝕刻方法,對於矽基板選擇性蝕刻氮化矽膜,特徵為:使用含有氟碳氣體、含氧氣體、及SiF4氣體的混合氣體而蝕刻前述氮化矽膜。
  2. 一種電漿蝕刻方法,對於矽基板選擇性蝕刻氮化矽膜,特徵為:使用含有氟碳氣體、含氧氣體、及SiF4氣體的混合氣體而粗略地蝕刻前述氮化矽膜。
  3. 一種電漿蝕刻方法,對於矽基板選擇性蝕刻氮化矽膜,特徵為:使用含有氟碳氣體、含氧氣體、及SiF4氣體的混合氣體,由前述氮化矽膜之蝕刻前的前述氮化矽膜之表面對前述氮化矽膜進行蝕刻。
  4. 一種電漿蝕刻方法,對於矽基板選擇性蝕刻氮化矽膜,特徵為:構成蝕刻條件,係僅藉由使用含有氟碳氣體、含氧氣體、及SiF4氣體的混合氣體之步驟對前述氮化矽膜進行蝕刻。
  5. 如申請專利範圍第1至4項之中任一項之電漿蝕刻方法,其中前述混合氣體進一步含有惰性氣體。
  6. 如申請專利範圍第1至4項之中任一項之電漿蝕刻方法,其中 前述氟碳氣體為CH3F氣體,前述含氧氣體為O2氣體。
  7. 一種電漿蝕刻方法,對於矽基板選擇性蝕刻氮化矽膜,特徵為:使用含有CH4氣體、含氟氣體、含氧氣體、及SiF4氣體之混合氣體而蝕刻前述氮化矽膜。
TW105122740A 2011-10-31 2012-10-25 Plasma etching method TWI600083B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2011238166 2011-10-31
JP2012229411A JP5932599B2 (ja) 2011-10-31 2012-10-17 プラズマエッチング方法

Publications (2)

Publication Number Publication Date
TW201639029A true TW201639029A (zh) 2016-11-01
TWI600083B TWI600083B (zh) 2017-09-21

Family

ID=48610539

Family Applications (2)

Application Number Title Priority Date Filing Date
TW105122740A TWI600083B (zh) 2011-10-31 2012-10-25 Plasma etching method
TW101139495A TW201335991A (zh) 2011-10-31 2012-10-25 電漿蝕刻方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW101139495A TW201335991A (zh) 2011-10-31 2012-10-25 電漿蝕刻方法

Country Status (4)

Country Link
US (2) US8741166B2 (zh)
JP (1) JP5932599B2 (zh)
KR (1) KR101405175B1 (zh)
TW (2) TWI600083B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI775819B (zh) * 2017-03-10 2022-09-01 日商東京威力科創股份有限公司 蝕刻方法

Families Citing this family (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6289996B2 (ja) * 2014-05-14 2018-03-07 東京エレクトロン株式会社 被エッチング層をエッチングする方法
US9911620B2 (en) * 2015-02-23 2018-03-06 Lam Research Corporation Method for achieving ultra-high selectivity while etching silicon nitride
US10957561B2 (en) 2015-07-30 2021-03-23 Lam Research Corporation Gas delivery system
US9837286B2 (en) 2015-09-04 2017-12-05 Lam Research Corporation Systems and methods for selectively etching tungsten in a downstream reactor
US10192751B2 (en) 2015-10-15 2019-01-29 Lam Research Corporation Systems and methods for ultrahigh selective nitride etch
JP6557588B2 (ja) * 2015-12-04 2019-08-07 株式会社日立ハイテクノロジーズ ドライエッチング方法
US10825659B2 (en) 2016-01-07 2020-11-03 Lam Research Corporation Substrate processing chamber including multiple gas injection points and dual injector
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10147588B2 (en) 2016-02-12 2018-12-04 Lam Research Corporation System and method for increasing electron density levels in a plasma of a substrate processing system
US10438833B2 (en) 2016-02-16 2019-10-08 Lam Research Corporation Wafer lift ring system for wafer transfer
US9673058B1 (en) 2016-03-14 2017-06-06 Lam Research Corporation Method for etching features in dielectric layers
US10410832B2 (en) 2016-08-19 2019-09-10 Lam Research Corporation Control of on-wafer CD uniformity with movable edge ring and gas injection adjustment
FR3065576B1 (fr) * 2017-04-25 2020-01-24 Commissariat A L'energie Atomique Et Aux Energies Alternatives Procede de gravure d'une couche a base de sin
US10847374B2 (en) 2017-10-31 2020-11-24 Lam Research Corporation Method for etching features in a stack
US10361092B1 (en) 2018-02-23 2019-07-23 Lam Research Corporation Etching features using metal passivation
US10283370B1 (en) * 2018-03-01 2019-05-07 Applied Materials, Inc. Silicon addition for silicon nitride etching selectivity
JP6811202B2 (ja) * 2018-04-17 2021-01-13 東京エレクトロン株式会社 エッチングする方法及びプラズマ処理装置
US10515821B1 (en) 2018-06-26 2019-12-24 Lam Research Corporation Method of achieving high selectivity for high aspect ratio dielectric etch
US10741407B2 (en) 2018-10-19 2020-08-11 Lam Research Corporation Reduction of sidewall notching for high aspect ratio 3D NAND etch
WO2021161368A1 (ja) * 2020-02-10 2021-08-19 株式会社日立ハイテク プラズマ処理方法
WO2021173154A1 (en) * 2020-02-28 2021-09-02 Lam Research Corporation Reduction of sidewall notching for high aspect ratio 3d nand etch

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4793897A (en) * 1987-03-20 1988-12-27 Applied Materials, Inc. Selective thin film etch process
EP0424299A3 (en) * 1989-10-20 1991-08-28 International Business Machines Corporation Selective silicon nitride plasma etching
JP3210359B2 (ja) * 1991-05-29 2001-09-17 株式会社東芝 ドライエッチング方法
JP3172340B2 (ja) * 1993-08-12 2001-06-04 東京エレクトロン株式会社 プラズマ処理装置
JP3681533B2 (ja) 1997-02-25 2005-08-10 富士通株式会社 窒化シリコン層のエッチング方法及び半導体装置の製造方法
US5786276A (en) * 1997-03-31 1998-07-28 Applied Materials, Inc. Selective plasma etching of silicon nitride in presence of silicon or silicon oxides using mixture of CH3F or CH2F2 and CF4 and O2
JP3439455B2 (ja) * 2000-12-18 2003-08-25 Necエレクトロニクス株式会社 異方性ドライエッチング方法
AU2002303842A1 (en) * 2001-05-22 2002-12-03 Reflectivity, Inc. A method for making a micromechanical device by removing a sacrificial layer with multiple sequential etchants
US7098141B1 (en) * 2003-03-03 2006-08-29 Lam Research Corporation Use of silicon containing gas for CD and profile feature enhancements of gate and shallow trench structures
GB0401622D0 (en) * 2004-01-26 2004-02-25 Oxford Instr Plasma Technology Plasma etching process
KR100780944B1 (ko) * 2005-10-12 2007-12-03 삼성전자주식회사 탄소함유막 식각 방법 및 이를 이용한 반도체 소자의 제조방법
US7393788B2 (en) * 2006-02-10 2008-07-01 Cook Julie A Method and system for selectively etching a dielectric material relative to silicon
JP5209859B2 (ja) 2006-09-19 2013-06-12 株式会社日立ハイテクノロジーズ プラズマエッチング方法
US20110223770A1 (en) * 2010-03-15 2011-09-15 Lam Research Corporation Nitride plasma etch with highly tunable selectivity to oxide
TWI478234B (zh) * 2011-03-04 2015-03-21 Tokyo Electron Ltd 氮化矽膜之蝕刻方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI775819B (zh) * 2017-03-10 2022-09-01 日商東京威力科創股份有限公司 蝕刻方法

Also Published As

Publication number Publication date
US20130157470A1 (en) 2013-06-20
KR101405175B1 (ko) 2014-06-10
JP2013118359A (ja) 2013-06-13
JP5932599B2 (ja) 2016-06-08
US8741166B2 (en) 2014-06-03
TW201335991A (zh) 2013-09-01
KR20130047663A (ko) 2013-05-08
US8889024B2 (en) 2014-11-18
TWI560770B (zh) 2016-12-01
TWI600083B (zh) 2017-09-21
US20140220785A1 (en) 2014-08-07

Similar Documents

Publication Publication Date Title
TWI600083B (zh) Plasma etching method
US20210134604A1 (en) Etching method
JP3998003B2 (ja) プラズマエッチング法
JP4825911B2 (ja) 介在チャンバでの脱フッ素化及びウェハ脱フッ素化ステップによるプラズマエッチング及びフォトレジストストリッププロセス
JP4754374B2 (ja) プラズマエッチング方法およびコンピュータ読み取り可能な記憶媒体
US20190214265A1 (en) Method of processing target object
TWI766866B (zh) 蝕刻方法
TWI809086B (zh) 蝕刻方法及電漿處理裝置
JP2015079793A (ja) プラズマ処理方法
JP4827567B2 (ja) プラズマエッチング方法およびコンピュータ読み取り可能な記憶媒体
TWI497586B (zh) Plasma etching method
US20130330920A1 (en) Method and apparatus for substrate preclean with hydrogen containing high frequency rf plasma
CN103779203A (zh) 等离子蚀刻方法
US20030153193A1 (en) Etching method
US20070218699A1 (en) Plasma etching method and computer-readable storage medium
US11881410B2 (en) Substrate processing apparatus and plasma processing apparatus
WO2003081656A1 (fr) Procede de gravure par plasma
TW202322214A (zh) 金屬蝕刻方法
JP2007251034A (ja) プラズマ処理方法
JP2016213404A (ja) プラズマエッチング方法