JP2001085418A - 処理チャンバのための遠隔式プラズマクリーニング方法 - Google Patents

処理チャンバのための遠隔式プラズマクリーニング方法

Info

Publication number
JP2001085418A
JP2001085418A JP2000201117A JP2000201117A JP2001085418A JP 2001085418 A JP2001085418 A JP 2001085418A JP 2000201117 A JP2000201117 A JP 2000201117A JP 2000201117 A JP2000201117 A JP 2000201117A JP 2001085418 A JP2001085418 A JP 2001085418A
Authority
JP
Japan
Prior art keywords
chamber
processing chamber
gas
processing
region
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2000201117A
Other languages
English (en)
Other versions
JP4889138B2 (ja
Inventor
Ravi Rajagopalan
ラヤゴパラン ラヴィ
Patricia M Liu
エム リウ パトリシア
Pravin K Narwankan
ケイ ナーワンカン プラヴィン
Huyen T Tran
ティ トラン ヒュエン
Padmanabhan Krishnaraj
クリシュナラヤ パドマナバーン
Alan Ablao
アブラオ アラン
Tim Casper
キャスパー ティム
Turgit Sahin
サヒン タージット
Chien-Teh Kao
カオ チャン−テ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2001085418A publication Critical patent/JP2001085418A/ja
Application granted granted Critical
Publication of JP4889138B2 publication Critical patent/JP4889138B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/511Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using microwave discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J9/00Apparatus or processes specially adapted for the manufacture, installation, removal, maintenance of electric discharge tubes, discharge lamps, or parts thereof; Recovery of material from discharge tubes or lamps
    • H01J9/38Exhausting, degassing, filling, or cleaning vessels
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/905Cleaning of reaction chamber

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Epidemiology (AREA)
  • Health & Medical Sciences (AREA)
  • Public Health (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

(57)【要約】 【課題】 マイクロ波エネルギを利用して、単独で又は
不活性ガスと組み合わせで用いられる反応種を遠隔で発
生させ処理チャンバから堆積物を取り除く処理チャンバ
クリーニング方法。 【解決手段】 反応種により、第1の圧力で第1の処理
領域から堆積物を取り除くことができ、また、第2の圧
力で第2の処理領域から堆積物を取り除くことができ
る。また、2つの異なる圧力で単一の処理領域の中に遠
隔で発生された反応種を利用するクリーニングプロセス
が説明される。更に、反応性のガスと不活性ガスの比を
変えることにより、クリーニングプロセスの均一性を改
良し、クリーニング速度を上げ、反応種の再結合を減ら
し、処理チャンバに提供される反応種の滞在時間を延ば
すことができる。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は一般に、処理リアク
タ内に蓄積された処理副生成物及び不必要な堆積物を取
り去ることに適した装置及び方法に関する。より詳しく
は、本発明は、タンタルを有する膜、例えば五酸化タン
タル(Ta2O5)等の堆積の後、ウエハ処理装置の内部部
品をクリーニングする方法に関する。さらに、ここに説
明される方法は、他のプロセスによって形成された堆積
物を取り去るに適しており、半導体デバイスやフラット
パネルディスプレイの製造に有用である。
【0002】
【従来の技術】小さいサイズのデバイス上に容量の大き
な集積回路(IC)を形成する要求には、今日の64メガビ
ットのDRAMを256メガビット、1ギガビットあるいはそれ
以上の大きさの記憶装置へと変えることに対する大きな
関心が含まれる。同じ又は小さな基板フットプリントデ
バイスに対してキャパシティをより大きくするこの必要
性は、以前に用いられた従来の誘電体膜をスタック型の
キャパシタの形成、例えば二酸化珪素(SiO2)を、より
高い誘電率を有する誘電体膜に代替する必要を生じさせ
る。高い誘電率材料(例えばTa2O5)を有するキャパシ
タは通常、標準的なSiO2-Si3N4-SiO2スタックキャパシ
タに比べてかなり大きいキャパシタンス密度を有するの
で、IC製造における選択材料とすることができる。誘電
率膜が高ければ、キャパシタ領域をより小さくすること
が可能となり、それは、トランジスタのより近接したス
ペーシングを可能にし、トランジスタ密度を増加させ
る。スタックキャパシタ製造において大きな興味の対象
である材料の1つは、SiO2の6倍以上の比誘電率を有する
五酸化タンタルである。この材料の用途が増加し広がる
ことを合わせれば、堆積サイクルを繰り返した後、処理
チャンバ内に蓄積する不必要な堆積物を取り去る改良さ
れたインシチュウの方法の必要性になる。
【0003】利用可能な洗浄方法の1つに、処理チャン
バに与えられる反応種を生産するための遠隔式(リモー
ト)プラズマジェネレータの利用がある。1995年9月12
日発行のHitachiの米国特許第5,449,411号は、SiO2の堆
積の前に、真空チャンバをクリーニングするためのプロ
セスを説明する。C2F6、CF4、CHF3、CH6、F2、HF、Cl2
またはHCl等の処理ガスのマイクロ波プラズマが記載さ
れる。この特許では、チャンバ内の電極にRF電界を印加
することでクリーニングプロセスを改良することが可能
であることが、更に説明される。
【0004】
【発明が解決しようとする課題】Applied Komatsu Tech
nologyに1998年8月4日発行された米国特許5,778,788で
は、およそ3,000〜12,000ワットの強力なマイクロ波ソ
ース又はおよそ12,000ワット/リットル〜48,000ワット/
リットルのリモートチャンバ内の出力密度を用いて先駆
体ガスを励起することによる、電子デバイスの製造に用
いられる堆積チャンバをクリーニングする方法を説明す
る。
【0005】この特許では、アルゴン、窒素、ヘリウ
ム、水素または酸素等の少数のキャリヤガスを用いて、
反応種をチャンバへ輸送し、クリーニングプロセスを援
助し、あるいは堆積チャンバ内のプラズマの発生及び安
定を援助することができることを更に説明する。この特
許はまた、反応種を更に励起するために用いられるチャ
ンバベースの励振源をチャンバに具備して用いることが
説明される。
【0006】半導体工業に直面している別の問題は、処
理ガスを得る費用が増大していることであり、これが、
処理ガスにより生成される排気副生成物の廃棄費用が増
大していることにも結合する。クリーニングガスNF3
は、この問題の例証となる例である。優れたクリーニン
グガスと長年認められたため、NF3の調達費用は着実に
増加した。クリーニングガスをより有効に利用すること
で、ガス消費量を全体的に減少させることに帰着する、
改良されたリモートプラズマチャンバクリーニングプロ
セスが必要である。この改良プロセスは、効果的にプラ
ズマを生成し又はチャンバ堆積物を取り除くためには、
チャンバベースの励起を必要とすることなく、リモート
マイクロ波励起源だけによるべきである。ガス消費量が
低減されることにより、ガス供給コスト、CFC発生及び
ガス廃棄費用が低減される。更に、この改良方法は、Ta
2O5その他の誘電膜等の誘電膜に対して、商業上現実的
な洗浄率を提供することができなければならない。
【0007】
【課題を解決するための手段】本発明の具体例は、処理
チャンバの内面に形成された堆積物を処理チャンバより
クリーニングする方法であって、前記処理チャンバ内面
が第1の領域と、前記第1の領域とは異なる前記第2の
領域とを有し、以下の通りのステップを備えており、そ
れらは、反応種を形成するため、不活性気体とクリーニ
ングガスを備えるガス混合物を、前記処理チャンバの外
側で分解するステップと、前記処理チャンバに前記反応
種を提供するステップと、前記処理チャンバ第1の領域
内で前記堆積物を前記反応種と反応させるステップと、
前記処理チャンバ第1の領域内で形成された前記堆積物
より、揮発性の組成物を形成するステップと、前記処理
チャンバ第1の領域内に形成される堆積物から形成され
る前記揮発性の組成物を前記処理チャンバから取り除く
ステップと、前記処理チャンバの第1の領域と第2の領
域の間の流体の連通を増加させるステップと、前記処理
チャンバの第2の領域内の前記堆積物を前記反応種と反
応させるステップと、前記処理チャンバの第2の領域内
に形成される前記堆積物から、揮発性の組成物を形成す
るステップと、前記処理チャンバ第2の領域内に形成さ
れる堆積物から形成される前記揮発性の組成物を、前記
処理チャンバから取り除くステップと、を有する。
【0008】本発明の別の具体例は、処理チャンバ内に
配置される基板上で実行される堆積操作の結果として処
理チャンバの中に形成される堆積物を、取り除く方法で
あって、この方法は、前記処理チャンバとは別個の遠隔
チャンバ内でクリーニングガスを励起して、前記クリー
ニングガスから反応種を形成するステップと、前記反応
種と混合する不活性気体を提供して、反応種を備えるガ
ス混合物を形成するステップと、前記処理チャンバを第
1の圧力に維持しつつ、前記処理チャンバに前記ガス混
合物を提供するステップと、前記第1の圧力で前記処理
チャンバを維持しつつ、前記反応種を前記堆積物と反応
させて揮発性の組成物を形成し、その後、前記第1の圧
力で形成される前記揮発性の組成物を、前記処理チャン
バから取り除くステップと、前記第1の圧力とは異なる
第2の圧力に前記処理チャンバを維持しつつ、反応種を
備えている前記ガス混合物を前記処理チャンバに提供す
るステップと、前記処理チャンバを前記第2の圧力に維
持しつつ、前記反応種を前記堆積物に反応させて揮発性
の組成物を形成し、その後、前記第2の圧力で形成され
る前記揮発性の組成物を前記処理チャンバから取り除く
ステップと、前記処理チャンバ内で処理操作を行い、前
記チャンバ内に基板を配置せずに前記処理チャンバの内
面上に膜を形成するステップとを有する。
【0009】
【発明の実施の形態】本発明は、処理チャンバの内面上
に蓄積された処理副生成物の除去のための新しいインシ
チュウのクリーニングプロセスに関するものである。こ
こに説明された具体例では、抵抗加熱CVDチャンバを
用いる。このタイプのチャンバの一例は、アプライドマ
テリアルズ社によって製造されるxZスタイルのシングル
ウエハ処理チャンバであり、これは、以下に説明される
ように変形される。ここに説明するチャンバは、五酸化
タンタル(Ta2O5)の熱堆積等、多種多様な半導体製造
技術に利用できるモジュール式の処理システムの一部で
ある。以下の記載及び具体例では、Ta2O5を備える膜の
堆積及びクリーニングに関して説明されるが、当業者
は、本発明で説明された方法が、本発明の範囲から離れ
ることなく市販の処理システム及び操作に適応可能であ
ると理解されよう。例の中には、周知の半導体処理装置
及び方法論の説明をしなかったが、これは、本発明を不
必要にあいまいにしないためである。
【0010】図1は、処理チャンバ10及び遠隔プラズマ
ジェネレータ60を有する本発明の処理システム5の概要
図である。処理チャンバ10は、リッド20及びチャンバ本
体22を備えており、これらが一緒になって、排気可能で
温度制御された処理環境を形成する。リッド20及びチャ
ンバ本体22は、典型的には、良好な熱的特性を有する堅
い材料で作られる。例えば、チャンバリッド20と本体22
は、アルミニウムで形成されてもよい。
【0011】図1に示される代表的な具体例では、抵抗
加熱基板支持体28がチャンバ10内に与えられ、これはワ
ークピースを支持しかつ熱堆積反応のための反応熱を提
供するが、この熱堆積反応は、例えば、タンタル前駆体
の分解によるTa2O5の堆積、例えば(Ta(OC2H5)5)、TA
ETO、タンタルテトラエトキシドジメチルアミノエトキ
シド(tantalum tetraethoxide dimethylaminoethoxide
(Ta(OEt)4(OCH2CH2N(Me)2)やTAT-DMAE等である。基
板支持体28内の抵抗ヒータ29に与えられる電力は、ヒー
タ制御装置44により調整される。ヒータ29への電力は、
チャンバ10内で実行される望ましい処理操作のために適
切な温度を与えるように調整される。チャンバ10内での
基板支持体28の垂直位置は、リフトメカニズム42によっ
て制御される。支持体シャフト41が、基板支持体28に結
合し、メカニズム42を持ち上げる。チャンバ10内の基板
支持体28の位置又はスペーシングは、シャワーヘッド32
の下面と基板支持体28の上面の間の離隔距離である。ス
ペーシングは、1000分の1インチ又はミルの単位で測定
され、大きなスペーシングは、シャワーヘッド32と基板
支持体28の間の離隔距離が増加したことを示す。チャン
バ10内に部品は、典型的には保護コーティングを有する
か、あるいは、比較的高温及び、しばしば電子部品の製
造に用いる腐食性化学環境への曝露を認容する耐久性の
ある原料から製造される。例えば、シャワーヘッド32は
アルミニウムから形成されてもよい。グラファイトとセ
ラミック組成は、基板支持体28及び支持シャフト41の製
造にしばしば用いられる。
【0012】ポンプ15と絞り弁14を用いて、チャンバ10
を排気し、チャンバ10内に圧力調整された環境を提供す
る。ポンプ15は、隔壁22の周囲の中に配置され基板支持
体28を取り囲むポンピングチャンネル40に連通する。ポ
ンピング板34が、複数の孔径36を具備し、チャンバ内部
領域24及び26のより一様な伝導と排気を提供する。スペ
ーシング38は、基板支持体28をポンピング板34から隔て
る。図1の代表的なチャンバ10について、スペーシング3
8は0.112インチ〜0.088インチである。このように、基
板支持体28とポンピング板34は実質的に、チャンバ10の
内部を、上側内部領域24と下側内部領域26に分割する。
また、上側内部領域24は、シャワーヘッド32とガス分配
板30を有する。
【0013】処理ガスは、チャンバ10の外側でガスサプ
ライ46及び48の中に貯蔵されている。バルブ及びコント
ローラ50は、チャンバ10へのガス導入に用いられるマス
フロー制御装置等の電子測定系と制御系を表す。サプラ
イ46と48からのガスは、バルブ及びコントローラ50よ
り、内部のチャンバ領域24及び26に入る前に、チャンバ
サプライ配管52、リッド20、ガス分配板30そしてシャワ
ーヘッド32の中を流れる。ここではシングルバルブ及び
コントローラ50を用いて示したが、ガスサプライ46及び
48は、チャンバ10内に要望されるプロセスによっては、
独立のバルブとコントローラユニット50によって制御す
ることができる。
【0014】また、ガスを励起してチャンバ内部領域24
及び26に生成反応種を提供するために用いられる遠隔プ
ラズマ生成システム60が図1に例示される。遠隔プラズ
マジェネレータ60の1つの利点は、遠隔プラズマジェネ
レータ60によって発生する生成プラズマないし反応種
を、内部チャンバ処理領域24と26内にクリーニング又は
プロセス用途に用いることにより、基板支持体28等の内
部チャンバ部品、シャワーヘッド32又はポンピング34
が、プラズマ生成のために内部処理領域24と26内にRF
エネルギーが印加される場合に通常生じるイオン衝撃を
受けないようにする。遠隔で励起されたプラズマにより
生成した反応種は、有害性が低いと考えられるが、それ
は、内部チャンバ部品がイオン衝撃を受けないからであ
る。
【0015】チャンバベースの励起クリーニング方法に
対しての、本発明の遠隔励起クリーニング方法の別の利
点は、遠隔励起クリーニング方法において、チャンバ条
件、例えば温度等がチャンバで行われるプロセスに関連
する条件又はその近くに維持できるということである。
例えば、475℃でTa2O5を堆積するためにチャンバが用い
られる本発明の代表的な具体例では、遠隔励起された化
学種を、同じ温度でチャンバに提供してもよく、これに
より、堆積プロセスと遠隔励起クリーニングプロセスの
間でチャンバ温度を変えるために要する延長時間が排除
される。
【0016】ここで、図1に示される遠隔プラズマジェ
ネレータ60の略図に戻れば、本発明の遠隔プラズマ器械
60の部品と操作がよく理解できる。マグネトロン組立体
62は、マグネトロンチューブを収容し、これはマイクロ
波エネルギを生成する。代表的なマグネトロンチューブ
62は、羽根配列でアノードに囲まれる高温のフィラメン
ト円筒状カソードから成る。電源装置から直流電力が供
給されれば、このアノード/カソード組立体は、高磁界
を生成する。この磁界に接触する電子は、アノードとカ
ソードの間で移動する際、円の経路を進む。この円運動
は、アノード羽根の間で、電圧共振、ないしマイクロ波
を誘導する。アンテナは、マグネトロン62からアイソレ
ーター64、そして導波管66へとマイクロ波を導く。アイ
ソレーター64は、マグネトロン62への損傷を防ぐために
反射電力を吸収し消滅させる。導波管66は、アイソレー
ター64からチューナー68へとマイクロ波を導く。
【0017】チューナー68は、マグネトロン62を空胴共
振器(マイクロ波キャビティ)72のインピーダンスに整
合させ、導波管66に位置する3つの同調スタブの垂直位
置を調整することによって、反射電力を最小の程度とす
る。チューナー68は、マニュアル又は自動で調整可能で
ある。自動チューナーがチューナー68で使用される場合
は、実際の前進電力(forward power)を設定点に連続的
に整合させるために、フィードバック信号をマグネトロ
ン電源装置に提供してもよい。オートチューナーコント
ローラ70は、反射電力を最小にするため、導波管66内の
同調スタブの位置を制御する。また、オートチューナー
コントローラ70は、前進電力及び反射電力の読み出しだ
けではなく、スタブの位置を表示する。
【0018】手動のチューナーを用いる場合、必要に応
じて、スタブの位置をマニュアルでセットすることがで
き、反射電力が最小になる。マニュアルのチューナーコ
ントローラよりコストがかかるが、自動のチューナーコ
ントローラは、クリーニングガス、例えばNF3等でプラ
ズマを発火し維持することができる。クリーニングガス
だけでは、プラズマを発火し維持することは困難である
ため、通常、自動のチューナーコントローラが必要であ
る。マニュアルのチューナーでは、別にプラズマ起動ス
テップが必要であり、この起動のステップでは、希ガス
が遠隔装置の中を流され、プラズマが発火する。一旦希
ガスによりプラズマがアプリケータ内に形成された後、
クリーニングガスが導入される。最後に、クリーニング
ガスの流量が、要望された流動状態まで増加され、他方
で、要望されたガス流れ又は気体組成が得られるまで希
ガス流れが低減される。
【0019】さて、マイクロ波アプリケータキャビティ
72の横断面を示す図2に移れば、ここでは、供給管路78
からのガスがマグネトロン62からのマイクロ波エネルギ
に曝露される。アプリケータ72は、円筒状の形状の別の
クォーツチューブ75内に配置される円筒状の形状のサフ
ァイヤチューブ76を有する。チューブ75及び76への過熱
と損傷を防ぐために、冷却水が、チューブ75と76を切り
離す冷却水路77に提供される。チューナー68を出たマイ
クロ波エネルギは、この出たマイクロ波がチューブ75と
76に向けられるよう、アプリケータ72に結合されたマイ
クロ波チャネル71の中を移動する。活性化容量73は、チ
ャネル71とサファイヤチューブ76の横断面の交差部分に
より形成される。例えば、一具体例においては、活性化
容量73は4.733 x 10-3リットルであり、マイクロ波チャ
ネル71は方形の断面を有し高さ約3.4インチ、幅約1.7イ
ンチであり、他方、チューブ76は1.04のインチの内径を
有している。代表的なマイクロ波電力の設定である1400
〜3200ワットは、活性化容量73内に295,800W/L〜676,10
0W/Lの出力密度を発生させる。この出力密度は計測可能
であり、システムの特定のジオメトリー及び利用される
マイクロ波電力によって変化する。方形かつ円筒状であ
ると説明したが当業者には、チューブ75と76と同様に、
他の形状をマイクロ波チャネル71に使用できることが理
解されよう。チューブ76はサファイヤで、75はとクォー
ツで製造されると説明されているが、これらはマイクロ
波エネルギへの曝露を維持可能な他の適当な材料で作ら
れてもよい。さらに、チューブ76は、供給ライン78から
提供されるガスに不活性でなければならない。
【0020】ガス供給ライン78を介して供給されるガス
またはガス群は、マイクロ波アプリケータ72内の水冷さ
れたサファイヤチューブ76に入る。マイクロ波エネルギ
を受けたガスまたはガス群はイオン化し、これは処理チ
ャンバ10内でその後クリーニング操作と処理操作におい
て用いることができる反応種を生成する。例えば、その
ようなクリーニングガスはNF3であり、これは、基板が
チャンバ10の中に存在しないとき、処理チャンバ内部領
域24と26のクリーニングのために反応性の高い弗素を供
給するために用いることができる。マイクロ波パワーレ
ベルは、生成される反応種の量に対する1つの限界であ
る。例えば、約3500Wのマイクロ波パワーレベルは、約1
700sccmのNF3を完全に解離することが可能である。1700
sccmより大きなガス流動が、不完全なクリーニングガス
解離を生じることもある。光プラズマ感応素子74は、キ
ャビティ72内のプラズマの存在を検出する。マイクロ波
アプリケータキャビティ72内に発生する反応性種は、チ
ャンバ供給ライン88を介してチャンバ10に供給される。
【0021】再び図1を参照して、チャンバ供給ライン8
8内の反応性種は、制御弁90を通過するが、この制御弁9
0は、オンオフバルブ又は分流加減器バルブシステムの
部分であってもよい。バルブ90に分流加減器(ダイバー
タ)を使用することで、遠隔プラズマジェネレータの継
続操作を可能にする一方、その反応種がチャンバ10に与
えられる必要がない。制御弁90を通過した後、遠隔プラ
ズマジェネレータ60からの反応性種は、チャンバ内部領
域24及び26に入る前に、ガス供給ライン52、リッド20、
ガス分配板30、そしてシャワーヘッド32の中を流れる。
【0022】遠隔プラズマジェネレータ60の中で解離さ
れるべきガスは、ガスサプライ86及び84の中に貯蔵され
る。バルブ及び制御メカニズム80はガスサプライ86のた
めの、バルブ及び制御メカニズム82は、ガスサプライ84
のための、それぞれ、電子流量制御ユニットを表す。バ
ルブと流れ制御メカニズム80と82の出力設定点は、ユー
ザーによって決定され、また、生じているガス流動出力
は、サプライ配管78を介してマイクロ波アプリケータキ
ャビティ72に提供される。本発明に従って、ガスサプラ
イ84は、チャンバ10内に形成される堆積物の除去のため
の反応種に解離されるクリーニングガスのソースであっ
てもよい。本発明の具体例がNF3の使用に関して説明さ
れたが、反応性ガス又はクリーニングガスは多種多様な
ハロゲンとハロゲン化合物から選択されてもよい。例え
ば、反応性ガスは、塩素、弗素又はこの化合物(例えば
NF3、CF4、SF6、C2F6、CCl4、C2Cl6)であってもよい。
反応性のガスの選択は、取り除こうとする材料に依存す
る。例えば、本発明の代表的な具体例で述べられるよう
に、反応性の弗素はTa2O5の蓄積物を取り除くか、クリ
ーニングするために用いられてもよい。
【0023】また本発明に従えば、ガスサプライ86は、
2倍の目的を有する希ガスのソースである。マニュアル
のチューナー60を有するこれらマイクロ波ジェネレータ
ー装置60に対して、不活性ガスを用いて、マイクロ波ア
プリケータキャビティ72内にプラズマを点火する。第2
に、以下に記す比に従い、不活性ガスを反応性のガスと
同時に流し、反応種再結合を防止することにより、チャ
ンバ10に達する反応種の数を増加させる。また、不活性
ガスを添加することは、それらの反応種のチャンバ10内
の滞在時間を増加させることになる。不活性ガスとクリ
ーニングまたは反応性のガスの比を、流量について説明
したが、不活性ガスとクリーニングガスの比は、また、
他のあらゆる手段により決定することができ、チャンバ
10に提供される各ガスの相対量を記述することができ
る。
【0024】ガスサプライ78がアプリケータ72に不活性
ガスを流すように、図1、5及び7に例示されるが、これ
に替えて、不活性ガスを供給ライン88で反応種の流れに
与えることができることが理解されよう。アプリケータ
72の下流で反応種の流れに不活性ガスを与えることは、
アプリケータ72内のクリーニングガスの流れに印加され
る電力の量を増加するという更なる利点を有するが、こ
れは、クリーニングガスだけがアプリケータ72の中を流
れるからである。
【0025】図1に例示されるように、遠隔プラズマ生
成ソースと共に動作するように修正された処理チャンバ
で、本発明を実行することが可能である。図3は、本発
明の新しいクリーニングプロセスを示すブロック線図30
0を有する。遠隔プラズマクリーニングプロセスが、図3
のブロック302〜308で示される。例示の目的で、本発明
の方法を、図1の処理システム5と同様の処理システムの
ために実行されるように説明する。従って、以下の記載
では、図1に示される参照番号及び要素が用いられる。
【0026】ブロック301の中に示されるように、本発
明の第1のステップは、処理チャンバ内に堆積物を形成
する処理操作を行うことである。この例示では、説明さ
れる処理操作は、およそ450℃でタンタル前駆体と酸化
剤を混合することにより五酸化タンタルを熱堆積するも
のである。基板は、抵抗加熱基板支持体上に配置され、
ヒータコントローラ44が、約450℃の適切な処理温度に
基板を維持するよう、電力ヒータ29を調整する。たとえ
ば、リフト機構42はチャンバ10内で、シャワーヘッド32
に対して400ミルの間隔で基板支持体28を配置しまたそ
の上にウエハを配置する。図1のガスサプライ46及び48
は、酸素または亜酸化窒素等の酸化ガスとTAT-DMAEまた
はTAETO等のタンタル前駆体を有する。N2といった不活
性ガスを、タンタル前駆体のためのキャリヤガスとして
用いてもよい。バルブ及びコントローラ機構50は、タン
タルガスと酸化ガスの流量を混合し調整して、これをチ
ャンバ10に運ぶ。支持体28上に配置される基板の温度
は、流入するガス流体から五酸化タンタルを形成するに
十分である。
【0027】プロセスによっては、各基板が処理された
後にクリーニングを必要とする。別のプロセスでは、連
続的な一連のプロセスシーケンスの後の、あるいは指定
された膜厚に堆積の後の、定期的なクリーニングでよ
い。定期的なクリーニングの1つの例としては、各基板
が約100オングストローム(A)のTa2O5膜の受け取る場合
に、一連の基板が処理されるTa2O5の堆積である。多数
の基板がこのように処理された後、最後の基板がチャン
バから取り出された後チャンバはクリーニングされる。
Ta2O5のための代表的な処理サイクルは、各100オングス
トローム(A)をウエハ500枚ごとに、あるいは、膜の1ミ
クロン堆積ごとに、一回チャンバをクリーニングするこ
とである。
【0028】チャンバ10等の堆積チャンバは、チャンバ
内に配置される基板の上に優先して膜を堆積させるよう
に設計されている。また、堆積は、プロセスガスに反応
を起こさせるための十分な温度では、内部領域24及び26
内の他の表面にも生じる。活性化のため又は反応の駆動
のために熱エネルギー以外の手段を有しないチャンバ10
等の抵抗加熱堆積チャンバでは、シャワーヘッド32の下
側面、ポンピング板34の上側面及び第1の内部領域24を
囲む壁32等が、抵抗加熱された基板支持体に近接するた
め、堆積は加熱されたこれらの面上に生じることが予期
される。基板支持体34が処理されている基板より大きい
ので、堆積はまた、処理されているウエハによって覆わ
れない基板支持体34の上側表面の外周に生じる。定期的
なクリーニングプロセスサイクルでは、蓄積物は、ウエ
ハの枚数、プロセス及び各ウエハ上に堆積する膜厚によ
って変化する。
【0029】図4は、ポンピング板34のエッジと、上述
の堆積プロセスを実施後スペーシング38により隔てられ
る基板支持体28の拡大図である図1の図Aを例示する。通
常、図4は、生じうるチャンバに特定の蓄積を代表す
る。代表的な定期的なクリーニングプロセス(例えばTa
2O5の堆積)では、ウエハが連続して100枚処理され各ウ
エハが100オングストロームのTa2O5層を受ける。この処
理サイクルは、基板支持体28に最も近いポンピング板34
のエッジの露出領域に、最も大きな蓄積、約200オング
ストロームを形成する。他のチャンバ部品の蓄積の厚さ
は、構成要素の温度と処理ガスへの曝露の度合いによっ
て変化する。
【0030】ポンピング板34と基板支持体28によりチャ
ンバ10が内部領域24と26に見かけ上分割されることは、
内部のチャンバ構成要素の相互関係により特定のチャン
バ内部領域が妨害されることを例示する。このように、
ポンピング板34と基板支持体28の間のスペーシング38が
狭いため、内部領域24及び26が生じる。この狭いスペー
シング38は、内部領域24及び26の間の流体連絡を低下さ
せる領域である。狭いスペーシング38は、処理チャンバ
10内に起こる妨害の代表であり、チャンバ内部の好まし
い堆積領域24を越えたところの反応条件は同様ではな
い。スペーシング38のような妨害及び制限は、チャンバ
10等の抵抗加熱チャンバに限定されず、他の処理チャン
バにも見出される。阻害が、処理領域内に異なる領域を
形成する他の処理装置からの例は、以下を含む:リアク
タ内に用いられる石英ボートによって、水平なフィード
チューブリアクタの水平姿勢機構の部分が、チューブリ
アクタの中に利用されるクォーツウエハボートが、ボー
トを動かす装置によってブロックされる管のそれらの部
分を妨げるような方法で妨げられ;エッチングプロセス
に用いられるシャドウリングは、反応する物質ガスに露
出されない面と逆にそれにさらされる面を有し、そし
て、エッチングされた材料を蓄積する場合があり;そし
て基板ハンドリングシステムは、処理チャンバの一部を
妨害又は制限することができるリフト機構になってもよ
い。
【0031】このように、図1の特定の具体例の中で
は、チャンバ10は、第1の内部領域24と第2の内部領域
26に分割される。ポンピング板34とほぼ同じ面にある支
持体28を有するスペーシング38の結果、第1の内部領域
24の有効チャンバ容量は、およそ0.6402Lである。
【0032】図3のフローチャート300及びブロック302
に示されるように、基板がチャンバ10内にない間に、処
理チャンバの外に位置する遠隔プラズマジェネレータ内
にプラズマを点火する。先に述べたように、プラズマ開
始ガスが、マニュアルのチューナーコントローラを有す
る遠隔プラズマシステム60に利用されるだろう。自動の
チューナーコントローラを有する遠隔プラズマシステム
60の場合、持続可能なプラズマを、選ばれたクリーニン
グガスから直接に点火することができるので、ステップ
302は必要でない。プラズマ開始ガスは、VIII群のガ
ス、例えばHe、Ne、XeやAr、あるいは不活性ガス、例え
ばN2や、プラズマ起動のために適する他のガスであって
もよい。ここで、不活性ガスまたはプラズマ開始ガス
は、ここで説明した出力レベルでマイクロ波エネルギに
曝露されたときに解離するガスのことである。特定の具
体例では、ガスサプライ86はArを有し、そして、バルブ
及び制御メカニズム80が、Arの流れを、毎分約600標準
立方センチメートル(sccm)で供給ライン76を通してマ
イクロ波アプリケータキャビティ72へ流れるように調整
する。
【0033】アプリケータキャビティ72の内部では、ガ
ス流体は、水冷式のアプリケータ管を通り抜け、これは
少なくとも100W、好ましくは約1400ワット〜3200ワット
の間でマグネトロン組立体62によって発生するマイクロ
波エネルギ準位に曝露される。図1のチャンバ10及び上
記のガス流動のために適した代表的なマイクロ波エネル
ギーレベルは、約1400ワットである。プラズマを点火す
るためにArを用いる具体例では、マイクロ波エネルギは
サファイヤ管を通り、Arプラズマがマイクロ波アプリケ
ータキャビティ72内に生成する。プラズマは、高エネル
ギまたは内部で高温のArを生成し、これが、遠隔プラズ
マ供給ライン88、制御弁90、そしてガス供給ライン52内
を流れて、チャンバ10に進入する。プラズマがマイクロ
波アプリケータキャビティ72の中に確立される間、チャ
ンバ10は約2.0のトールに維持される。マニュアルのチ
ューナーによるそれらのシステムでは、Ar等の不活性ガ
スを用いて遠隔プラズマを点火し、チャンバ10に安定な
高エネルギArフローを得るための代表的な時間は、約10
秒以下である。
【0034】次に、ブロック303に示されるように、反
応種を形成するために、遠隔チャンバ内でガスを励起す
る。ガスサプライ84は、Cl2、HCl、ClF3、NF3、SF6、F2
やHF等のハロゲン支持ガスを有していてもよい。自動の
チューナーを有する遠隔装置60の場合、励起されたガス
をプラズマ点火のためにも用いることができるため、ス
テップ302と303を一緒に実行してもよい。アプリケータ
72内にプラズマを維持しつつ、ガスが供給ライン78の中
を通ってマイクロ波アプリケータキャビティ72に流入す
ることができるよう、バルブとコントローラ機構82によ
って、励起ガスの流量が与えられる。アプリケータキャ
ビティ72の内側では、メガトロン組立体62によって発生
させられるマイクロ波エネルギ及び点火されたプラズマ
にクリーニングガス流体が露出される、水冷式のサファ
イヤアプリケータ管を、ガス流体は、通り抜ける。代表
的なマイクロ波エネルギーレベルは、約1400ワットであ
る。NF3がクリーニングガスとして用いられる具体例で
は、アプリケータキャビティ72内で解離することによ
り、反応性のF、若干のN2、NFとF2の痕跡量を生成す
る。NF3を用いることは、解離エネルギが低いことや、
各個々のNF3分子からの多数の反応性の弗素化学種が生
成すること等、特定の利点を有する。
【0035】次に、ブロック304に示されるように、処
理チャンバの内部の領域に、不活性ガスと反応種の混合
物が提供される。マイクロ波印可キャビティ72がチャン
バ10に対して遠隔に位置するため、遠隔プラズマシステ
ム60によって発生する反応性の種は、チャンバ供給ライ
ン88に沿ってある距離を流れてチャンバ10に達する。そ
の結果、チャンバ10へ流れる間、アプリケータキャビテ
ィ72で解離によって生成される反応種は、衝突して再結
合する場合がある。チャンバ10内に形成される堆積物を
取り除くために反応種を提供する代わりに、再結合され
た反応性の低いガスをチャンバ10に提供する。NF3がア
プリケータ72内で励起される具体例では、反応性のFが
再結合することがあり、あるいはそれに替えてチャンバ
10にF2とNF2を提供してもよい。このように、チャンバ1
0の前で反応種が再結合することにより、チャンバ内部
領域24及び26に反応種を提供するための遠隔プラズマジ
ェネレーションシステム60の効率を低下させる。反応種
で不活性ガスを提供することは、チャンバ10へ向かう分
子の衝突が反応種と不活性ガスの間で生じる確率を増加
し、そのために反応種再結合の確率が低減する。
【0036】不活性ガスにAr、クリーニングガスにNF3
である代表的な具体例では、それぞれの流量は、NF3が
約200sccm、Arが約400sccm Arに調節される。不活性ガ
スと反応性のガスの間の比を約2:1に維持することによ
り、反応性ガスの解離により生成する反応種が再結合す
る確率を減らす。また、反応性ガスと不活性ガスの最適
な比は、利用される特定の遠隔プラズマジェネレータの
特性と用いる反応性ガスのタイプに応じて変えてもよ
い。反応性のガスと不活性ガスの比が上記の比2:1の約2
5%内に維持されたときに、有利な結果が成し遂げられ
た。
【0037】次に、ブロック305に示されるように、処
理チャンバ内の圧力を調整する。一般に、チャンバ圧力
の特徴としては、圧力が高ければ、チャンバ内の反応種
の滞在時間が低減される傾向があるということである。
しかし、クリーニングが領域24内で最初に開始する場
合、堆積物の量が最も多くなる。たとえ励起化学種の滞
在時間と平均自由行程が小さい場合でも、励起化学種が
堆積物と化学反応しこれを取り除く確率は高い。他方、
圧力が低ければ、平均自由行程と滞在時間が大きくなる
傾向があり、そのためチャンバの内部の領域を全体に反
応種を分散することができると考えられる。本発明の特
定の具体例では、チャンバ圧力を約2.0トールとした場
合、基板支持体28上又はチャンバの中心部では、チャン
バの壁22又はチャンバの外の部分よりも高いクリーニン
グ速度となる。
【0038】次に、ブロック306の中に示されるよう
に、処理チャンバの内部領域で形成される堆積物を取り
除く。チャンバ内面上に形成される堆積物を取り除くこ
と、ないしチャンバをクリーニングすることは、チャン
バ面の堆積膜を反応種と反応させて揮発性の組成物を形
成することにより成し遂げられる。例えば、図1のチャ
ンバ10等、五酸化タンタル堆積に用いられるチャンバで
は、反応性の弗素を形成するために先に述べたようにNF
3を遠隔で解離することができる。反応性の弗素は、次
いでチャンバ10の内部内に形成された五酸化タンタルと
化学反応し、これを取り除く。図1の中に例示されたチ
ャンバ10を参照すれば、チャンバ10に提供される大部分
の反応種は、内部のチャンバ領域24内に形成される堆積
物と化学反応する。基板支持体28とポンピング板34の間
のスペーシング38が狭いため、反応性種の大部分は、内
部領域24の有効容積の中に残留する。反応種の中には、
基板支持体28とポンピング板34の向き合うエッジ及び下
面に形成された五酸化タンタル堆積物と相互に作用する
ものもある。一般に、取り除かれる堆積物の大部分は、
内部領域24内の面上に形成されたものである。例えば図
1のチャンバ10では、シャワーヘッド32の下面とポンピ
ング板34と基板支持体28の上面の上に形成された堆積物
は、反応種と化学反応し、揮発性の組成物を形成するだ
ろう。
【0039】取り除かれるだろう堆積物は、図1の拡大
図Aを例示する図4を参照することにより、よく理解する
ことができる。図4には、ポンピング板34と基板支持体2
8がスペーシング38によって隔てられるチャンバの一部
の様子が示される。それらの相対位置とチャンバ設計の
理由で、ポンピング板34と基板支持体28が、直接にお互
いに隣接したとき、あるいは図1及び4に示す堆積位置の
中にあるとき、スペーシング38が最小になる。直接にポ
ンピング板34に隣接する位置にある基板支持体28に対し
ては、図1及び図4に示すように、スペーシング38は、0.
088〜0.112インチのオーダーにある。チャンバ領域24に
導入される反応種は、ポンピング板34と基板支持体28の
上面上に形成された堆積物25と容易に接触して反応する
だろう。スペーシング38のため、領域24内に導入した反
応性種が領域26に進入することが、ある程度妨げられ
る。その結果、取り除かれる大多数の堆積物を有するチ
ャンバ内部領域24は、クリーニングされる第1の領域と
なる。第1のクリーニングサイクルの間、大部分のクリ
ーニングは、第1の領域24内で行われる。
【0040】図3のフローチャート300に戻れば、ブロッ
ク307に示されるように、揮発性の化合物が処理チャン
バから取り除かれる。反応性の弗素種が五酸化タンタル
堆積物と反応して揮発性の化合物を形成するため、これ
ら化合物はポンプ15を介してチャンバ10の内部領域から
排気される。このプロセスは、処理領域24内に形成され
た堆積物が取り除かれるまで続く。上述の2:1の不活性
ガス/反応性ガスの比でNF3から生成した反応種について
の代表的な除去速度は、五酸化タンタルでは毎分約1ミ
クロンである。
【0041】本発明の特定の具体例では、最初の一連の
ステップ304〜307は、小容積の処理領域と高圧に対し
て、有利な不活性ガス−クリーニングガス混合物を提供
したことを示す。例えば、この小容積の処理領域とは領
域24の容量であってもよく、この高圧とは約2トールで
あってもよい。当業者には、ブロック304、305、306及
び307を続けて図示し説明したのは、本発明の方法を説
明する際の明瞭性のためだけであることが理解されよ
う。本発明を行う場合、当業者は、ブロック304、305、
306及び307で説明される各ステップを、これとは異なる
順序又はほぼ同時に実行することができるだろう。
【0042】次のステップは、ブロック308に示される
ように、全ての内部チャンバ領域で形成された堆積物が
取り除かれるまで、ステップ304、305、306及び307を繰
り返すことである。このステップは、内部チャンバ構成
要素の相対位置と、チャンバ圧力と反応種との間の関係
に起因する制限を考慮する。第1の一連のステップ30
4、305、306及び307では、堆積物は主に内部チャンバ領
域24から取り除かれた。第2の一連のステップ304、30
5、306と307では、反応種が提供され堆積物が別の処理
領域より、あるいは一連の処理領域より取り除かれる。
【0043】図5に例示されるチャンバ10の代表的な具
体例では、別の内部領域内での遠隔励起化学種を容易に
するため、基板支持体28が別の場所に移された。換言す
れば、処理領域24及び26の間での流体連絡を増大するた
め、基板支持体28が別の場所に移される。このように、
領域24に進入する反応種は、より容易に領域26にアクセ
スしそこに形成される堆積物と反応することが可能であ
る。この代表的な具体例では、内部の領域とは、内部領
域24と26のことを指す。支持体28の運動について例示し
ているが、別のチャンバでは、第1の処理領域と第2の
処理領域の間、あるいは第2の処理領域と次の処理領域
の間の流体連絡を増加させるため、別の構成要素の互い
に相対的な位置を変更する。
【0044】図5に戻れば、この図5は、図1の処理シス
テム5を示し、ここでは、上記のステップ301〜307が行
われる。また、図5のチャンバ10も、追加の処理領域へ
の流体連絡を増加させるための内部構成要素の配置を例
示する。図5では、ポンピング板34の下の位置にある抵
抗加熱基板支持体28が、効果的にスペーシング38を増加
させ、そして領域24と領域26の間の流体連絡を増加させ
る。その結果、領域24に進入した反応性種は、容易に領
域26内へと流れる。例えば、図1で基板支持体28のスペ
ーシングが約400ミルであるならば、図5ではスペーシン
グは約550ミルである。図5の処理システム5は、その他
の点では図1の処理システム5と同様に構成される。この
ように、同様の構成要素は同じ照合番号によって参照さ
れる。
【0045】さて図6を参照し、これは図5の拡大図Aで
あるが、拡大されたスペーシング38と同様に、処理ステ
ップ301〜307の影響をよく理解することができる。図5
及び6は、ポンピング板34の平面の下の下降位置にある
基板支持体28を例示する。スペーシング38は、図1及び4
の場合のように、基板支持体28とポンピング板34がほぼ
同じ水平面上にある場合を表すスペーシング38と比較し
て、かなりより大きい図5及び6の大きくなったスペーシ
ング38により、遠隔で生成した反応種が下側チャンバ内
部領域26に輸送されるようになり、そこに形成された堆
積物が除去できるようになる。このように、基板支持体
28が下降位置にあれば、チャンバ10の有効容積は、内部
チャンバ領域24及び26の両方のフルボリュームであり、
何故なら、チャンバの各内部領域からチャンバに導入さ
れる反応種を制限しないようスペーシング38が十分に大
きいからである。また、プロセスの第1の一連のプロセ
スステップ301〜307の結果として、基板支持体28とポン
ピング板34の両方の上面からの堆積物25の除去が、図6
に示される。更に、図6が例示するように、基板支持体2
8とポンピング板34のエッジ及び底面上の堆積物25は、
これらの領域が反応種により容易に接近できるため、取
り除くことができる。ステップ308は、第1の一連のス
テップ304〜307によるクリーニングが不十分であった追
加処理領域から、追加の堆積物を取り除くためにステッ
プ304〜307を繰り返すことが望まれることを示してい
る。
【0046】図3のフローチャート300に戻り、そしてブ
ロック308に従えば、次のステップは、全ての堆積物が
取り除かれるか全ての内部の領域が清浄になるまで、他
の内部の領域に対してブロック304、305、306及び307を
繰り返すことである。処理領域に提供されるガス混合物
における不活性ガスと反応種の比を、ステップ304〜307
の繰り返しの各々の間に変えてもよく、あるいは、ある
要望された比に維持してもよいことが、理解されよう。
例えば、先に述べたように、不活性ガスとクリーニング
ガスは、有利な2:1の比を維持してもよい。図5及び6に
示すように、処理チャンバの内部の領域は、ステップ30
4で参照されるように、内部領域24及び26を有する、よ
り大きい容量を有している。
【0047】次に、ブロック305によれば、チャンバ10
内の圧力を調整する。スペーシング38を大きくする他
に、圧力の低減と、生じる滞在時間の増加により、反応
種が内部領域26から堆積物を取り除くのを助けると考え
られる。従って、チャンバ10内の圧力は低減され、これ
により、反応種を内部領域34及び26内に広げる。代表的
な圧力は、約900mT、又は、第1のステップ305で用いら
れる圧力のおよそ半分の圧力である。
【0048】次は、ブロック306に従って、堆積物を反
応種と反応させて揮発性の化合物を形成することにより
堆積物を取り除く。ここで、図6の中に示されるよう
に、反応を起こす堆積物の大部分は、下側の堆積領域26
内で生じうる他の蓄積物だけではなく、基板支持体28と
ポンピング板34の上に残っていたものである。反応種が
その領域の中に残っている堆積物と反応する間、クリー
ニングは領域24内で継続される。前述の通り、反応種
は、処理領域内で堆積物と反応して、揮発性の化合物を
生成する。
【0049】本発明の特定の具体例では、第2の一連の
ステップ304〜307は、低い圧力で大きな容量の処理領域
に有利な不活性ガス−クリーニングガス混合物を提供す
ることを表す。たとえば、不活性ガス−クリーニングガ
ス混合物が、約900mTの圧力で、領域24及び26の容量の
和に提供される。あるいは、第2の一連のステップが、
第1の一連のステップでクリーニングされたチャンバ容
量より大きい容量を有するチャンバ内部領域で第1の一
連のステップ304〜307で用いられる圧力の半分の圧力で
行われる。
【0050】次は、ブロック307に従い、揮発分がチャ
ンバから取り除かれる。例えば、チャンバ10で、揮発性
の化合物は、ポンプ15を介して内部領域24及び26から排
気されるだろう。所望の場合、制御弁90を配置して開け
閉めすることにより、反応種と不活性ガスがチャンバ10
に到達することを防止する。反応種と不活性ガスがチャ
ンバ10に進入することをそらすかあるいは妨げるかによ
り、前のクリーニング操作のあらゆる残留ガスを排気で
きるようにする。次は、ブロック308に従い、ステップ3
04、305、306及び307を繰り返し行い、他の内部領域の
中に形成された堆積物を取り除く。具体的に考慮される
べき事項は、ステップ304〜307を繰り返し行い、本発明
の方法によりクリーニングされるチャンバの特定の構成
に従い各内部のチャンバ領域に反応種を提供する。基板
支持体28とポンピング板34が上側及び下側の内部領域24
及び26をつくるように、他の処理チャンバは、そのチャ
ンバの特定の内部構成要素によってつくられた領域又は
部分を有するだろう。チャンバ領域24と26が基板支持体
28とポンピング板34の間で狭くなることについて説明さ
れるが、これらの制限または阻害は、処理装置の実際上
のタイプごとに生じる同様の問題を単に例示するだけの
ものである。チャンバ10の場合のようにポンピング板を
使用するリアクタもあるが、他のリアクタは、分割し、
ガス流動を制限し、あるいはチャンバ内部の内の処理領
域の間の流体連絡を低減するプロセス特定の構成要素を
有する。例は、相対位置によって、堆積ガスとクリーニ
ングガスから互いを妨げるウエハハンドリング及びサセ
プタリフト機構を有するタイプ、のRF励起プラズマ堆積
チャンバを有する。このタイプのチャンバでは、チャン
バ内部を効果的にクリーニングするためにハンドラーと
リフト機構を交互に置くことによって処理領域をつくっ
てもよい。別の例は、処理の間のウエハ運動を排除する
ためにシャドウリングを使用するエッチングチャンバで
ある。このチャンバでは、処理領域は、チャンバ内のシ
ャドウリングの相対的な配置によって決定することがで
きる。他の例では、処理領域に基板を動かすために軌道
又はコンベヤシステムを利用するチューブリアクタやそ
の他の処理システムを有する。トラックシステムまたは
コンベヤシステムによって妨げられる領域により、この
タイプのシステムの処理領域を形成することができる。
チャンバタイプ、プロセスタイプチャンバ領域の他の例
や、与えられたチャンバ内の内部構成要素の阻害要因
は、当業者に理解され、またこれらは本発明の範囲内に
ある。
【0051】次は、ブロック309に従い、処理操作を再
開する前に処理チャンバの内側に材料の層を堆積する。
このステップの1つの目的は、残留クリーニングガス、
反応物及び揮発性の化合物を取り除くことであり、これ
は、処理チャンバ内に残しておいた場合に、その後堆積
する膜を阻害する。状況により、不活性ガスでチャンバ
をパージすることで十分であるが、プロセスによって
は、チャンバ内部面上に膜の層を故意に堆積しておくこ
とにより、改良された結果をなしとげる。シーズニング
を行うステップ、ないしはプロセスチャンバ内に故意に
膜の層を堆積させるステップは、弗素をクリーニング反
応物として用いた場合に特に重要である。残留弗素は、
その後処理された基板上に堆積する膜の品質に対して有
害な影響を有することがある。基板の存在なしにチャン
バ内で膜の層を形成することにより、残留ガスが反応し
て揮発性の化合物を生成し、チャンバから排気される。
さらに、チャンバ内に残っている粒子は、堆積したシー
ズニング層の中に捉えられる。いずれの場合も、シーズ
ニングのステップは、未反応ないし残留のクリーニング
ガスと副生成物を、処理操作の再開の前にチャンバから
確実に取り除けるようにする。タンタル堆積チャンバ等
の代表的な具体例では、約475℃の温度でチャンバへ気
化TAT-DMAE及び酸化ガスを流入することによって五酸化
タンタルを基板支持体28の上やチャンバ10の他の内面上
に堆積してもよい。Ta2O5のための代表的なシーズニン
グ層は、約2500オングストロームである。シーズニング
層の特定の厚さと組成は、堆積する膜とチャンバとタイ
プによって変える。
【0052】本発明の最終のステップ、すなわちブロッ
ク310は、チャンバ10内での処理操作の再開である。こ
の処理操作は、約450℃でタンタル前駆体と酸化剤を混
合させることによる五酸化タンタルの熱堆積である。し
たがって、基板は抵抗加熱基板支持体28上に配置され
る。ヒータコントローラ44は、約450℃の妥当な処理温
度に基板を維持するため、電力提供ヒータ29を調整す
る。例えば、リフト機構42は、シャワーヘッド32に対し
て、例えば400ミルのスペーシングで、チャンバ10内に
基板支持体28及びその上のウエハを配置する。図1のガ
スサプライ46及び48は、酸素や亜酸化窒素等の酸化ガス
と、TAT-DMAEやTAETO等のタンタル前駆体を有する。バ
ルブ及びコントローラ機構50は、タンタルと酸化してい
るガスの流量を混合し調整して、これらをチャンバ10に
届ける。支持体28上に配置される基板の温度は、入って
来るガス流体から五酸化タンタルを形成するのに十分で
ある。
【0053】本発明の代替法は、また、多数の処理領域
(例えばチャンバ10の第1の処理領域24及び第2の処理
領域26)を有するチャンバの1つの処理領域内で行われ
てもよく、あるいは、図7のチャンバ100のように1つの
処理領域だけを有しているチャンバ内で行われてもよ
い。この代替法は、クリーニングガス/不活性ガス比と
チャンバ圧力を調整することによって行われる。図7に
戻れば、単一の処理領域を有するチャンバの具体例を、
よりよく理解することができる。
【0054】図7は、単一の処理領域を有する代表的な
チャンバ100を例示する。チャンバ100は、アルティマ(U
ltima)高密度プラズマ(HDP)化学気相堆積(CVD)チャン
バ、または、アルティマ HDP CVD チャンバ(米国カリ
フォルニア州サンタクララのアプライドマテリアルズ社
により製造)であってもよい。チャンバ100は、プラズ
マ生成装置60とガスサプライ46及び48に結合される。チ
ャンバ100はチャンバ本体105及びリッド110を有し、こ
れらが一緒に、圧力と温度が制御された処理領域107を
形成する。基板支持体125は、支持上面126を有し、処理
領域107内に配置される。支持体アーム130がチャンバ本
体105に結合し、基板支持体125を支持する。処理領域10
7内の圧力は、ターボポンプ140と粗引きポンプ150によ
って提供される。スロットルバルブ及びゲートバルブ組
立体135は、処理領域107をターボポンプ140と粗引きポ
ンプ150から分離して、処理領域107内の圧力を制御す
る。プラズマ装置60と、図7に示された同様の符号の要
素は、図1と5に関して先に述べたように、同じものであ
る。図7の遠隔プラズマ装置60はマニュアルのチューナ
ーコントローラ68であるが、自動のチューナーコントロ
ーラを用いてクリーニングガスを解離してもよい。
【0055】ガスサプライ48及び46は、商業品質の半導
体膜を堆積するために用いられるあらゆる様々な処理ガ
スであってもよい。二酸化ケイ素、窒化ケイ素、弗素ド
ープのケイ酸塩ガラス(FSG)その他の低誘電率膜(リ
ンドープのケイ酸塩ガラス(PSG)またはその他のプリ
メタルの誘電体膜)を堆積させるため、ガスサプライ4
6、48、そして、バルブ及びコントローラ50は、処理領
域107に前駆体材料を提供するための構成を有していて
もよい。ガスサプライ48及び46からのガスは、ガスサプ
ライ入口52、次いでチャンバガス入口120の中に流入す
る。誘導コイル112は、チャンバ100内に行われる堆積プ
ロセスのために、基板支持体上面126の上方の領域107部
分にRFエネルギーを提供する。コイル112によって提
供されるRFエネルギーは、堆積プロセスだけのために
用いられ、本発明の遠隔クリーニングプロセスの間は用
いられない。図7のチャンバ100等の代表的なプラズマ励
起堆積リアクタでは、堆積物は、コイル112によって輪
郭を描かれる領域の中に主に形成される傾向があるだろ
う。処理領域107内での堆積に対するコイル112の影響
は、基板支持体上面126に生じる堆積物に最も高く集中
し、そして、基板支持体125と支持体アーム130の側に沿
って生じる。程度は非常に小さいが、チャンバリッド11
0及びチャンバ本体105の内面上にも堆積物が形成され
る。
【0056】本発明の代替法は、チャンバの単一の処理
領域の中で行うことができることが、図8を参照するこ
とにより、よく理解されるだろう。図8は、本発明の単
一処理領域の方法のフローチャートである。
【0057】先ず、図8のフローチャート800のブロック
801に示されるように、処理チャンバ内に堆積物を形成
し、チャンバから処理された基板を取り除いた後に、遠
隔プラズマ装置の中にプラズマを点火する。マニュアル
のチューナーコントローラを用いるため、プラズマはプ
ラズマ開始ガスの使用を通じて、より容易に点火され
る。プラズマの点火は、約1000sccmの流量でアプリケー
タ72内を流れるAr等の不活性ガスを流すことにより実行
される。チャンバ100内の圧力が600mTを超えるまで上昇
した後、マグネトロン62がアプリケータ72内のArガス流
れにマイクロ波エネルギを向ける。いったんプラズマが
点火され、そして、クリーニングガスの流量を増加させ
つつ、プラズマ開始ガスの流量を減らすことにより、ク
リーニングガスが導入される。ArとNF3を用いる代表的
な具体例では、Arを約1000sccmで流し、約3200Wでマイ
クロ波エネルギを生成するマグネトロン62によりプラズ
マを点火する。次いで、NF3を約100sccmでアプリケータ
72に導入する。少しの後、不活性ガスの流量を低減しつ
つ、クリーニングガスの流量を増加させる。その結果、
アプリケータ内を流れプラズマに解離しているクリーニ
ングガスで、アプリケータ72内に支持されたプラズマを
提供するクリーニングガスのみ、あるいはNF3のみが要
望される特定の例では、安定なプラズマがNF3の流れで
形成された後、Ar流量を止めてもよい。マニュアルで調
整されたシステムでは典型的には、Arはプラズマの点火
のために約1000sccmで導入される。NF3が導入され、次
いで、Ar流れが、要望されたAr/NF3比によって調整され
る。ここにプラズマが点火され、そして、アプリケータ
72の中に導入され解離されるクリーニングガスのみで支
持され。上記のプロセスが、マニュアルチューン遠隔プ
ラズマシステムでプラズマを点火することを例示してい
ると理解されよう。また、自動で調整する遠隔プラズマ
システムを用いて、アプリケータ72内にプラズマを点火
するために不活性ガス又は他のプラズマ開始ガスを最初
に用いずに、直接クリーニングガスを励起ないし解離す
ることができる。
【0058】次は、ブロック802に示されるように、ク
リーニングガスを希釈すべきかどうか決定することであ
る。ここでクリーニングガスの希釈とは、クリーニング
ガス又は反応種生成ガスの流れに不活性ガスを加えるこ
とをいう。ステップ802で指示するように、クリーニン
グガスは、不活性ガスと協働で又は単独で提供されるこ
とができる。クリーニングガスの希釈が要望される場
合、次のステップはブロック811に示される。クリーニ
ングガス希釈が要望されない場合は、次のステップはブ
ロック803に示される。
【0059】クリーニングガスの希釈が要望されない場
合、クリーニングガス流量を調整するブロック803まで
進む。このステップでは、プラズマ点火の間、クリーニ
ングガス流量を、利用される流量から要望されたクリー
ニングステップの流量へと調節する。クリーニングガス
流量は、例えば、消費されるクリーニングガスの費用、
マグネトロン62の電力容量やチャンバからクリーニング
される膜堆積物のタイプによって、変えることができ
る。マグネトロン62のパワー出力により、クリーニング
ガス流量を、完全又はほぼ完全に解離できるように制限
できることを覚えているべきである。例えば、遠隔プラ
ズマ装置60の定格3500Wのマグネトロン62では、1700scc
mの NF3ガス流れの約99%を解離できると考えられる。マ
グネトロン電力を3500W一定とする場合、ガス流れが170
0sccmを超えれば、解離のパーセンテージが低減するこ
とになる。所与のクリーニングガス流量に対し、マグネ
トロン電力出力レベルを低くすれば、解離のパーセンテ
ージが低くなる。例えば、300sccmのNF3流量と500Wのマ
グネトロン電力では、解離は約95%しかできないが、150
0sccmのNF3流量と3200Wのマグネトロン電力では、99%以
上の高い解離を生成する。クリーニングガス流量を要望
された速度に調整した後に、ブロック804まで進む。
【0060】クリーニングガスを希釈することが望まし
い場合には、クリーニングガスと不活性ガスの混合物が
遠隔プラズマアプリケータに提供される。アプリケータ
72の中にクリーニングガスだけを流し、不活性ガスをア
プリケータ72の下流に導入することにより、クリーニン
グガス希釈を行うことができる。この場合、クリーニン
グガス流量に調整を提供するブロック811に示されるよ
うに進む。ブロック803に関して述べたように、クリー
ニングガスを要望された速度に調整することができる。
クリーニングガス流量を調整した後、クリーニングガス
希釈の次のステップは、要望された速度に不活性ガス流
量を調整することである。ブロック811及び812でのクリ
ーニングガスと不活性ガスの調整は、図7のバルブ及び
コントローラ80と82によって行われる。当業者には、不
活性ガスの流れがクリーニングガスの流れの前に変更さ
れるように、あるいは、これらガス流れをほとんど同時
に調整できるよう、この調整のシーケンスを逆にするこ
とができることが理解される。マグネトロン62が3200W
のマイクロ波エネルギをアプリケータ72に届ける本発明
の例示的な具体例では、クリーニングガスは、NF3であ
りそして、不活性ガスはArであり、本発明に従うクリー
ニングガス希釈のための代表的な流量は、NF3/Ar流量比
が1:1又は好ましくは2:1である。本発明の特定の具体例
では、Arガス流量は750sccm、NF3流量は1500sccmであ
り、アプリケータ72に向けられるマイクロ波エネルギは
4500Wである。
【0061】ブロック803に従ってクリーニングガス流
量を調整した後、またはブロック811及び812に従ってク
リーニングガスと不活性ガスの流れを調整した後、ブロ
ック804に示されるように、次のステップは、処理チャ
ンバに反応種を提供することである。クリーニングガス
希釈を用いるかどうかに関係なく、クリーニングガスは
アプリケータ72の中を通過しマグネトロン62により発生
したマイクロ波エネルギに曝露されれば、クリーニング
ガスは解離する。十分なマイクロ波エネルギが提供され
る限り、アプリケータ72に提供されるクリーニングガス
は解離され反応種が形成される。例えば、NF3がクリー
ニングガスに用いられる場合は、アプリケータ72内で起
こる解離は、反応性のF、若干のN2と、NF及びF2の痕跡
量を生成する。図7のチャンバ100の例示的な具体例を再
び参照すれば、アプリケータ72を出た反応種は、供給ラ
イン88の中を通り、分流器90を通過し、そして、チャン
バ供給ライン52の中に入る。反応種は、チャンバ供給ラ
イン52から、チャンバガス入口120を介して処理領域107
に提供される。
【0062】図8に再び戻り、次のステップは、ブロッ
ク805に示されるように、チャンバ圧力を調整すること
である。処理領域107内の圧力をモニターする1つの方法
は、キャパシタンスマノメータを用いることである。処
理領域107内に要望された圧力は、スロットルバルブ/ゲ
ートバルブ組立体135の位置を調整することによって得
られ、処理領域107とポンプ140及び150の間のコンダク
タンスを増加又は低減する。1T〜4Tの範囲の圧力、好ま
しくは約1.8T〜3Tの範囲の圧力で、有利な結果が得られ
る。
【0063】次は、ブロック806に示されるように、反
応種をチャンバ堆積物と反応させこれを取り除くことで
ある。処理領域107に進入する反応種は、処理領域107の
中に形成される堆積物と結合して、揮発性の化合物を形
成し、これがチャンバから排気される。図7のチャンバ1
00等の代表的なプラズマ励起堆積リアクタでは、コイル
112によって輪郭を描かれる領域の中に、主に堆積物が
形成される傾向があるだろう。処理領域107内に行われ
る堆積プロセスのコイル112の影響の結果、堆積物基板
支持体上面126上に最も集中し、基板支持体125及び支持
アーム130の側に沿って生じると考えられる。また、チ
ャンバリッド110とチャンバ本体105の内面の上に、堆積
物が形成される。処理領域107に進入する反応種は、堆
積物と反応して、揮発性の化合物を形成し、処理領域10
7から排気される。
【0064】次は、ブロック807に示されるように、ガ
ス流動を修正するかどうかの決定である。
【0065】別の不活性ガス又はクリーニングガスの流
れが要望される場合には、ブロック802に戻る。ブロッ
ク802では、希釈を用いるケースの場合のように、クリ
ーニングガス希釈を続けるかどうか決定し、または、希
釈されないクリーニングガスを用いたケースの場合のよ
うに実行するだろう。クリーニングガス希釈以外の場合
にブロック803に従ってなされる調整に基づき、又は、
クリーニングガス希釈の場合にブロック811及び812に従
ってなされる調整に基づき、この調整された流量で処理
チャンバ(ブロック804)に、反応種を提供する。修正
されたガス流動は前述の通り使用され、また、チャンバ
圧力は、ブロック805で調整することができる。次に、
ブロック806に従って反応種が堆積物と反応しこれを取
り除くことができる。もう一度、そして、必要な回数だ
け、ブロック807の決定を行って、ブロック802に戻り、
そして、異なるガス流動とチャンバ圧力に対して上記の
ステップを繰り返す。
【0066】ブロック802〜ブロック807の繰返しの数が
完了した後、あるいはガス流量調整が要望されない場
合、ブロック808である次のブロックまで進む。
【0067】次のステップは、ブロック808に示される
ように、チャンバ圧力が修正されるかどうかについて決
定することである。このステップは、チャンバ100内に
異なる圧力を与えつつ、同じガス流動がチャンバに提供
されるの可能にする。例えば、ブロック807でガス流動
を修正しないと決定し、続いて、ブロック808でチャン
バ圧力を修正すると決定することにより、以前に決定し
た反応種の組成となり、あるいは、異なる圧力状態の下
で処理領域107に与えられる反応種/不活性ガス混合物と
なる。例えば、様々な異なるガス流動組合わせを提供し
つつ、チャンバを定圧で維持できる(例えば、ブロック
805で圧力調整がなされないが、他方でブロック807では
ガス流動を調整することを選択する場合)。あるいは、
ブロック807でガス流動修正を選択しないがブロック808
でチャンバ圧力修正を選択したケースのように、一定の
ガス流量を維持しつつ、様々な圧力をチャンバ100に用
いることができる。また、多数の圧力と多数のガス流動
組合わせを用いるクリーニング方法が予想される。上述
の通り、圧力が高ければ一般に、ガスの平均自由行程が
短くなり、圧力が低ければ一般に、ガスの平均自由行程
が長くなる。例えば、堆積物が最も多くまた反応種と堆
積物の間の反応が生じうる場合に、初期のクリーニング
ステップに高い圧力を用いてもよい。クリーニングが進
行し、堆積物が減少すれば、滞在時間を増やすため、よ
り低い圧力を用いることができるので、滞在時間が長い
反応種と残留堆積物の間の反応の可能性が高められる。
また、本発明の方法で考慮すべきは、クリーニングガス
の希釈と低いチャンバ圧力を有利に用いて、滞在時間を
増やして反応種の再結合を低減することにより、チャン
バクリーニングプロセスを改良することである。
【0068】ブロック807〜808に応じて提供された、望
ましいガス流動と圧力の組合わせを行った後、次のステ
ップは、ブロック809に示されるように、チャンバのシ
ーズニングである。タンタル堆積チャンバに関して上記
で説明したように、これらステップを行い、処理領域か
ら残留クリーニングガス、反応種、そして、上述のクリ
ーニングプロセスで生成する他の揮発性の化合物取り除
いた場合に、多くの堆積プロセスの質と信頼性は改良さ
れる。次の処理操作で窒化ケイ素の堆積が含まれる場合
には、約1000オングストロームの窒化ケイ素の層が処理
領域107内に堆積される。二酸化ケイ素、弗素ドープの
ケイ酸塩ガラス(FSG)または他の低誘電率膜(すなわ
ち4.0未満の誘電率を有する膜)、リンドープのケイ酸
塩ガラス(PSG)または他のプリメタルの誘電体膜の場
合は、約1000オングストロームの二酸化ケイ素の層が処
理領域107内に堆積する。
【0069】フローチャート800のブロック810は、チャ
ンバ処理を再開することになる本発明の最後のステップ
を示す。処理の要求により、所望の場合に、ブロック80
0のクリーニングプロセスを繰り返してもよい。代表的
な半導体製造プロセスのための代表的なクリーニングサ
イクルは、3〜5枚の基板が処理された後、あるいは、チ
ャンバ100内で処理された基板の上に約3μmの膜が堆積
した後、チャンバをクリーニングすることを有する。
【0070】図8に関する上の記載は、本発明のより完
全な理解を続けて提供するためのブロック線図800のス
テップを示す。当業者は、ステップの多くが同時に又は
ほぼ同時に行われてもよいことを理解するだろう。フロ
ーチャート800の中のその他の小さな逸脱も、本発明の
範囲内である。例えば、クリーニングガス希釈を要望す
る場合に、クリーニングガスの流れを調整する前に不活
性ガス流れを調整するように、ステップ811と812を逆に
実行することができる。さらに、チャンバ圧力は、クリ
ーニングガス希釈(ブロック802、811、812及び807)を
用いるべきかどうかの決定の前に、調整されてもよい
(ブロック805及び808)。
【0071】フローチャート800に示される本発明の方
法は、以下の代表的な例を考慮することによって、より
よく理解されることができる。本発明の具体例の中に、
処理チャンバは、一定の圧力に維持される一方、クリー
ニングガスは、本発明に従い、単独で、そしてその後不
活性ガスと協働で、提供される。チャンバは、低誘電率
(すなわち誘電率4.0未満)の堆積を含む処理操作のた
めに用いることができるものである。このような膜は、
弗素ドープのケイ酸塩ガラス(FSG)である。処理チャ
ンバ内に堆積物を形成する処理操作を行い、処理される
最後のウエハを取り出した後に、3200Wのプラズマを遠
隔プラズマ装置の中に点火することができる。マニュア
ル調整のマイクロ波発振器が使用される場合は、アルゴ
ン等の不活性ガスを、プラズマ点火のために用いること
ができる。点火の後、遠隔プラズマ装置にクリーニング
ガスが提供され、反応種を発生する。この例では、NF3
が用いられ、そして、クリーニングガス希釈(ブロック
802)は、プロセスのこの部分では用いられない。次
に、ブロック803に従い、クリーニングガス流量が、要
望された流量に調整される。この例では、NF3は約1500s
ccmで提供される。NF3が解離し、処理チャンバに反応種
を提供する(ブロック804)ので、チャンバ圧力は、約3
トールの定圧に維持される(ブロック805)。解離され
たクリーニングガスによって提供される反応種は、チャ
ンバ内に形成される堆積物と反応し、揮発性の化合物を
形成し、これはチャンバから排気される(ブロック80
6)。この例では、反応種は、反応性の弗素であり、約
1.2オングストローム/分〜0.9オングストローム/分
で、FSG堆積物を取り除く。3μmの堆積材料が蓄積され
た後、チャンバがクリーニングされるプロセスでは、こ
のステップは75〜100秒継続される。
【0072】この例での次のステップは、ブロック807
に応じてのガス流れを修正し、ブロック802に応じてク
リーニングガス希釈を用いることである。ブロック811
と812に応じて、クリーニングガスと不活性ガスの流れ
は、完全又はほぼ完全な解離がアプリケータ72内で生じ
るようなレベルで調整され維持される。この例では、ク
リーニングガスはNF3、不活性ガスはアルゴンで、これ
らの比は1:1で与えられ、ここでは、Arを750sccmに調整
しつつ、NF3を750sccmに調整できる。あるいは、クリー
ニングガスと不活性ガスの比を2:1にすることによって
有利な結果を得ることもできる。再び、実施例としてNF
3とArを用い、Arを500sccm 提供しつつ、NF3を1000sccm
提供するように調整する。比が1:1の実施例と2:1の実
施例の両方において、クリーニングガスがNF3又は同様
の解離エネルギが必要なその他のガスである場合、アプ
リケータ72の中の全流量は、1500sccmであり、その場
合、マグネトロン62からの出力が3500Wで使用される場
合は、クリーニングガスは、完全又はほぼ完全に(即ち
約99%)解離すると考えられる。
【0073】クリーニングガス(この例ではNF3)が処
理チャンバ内で解離して、反応種を提供する場合(ブロ
ック804)は、チャンバ圧力は、約3トールの一定の圧力
に維持される(ブロック805)。解離されたクリーニン
グガスによって提供される反応種は、チャンバ内に形成
された堆積物と反応して揮発性の化合物を形成し、これ
はチャンバから排気される(ブロック806)。
【0074】次に、ブロック806に従って行われた堆積
物の除去が完了した後、クリーニングガスと不活性ガス
の流れをブロック807と802によって修正し、クリーニン
グガスと不活性ガスの別の組合わせを提供し、あるい
は、単独でクリーニングガスを提供する。この特定の例
に従えば、ブロック807と808に従ったガス流動とチャン
バ圧力の修正は要望されない。これに替えて、ブロック
809に従って、処理操作を再開する前に膜の層を堆積す
るより、チャンバのシーズニングが行われる。例えば、
FSGを堆積するために用いられる処理装置で、約1000オ
ングストロームのSiO2の層を堆積してもよい。この特定
の例では、ブロック810に従う次のステップは、処理チ
ャンバでFSG堆積操作を再開することである。
【0075】本発明の特定の別の具体例では、クリーニ
ング方法は、少なくとも2つの異なる圧力を使用し、ク
リーニングガスは単独で用い、また、クリーニングガス
は不活性ガスによって希釈される。当初、堆積物の量が
最も多いときは、高い圧力を用い、その後堆積物の量が
減りチャンバ内に分散すれば、低圧のクリーニングへと
続く。チャンバは、プリメタルの誘電体の堆積等の処理
操作のために用いることができる。このような膜には、
リンドープのシリコンガラス(PSG)がある。処理チャ
ンバ内に堆積物を形成する処理操作を行い、処理される
最後のウエハを取り出した後に、3200Wのプラズマを遠
隔プラズマ装置の中に点火する。先に述べたように、マ
ニュアルで調整されるマイクロ波発振器を使用する場合
は、アルゴン等の不活性ガスを用いてプラズマを点火す
る。点火の後、反応種を発生させるためにクリーニング
ガスが遠隔プラズマ装置に提供される。この例では、NF
3を用い、クリーニングガスの希釈は、プロセスのこの
部分では使用されない(ブロック802)。次に、ブロッ
ク803に従い、クリーニングのガス流量が、要望された
流量に調整される。この例では、NF3は約1500sccmで提
供される。NF3が処理チャンバ内で解離して反応種を与
える場合(ブロック804)、チャンバ圧力は約3トールの
一定の圧力で維持される(ブロック805)。解離された
クリーニングガスによって提供される反応種は、チャン
バ内に形成された堆積物と反応して揮発性の化合物を生
成し、これはチャンバから排気される(ブロック80
6)。
【0076】本発明のこの代表的な遠隔クリーニングプ
ロセスの次のステップは、ブロック807に従ってガス流
れを修正し、ブロック802に従ってクリーニングガス希
釈を用いることである。ブロック811と812に応じて、ク
リーニングガス流れと不活性ガス流れが調整される。こ
の例では、クリーニングガスがNF3、不活性ガスがアル
ゴンであり、NF3が750sccm、Arが750sccmであるので、
ガスは1:1の比で提供される。あるいは、クリーニング
ガスと不活性ガスの比を2:1で提供することによってよ
り良いクリーニング均一性を得ることができ、これは、
NF3を1000sccm とArを500sccm、好ましくは NF3を1500s
ccm とArを750sccmで与えるようガス流れを調整する場
合がある。前の例の場合のように、クリーニングガスが
完全又はほぼ完全に解離されるレベルで、アプリケータ
72の中の全流れとマイクロ波電力が維持される。
【0077】クリーニングガスと不活性ガスの混合物が
処理チャンバ内で解離し反応種を提供すれば(ブロック
804)、チャンバ圧力は約1.8トールの圧力に低減される
(ブロック805)。反応種は、圧力が低ければ滞在時間
が長くなるので、チャンバから排気される揮発性の化合
物を形成するためにチャンバ内に形成される残留堆積物
と反応する確率を上げる(ブロック806)。
【0078】ブロック806に従って行われる堆積物の除
去が終了した後、処理操作を再開する前にブロック809
に従って膜の層を堆積することによって、チャンバはシ
ーズニングされる。例えば、基板が領域107内に存在し
ない間、PSGの堆積のために用いられる処理装置の中
で、約1000オングストロームのSiO2の層を処理領域107
内で堆積してもよい。次に、ブロック810に従い、処理
チャンバ内で基板上へのPSG膜の堆積を再開する。
【0079】上記の特定の具体例に加えて、ブロック線
図800のステップ群を連続反復する際、様々なガス流量
とチャンバ圧力を使用し、あるいは修正してもよいこと
が予想される。また、ブロック線図800の方法をブロッ
ク線図300の方法で使用してもよいことが予想される。
このような組み合わせの方法を例示する1つの結果は、
ブロック線図800のガス混合とチャンバ圧力調整を、処
理リアクタ10内に形成される多数の内部の領域に使用す
る場合である。
【0080】さて図9、10と11を参照すれば、図3に示さ
れる本発明の方法によって実現される有利なクリーニン
グ速度とクリーニング均一性がよく理解できる。図9、1
0と11に例示される結果は、数枚の200mmウエハ上に堆積
したSiO2膜の初めの厚さと均一性を測定し、次いで、こ
れらのウエハをチャンバ10内の異なるプロセス条件に曝
露し、クリーニング速度と均一性について異なるプロセ
ス条件の影響を決定することにより得られたものであ
る。特定のクリーニングプロセス環境への曝露の後、膜
厚及び均一性を再び測定し、最初の厚さ及び均一性と比
較した。各グラフは、異なる変量を表しており、例え
ば、図9では様々なAr流量、図10では様々なNF3流量、と
図11では様々なチャンバ圧力を表す。
【0081】図9は、チャンバ圧力とNF3流れを一定にし
つつ、アルゴン流量を上げた場合のクリーニング速度と
均一性に対する影響を表す。2トールのチャンバ圧力と2
00sccmのNF3流量を維持しつつ、Ar流れを0から1000sccm
に変えた。400sccmの流量では、約7500オングストロー
ム/分という最も高いクリーニング速度と約11%の最も
低い均一性が与えられた。Ar流量が400sccm以上に増加
し、あるいは、NF3流れがAr流れの増加に伴い希釈され
れば、均一性は35%にまで増加したが、クリーニング速
度は約4100〜5800オングストローム/分に低下した。Ar
流れが400sccm以下に下がれば、クリーニング速度は600
0オングストローム/分〜7500オングストローム/分に
低下したが、クリーニング均一性は12%〜25%に増加し
た。
【0082】図10は、クリーニング速度及び均一性に対
するNF3流れの増加の影響を表す。チャンバ圧力を2ト
ル、Ar流量を400sccmに維持しつつ、NF3流量を0sccmか
ら400sccmまで上げた。NF3が200sccmでは、クリーニン
グ速度は約7500オングストローム/分、クリーニング均
一性は約10%であった。200sccmより高くなるようNF3流
れを上げれば、クリーニング速度は約6000オングストロ
ーム/分に下がり、クリーニング均一性は約15%に上が
った。200sccmより低くNF3流量を下げれば、クリーニン
グ速度は約3000オングストローム/分まで下がったが、
クリーニング均一性は20%〜30%まで上がった。
【0083】図11は、一定のArとNF3流量に対して、ク
リーニング速度とクリーン均一性に対するチャンバ圧力
の影響を表す。チャンバ圧力が2トールに対して、クリ
ーン均一性は約10%であったが、クリーニング速度は約7
500オングストローム/分であった。圧力が2トールより
低くなれば、クリーニング均一性は緩やかに上昇する
が、クリーニング速度は約3000オングストローム/分に
下がった。圧力が2トールより高くなれば、均一性は20%
を超えるが、クリーニング速度は約3000オングストロー
ム/分にしかならない。クリーニング速度が高ければ、
より高速のクリーンであることを示し、また、低いクリ
ーニング均一性の値が低ければ、クリーニングラジカル
がチャンバ内部全体に均一に適用されるので、チャンバ
構成要素がオーバーエッチ及び損傷を与えられる可能性
が低減されることを示す。このように、図9、10及び11
で得られまた例示される結果を検討すれば、アプリケー
タ72の中で励起され2:1の比でチャンバに提供されるAr/
NF3混合物の圧力を2トールとして本発明の方法をチャン
バ10に用いれば、あるいは Arが200sccm、NF3が400scc
m、マイクロ波電力が1400ワットの特定の具体例を用い
れば、最高のクリーニング速度と最小の均一性を有する
有利なクリーニングが実現される。
【0084】図12は、図7のチャンバ100と同様のチャン
バ内で行われる試験で得られた代替結果を表す。この試
験では、二酸化ケイ素膜が300mmのウエハの上に堆積さ
れ、初期の膜の厚さと均一性が測定された。ウエハは、
次いでチャンバ100内に置かれ、図8の中に示されるクリ
ーニング方法と同様のクリーニングプロセス条件に曝露
された。クリーニングプロセスを行った後に、ウエハを
チャンバから取り出し、膜の厚さと均一性を再び測定し
た。これらのクリーニング後の測定が、図12に例示され
る。図8の方法を、1:2 のAr/NF3比で、チャンバ100内
で行ったが、この具体例では、4500ワットのマイクロ波
電力と3トールのチャンバ圧力で、750sccmのAr流れと15
00sccm のNF3流れを用いた。図8の方法のこの特定の具
体例では、4.34%のクリーニング均一性と、2000オング
ストローム/分のクリーニング速度が実現された。
【0085】発明の特定の具体例を示してきたが、更な
る修正と改善を行うことは、当業者には可能であろう。
従って、本発明は特定の形に限定されず、また特許請求
の範囲は、本発明の本質及びその範囲から逸脱せずにそ
の全ての修正をカバーするものであることが理解される
ことが要望される。
【図面の簡単な説明】
【図1】図1は、本発明の処理システムの概略的な横断
面図である。
【図2】図2は、遠隔プラズマアプリケータキャビティ
の断面図である。
【図3】図3は、本発明の方法を例示するブロック線図
である。
【図4】図4は、図1の拡大図Aである。
【図5】図5は、本発明の処理システムの概略的な横断
面図である。
【図6】図6は、図4の拡大図Aである。
【図7】図7は、本発明の単一容量の処理チャンバ及び
遠隔プラズマ装置の概略的横断面図である。
【図8】図8は、本発明の方法を例示するブロック線図
である。
【図9】図9は、クリーニング速度とクリーニング均一
性に対するアルゴンフローの影響を例示するグラフであ
る。
【図10】図10は、クリーニング速度とクリーニング均
一性に対するNF3フローの影響を例示するグラフであ
る。
【図11】図11は、クリーニング速度と均一性に対する
チャンバ圧力の影響を例示するグラフである。
【図12】図12は、本発明に従ったクリーニング方法を
受けた300mmウエハの厚さマップである。
【符号の説明】
5…処理システム、10…処理チャンバ、20…リッ
ド、22…本体、28…抵抗加熱基板支持体、29…抵
抗ヒータ、32…シャワーヘッド、41…支持体シャフ
ト、42…リフトメカニズム、44…ヒータ制御装置、
60…プラズマジェネレータ。
───────────────────────────────────────────────────── フロントページの続き (72)発明者 ラヴィ ラヤゴパラン アメリカ合衆国, カリフォルニア州, サニーヴェイル, アヤラ ドライヴ 1124, ナンバー2 (72)発明者 パトリシア エム リウ アメリカ合衆国, カリフォルニア州, サラトガ, ベルグルーヴ サークル 18813 (72)発明者 プラヴィン ケイ ナーワンカン アメリカ合衆国, カリフォルニア州, サニーヴェイル, ウェイヴァリー スト リート 392 (72)発明者 ヒュエン ティ トラン アメリカ合衆国, カリフォルニア州, サニーヴェイル, カーニー テラス 860 (72)発明者 パドマナバーン クリシュナラヤ アメリカ合衆国, カリフォルニア州, サン フランシスコ, デ ハロ ストリ ート 1118 (72)発明者 アラン アブラオ アメリカ合衆国, カリフォルニア州, サン ノゼ, オークモント ドライヴ 1134, ナンバー7 (72)発明者 ティム キャスパー アメリカ合衆国, カリフォルニア州, モンテ セレノ, メイズ アヴェニュー 16108 (72)発明者 タージット サヒン アメリカ合衆国, カリフォルニア州, クパティノ, チャドウィック プレイス 11110 (72)発明者 チャン−テ カオ アメリカ合衆国, カリフォルニア州, サニーヴェイル, スターブッシュ ドラ イヴ 715

Claims (21)

    【特許請求の範囲】
  1. 【請求項1】 処理チャンバの内面上に形成された堆積
    物を処理チャンバからクリーニングするための方法であ
    って、前記処理チャンバ内面は、第1の領域と第2の領
    域を有し、前記第2の領域は前記第1の領域とは別であ
    り、前記方法は、(a)反応種を形成するため、不活性
    ガスとクリーニングガスを備えるガス混合物を前記処理
    チャンバの外側で解離するステップと、(b)前記処理
    チャンバに前記反応種を提供するステップと、(c)前
    記処理チャンバ第1の領域内で前記反応種を前記堆積物
    と反応させるステップと、(d)前記処理チャンバ第1
    の領域内に形成された前記堆積物から揮発性の化合物を
    生成するステップと、(e)前記処理チャンバ第1の領
    域内に形成された堆積物から形成された前記揮発性の化
    合物を、前記処理チャンバから取り除くステップと、
    (f)前記処理チャンバ第1の領域と前記処理チャンバ
    第2の領域の間の流体連絡を増加させるステップと、
    (g)前記処理チャンバ第2の領域内で、前記反応種を
    前記堆積物と反応させるステップと、(h)前記処理チ
    ャンバ第2の領域内に形成された前記堆積物から揮発性
    の化合物を形成するステップと、(i)前記処理チャン
    バ第2の領域内に形成された堆積物から形成された前記
    揮発性の化合物を、前記処理チャンバから取り除くステ
    ップとを有する方法。
  2. 【請求項2】 前記不活性ガスと前記クリーニングガス
    の比が約2:1である請求項1に記載の方法。
  3. 【請求項3】 反応種を形成するために前記処理チャン
    バの外側でガス混合物を解離する前記ステップが、マイ
    クロ波でエネルギが与えられる遠隔プラズマ装置で行わ
    れる請求項1に記載の方法。
  4. 【請求項4】 前記反応種を、前記処理チャンバ第1の
    領域の中に形成された堆積物と反応させる前記ステップ
    が第1の圧力で実行され、前記反応種を、前記処理チャ
    ンバ第2の領域の中に形成された堆積物と反応させる前
    記ステップが、前記第1の圧力とは異なる第2の圧力で
    実行される請求項1に記載の方法。
  5. 【請求項5】 前記第1のガスが不活性ガスであり、前
    記第2のガスがハロゲン化ガスである請求項2に記載の
    方法。
  6. 【請求項6】 前記ハロゲン化されたガスがNF3である
    請求項5に記載の方法。
  7. 【請求項7】 前記マイクロ波電力が、前記ガス混合物
    の前記クリーニングガスに99%以上の解離を引き起こす
    請求項3に記載の方法。
  8. 【請求項8】 前記第1の圧力が、前記第2の圧力より
    大きい請求項4に記載の方法。
  9. 【請求項9】 前記第1の圧力が、前記第2の圧力の約
    2倍である請求項4に記載の方法。
  10. 【請求項10】 前記第1の圧力が約2トールである請
    求項4に記載の方法。
  11. 【請求項11】 処理チャンバ内に配置された基板上に
    実行された堆積操作の結果として処理チャンバの中に形
    成された堆積物を、取り除く方法であって、(a)前記処
    理チャンバとは別個である遠隔チャンバ内でクリーニン
    グガスを励起し、前記クリーニングガスから反応種を形
    成するステップと、(b)前記反応種と混合させる不活性
    ガスを提供して、反応種を有する混合物を形成するステ
    ップと、(c)前記処理チャンバを第1の圧力に維持しつ
    つ、前記処理チャンバに前記ガス混合物を提供するステ
    ップと、(d)前記処理チャンバを前記第1の圧力に維持
    しつつ、前記反応種を前記堆積物と反応させて、揮発性
    の化合物を形成し、その後、前記第1の圧力で形成され
    た前記揮発性の化合物を前記処理チャンバから取り除く
    反応のステップと、(e)前記第1の圧力から異なる第2
    の圧力に前記処理チャンバを維持しつつ、反応種を有す
    る前記ガス混合物を前記処理チャンバに与えるステップ
    と、(f)前記処理チャンバを前記第2の圧力に維持しつ
    つ、前記反応種を前記堆積物と反応させて揮発性の化合
    物を形成し、その後、前記第2の圧力で形成される前記
    揮発性の化合物を前記処理チャンバから取り除く反応の
    ステップと、(g)前記チャンバ内に基板を配置せずに、
    前記処理チャンバ内で処理操作を行い、前記処理チャン
    バの内面の上で膜を形成するステップとを有する方法。
  12. 【請求項12】 前記第2の圧力が、前記第1の圧力よ
    り低い請求項11に記載の方法。
  13. 【請求項13】 前記第2の圧力が、前記第1の圧力の
    約半分である請求項11に記載の方法。
  14. 【請求項14】 前記反応種と混合させる不活性ガスを
    提供してガス混合物を形成する前記ステップが、反応種
    が更に、反応種形成のために用いられるクリーニングガ
    スの流量の2倍の不活性ガス流量を備えることを更に有
    する請求項11に記載の方法。
  15. 【請求項15】 前記処理チャンバの第1の処理領域に
    対して、前記第1の圧力を維持しつつ、反応種を備える
    前記ガス混合物を提供し、前記処理チャンバ内に前記第
    2の圧力を維持しつつ、前記第1の処理領域から異なる
    第2の処理領域に対し、反応種を備える前記ガス混合物
    を提供するステップを更に有する請求項11に記載の方
    法。
  16. 【請求項16】 基板の上にタンタルを備える膜を堆積
    するために行われた基板処理操作の結果、処理チャンバ
    の内面上に形成されたタンタルを備える堆積物を取り除
    く方法であって、前記処理チャンバは第1の処理領域
    と、前記第1の処理領域とは異なる第2の処理領域とを
    備え、(a)前記処理チャンバの外の遠隔チャンバ内でプ
    ラズマを点火するステップと、(b)前記クリーニングガ
    スから反応種を発生させるために、前記プラズマで前記
    クリーニングガスを励起するステップと、(c)前記反応
    種に混合させる不活性ガスを提供して、不活性ガスと反
    応種を備えるガス混合物を形成するステップと、(d)前
    記処理チャンバ内の第1の処理領域に、前記ガス混合物
    を提供するステップと、(e)前記処理チャンバを第1の
    圧力に維持しつつ、前記第1の処理領域内で、前記反応
    種を、タンタルを備える前記膜と反応させて、揮発性の
    化合物を形成するステップと、(f)前記第1の処理領域
    内に形成された前記揮発性の化合物を、前記処理チャン
    バから取り除くステップと、(g)前記第1の処理領域と
    前記第2の処理領域の間の流体連絡を増加させるステッ
    プと、(h)前記処理チャンバ内に第2の処理領域に前記
    ガス混合物を提供すること;(i)前記処理チャンバを第2
    の圧力に維持しつつ、前記第2の処理領域内で、前記反
    応種を、タンタルを備える前記膜と反応させて揮発性の
    化合物を形成するステップと、(j)前記第2の処理領域
    内に形成された前記揮発性の化合物を、前記処理チャン
    バから取り除くステップとを有する方法。
  17. 【請求項17】 前記チャンバ内に基板の存在なしに、
    前記処理チャンバ内で処理操作を行い、前記処理チャン
    バの内面上にタンタルを備える膜を形成するステップを
    更に有し、前記処理操作は、前記第1の処理領域と第2
    の処理領域から形成された前記揮発性の化合物を前記処
    理チャンバから取り除いた後に行われる請求項16に記
    載の方法。
  18. 【請求項18】 前記クリーニングガスがハロゲンであ
    る請求項16に記載の方法。
  19. 【請求項19】 前記クリーニングガスが弗素を備える
    請求項16に記載の方法。
  20. 【請求項20】 前記クリーニングガスがNF3である請
    求項16に記載の方法。
  21. 【請求項21】 前記不活性ガスが、Arと、Xeと、Ne
    と、Heと、N2とから成る群から選択される請求項16に
    記載の方法。
JP2000201117A 1999-07-02 2000-07-03 処理チャンバのための遠隔式プラズマクリーニング方法 Expired - Lifetime JP4889138B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/347,236 US6274058B1 (en) 1997-07-11 1999-07-02 Remote plasma cleaning method for processing chambers
US09/347236 1999-07-02

Publications (2)

Publication Number Publication Date
JP2001085418A true JP2001085418A (ja) 2001-03-30
JP4889138B2 JP4889138B2 (ja) 2012-03-07

Family

ID=23362882

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000201117A Expired - Lifetime JP4889138B2 (ja) 1999-07-02 2000-07-03 処理チャンバのための遠隔式プラズマクリーニング方法

Country Status (4)

Country Link
US (1) US6274058B1 (ja)
EP (1) EP1065295A1 (ja)
JP (1) JP4889138B2 (ja)
KR (1) KR100743374B1 (ja)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2005001920A1 (ja) * 2003-06-27 2005-01-06 Tokyo Electron Limited プラズマ発生方法、クリーニング方法および基板処理方法
JP2006228909A (ja) * 2005-02-16 2006-08-31 Canon Anelva Corp プラズマによるガス分解装置を用いた表面処理方法及び表面処理システム
JP2009111350A (ja) * 2007-09-07 2009-05-21 Applied Materials Inc Hdpプロセスにおけるエッチングレートドリフトの削減
KR101025324B1 (ko) 2004-01-13 2011-03-29 가부시키가이샤 아루박 에칭 방법
JP2012506637A (ja) * 2008-10-22 2012-03-15 アプライド マテリアルズ インコーポレイテッド 繰返し高圧・低圧洗浄ステップを含む遠隔プラズマ洗浄プロセス
WO2021033612A1 (ja) * 2019-08-22 2021-02-25 東京エレクトロン株式会社 クリーニング方法及びマイクロ波プラズマ処理装置
KR20230077048A (ko) * 2021-11-25 2023-06-01 세메스 주식회사 기판 처리 장치 및 이를 이용한 기판 처리 방법

Families Citing this family (65)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3381774B2 (ja) * 1997-12-24 2003-03-04 東京エレクトロン株式会社 CVD−Ti膜の成膜方法
US6383300B1 (en) * 1998-11-27 2002-05-07 Tokyo Electron Ltd. Heat treatment apparatus and cleaning method of the same
US6374831B1 (en) * 1999-02-04 2002-04-23 Applied Materials, Inc. Accelerated plasma clean
US20020020433A1 (en) * 1999-12-28 2002-02-21 Asami Suemura Oxidation apparatus and method of cleaning the same
KR100767762B1 (ko) * 2000-01-18 2007-10-17 에이에스엠 저펜 가부시기가이샤 자가 세정을 위한 원격 플라즈마 소스를 구비한 cvd 반도체 공정장치
US6596123B1 (en) * 2000-01-28 2003-07-22 Applied Materials, Inc. Method and apparatus for cleaning a semiconductor wafer processing system
US6329297B1 (en) * 2000-04-21 2001-12-11 Applied Materials, Inc. Dilute remote plasma clean
DE10029523A1 (de) * 2000-06-21 2002-01-10 Messer Griesheim Gmbh Verfahren und Vorrichtung zum Reinigen eines PVD- oder CVD-Reaktors sowie von Abgasleitungen desselben
US6835278B2 (en) * 2000-07-07 2004-12-28 Mattson Technology Inc. Systems and methods for remote plasma clean
US6569257B1 (en) * 2000-11-09 2003-05-27 Applied Materials Inc. Method for cleaning a process chamber
JP2002280376A (ja) * 2001-03-22 2002-09-27 Research Institute Of Innovative Technology For The Earth Cvd装置のクリーニング方法およびそのためのクリーニング装置
US7159597B2 (en) * 2001-06-01 2007-01-09 Applied Materials, Inc. Multistep remote plasma clean process
JP4121269B2 (ja) * 2001-11-27 2008-07-23 日本エー・エス・エム株式会社 セルフクリーニングを実行するプラズマcvd装置及び方法
US20030145790A1 (en) * 2002-02-05 2003-08-07 Hitoshi Sakamoto Metal film production apparatus and metal film production method
US6902629B2 (en) * 2002-04-12 2005-06-07 Applied Materials, Inc. Method for cleaning a process chamber
DE10229037A1 (de) * 2002-06-28 2004-01-29 Robert Bosch Gmbh Vorrichtung und Verfahren zur Erzeugung von Chlortrifluorid und Anlage zur Ätzung von Halbleitersubstraten mit dieser Vorrichtung
US7588036B2 (en) * 2002-07-01 2009-09-15 Applied Materials, Inc. Chamber clean method using remote and in situ plasma cleaning systems
US20040014327A1 (en) * 2002-07-18 2004-01-22 Bing Ji Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials
US7097716B2 (en) * 2002-10-17 2006-08-29 Applied Materials, Inc. Method for performing fluorocarbon chamber cleaning to eliminate fluorine memory effect
KR100542740B1 (ko) * 2002-11-11 2006-01-11 삼성전자주식회사 가스 플라즈마 생성 방법 및 장치, 플라즈마 생성용 가스조성물 및 이를 이용한 반도체 장치의 제조 방법
US6802945B2 (en) * 2003-01-06 2004-10-12 Megic Corporation Method of metal sputtering for integrated circuit metal routing
US6923189B2 (en) * 2003-01-16 2005-08-02 Applied Materials, Inc. Cleaning of CVD chambers using remote source with cxfyoz based chemistry
US20040211357A1 (en) * 2003-04-24 2004-10-28 Gadgil Pradad N. Method of manufacturing a gap-filled structure of a semiconductor device
US7371427B2 (en) * 2003-05-20 2008-05-13 Applied Materials, Inc. Reduction of hillocks prior to dielectric barrier deposition in Cu damascene
US7723228B2 (en) * 2003-05-20 2010-05-25 Applied Materials, Inc. Reduction of hillocks prior to dielectric barrier deposition in Cu damascene
US7288284B2 (en) * 2004-03-26 2007-10-30 Taiwan Semiconductor Manufacturing Co., Ltd. Post-cleaning chamber seasoning method
US20050241669A1 (en) * 2004-04-29 2005-11-03 Tokyo Electron Limited Method and system of dry cleaning a processing chamber
US20050260354A1 (en) * 2004-05-20 2005-11-24 Varian Semiconductor Equipment Associates, Inc. In-situ process chamber preparation methods for plasma ion implantation systems
KR100580584B1 (ko) * 2004-05-21 2006-05-16 삼성전자주식회사 리모트 플라즈마 발생 튜브의 표면 세정 방법과 이를이용하는 기판 처리 방법 및 기판 처리 장치
US20050284573A1 (en) 2004-06-24 2005-12-29 Egley Fred D Bare aluminum baffles for resist stripping chambers
US20060090773A1 (en) * 2004-11-04 2006-05-04 Applied Materials, Inc. Sulfur hexafluoride remote plasma source clean
US8163087B2 (en) * 2005-03-31 2012-04-24 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US20060254613A1 (en) * 2005-05-16 2006-11-16 Dingjun Wu Method and process for reactive gas cleaning of tool parts
US20060266288A1 (en) * 2005-05-27 2006-11-30 Applied Materials, Inc. High plasma utilization for remote plasma clean
CN101238540B (zh) * 2005-06-02 2010-12-08 应用材料公司 用于在氧化物膜中掺入氮的方法和装置
KR101194020B1 (ko) * 2005-07-08 2012-10-24 매그나칩 반도체 유한회사 반도체 장치 제조 방법
EP1937871A2 (en) * 2005-10-17 2008-07-02 OC Oerlikon Balzers AG Cleaning means for large area pecvd devices using a remote plasma source
US7662723B2 (en) * 2005-12-13 2010-02-16 Lam Research Corporation Methods and apparatus for in-situ substrate processing
US7846257B2 (en) * 2005-12-14 2010-12-07 Tokyo Electron Limited Method for cleaning substrate processing apparatus, substrate processing apparatus, program and recording medium having program recorded therein
EP2007923B1 (en) * 2006-04-10 2017-07-19 Solvay Fluor GmbH Etching process
US20070266946A1 (en) * 2006-05-22 2007-11-22 Byung-Chul Choi Semiconductor device manufacturing apparatus and method of using the same
KR100725105B1 (ko) * 2006-07-12 2007-06-04 삼성전자주식회사 반도체 제조설비의 애플리케이터
US7518092B2 (en) * 2007-03-15 2009-04-14 Capital Technologies, Inc. Processing apparatus with an electromagnetic launch
US8118946B2 (en) * 2007-11-30 2012-02-21 Wesley George Lau Cleaning process residues from substrate processing chamber components
US8262800B1 (en) * 2008-02-12 2012-09-11 Novellus Systems, Inc. Methods and apparatus for cleaning deposition reactors
US8404135B2 (en) * 2008-08-26 2013-03-26 Taiwan Semiconductor Manufacturing Co., Ltd. Plasma cleaning for process chamber component refurbishment
US8591659B1 (en) * 2009-01-16 2013-11-26 Novellus Systems, Inc. Plasma clean method for deposition chamber
KR101559425B1 (ko) * 2009-01-16 2015-10-13 삼성전자주식회사 반도체 소자의 제조 방법
KR101030997B1 (ko) 2009-10-16 2011-04-25 주식회사 아토 증착 장치 및 이를 이용한 갭필 방법
KR101794069B1 (ko) * 2010-05-26 2017-12-04 삼성전자주식회사 반도체 제조설비 및 그의 시즈닝 공정 최적화 방법
JP5938470B2 (ja) * 2011-06-03 2016-06-22 コリア・ベーシック・サイエンス・インスティテュート 医療用プラズマ滅菌装置
US20140069459A1 (en) * 2012-09-09 2014-03-13 Novellus Systems, Inc. Methods and apparatus for cleaning deposition chambers
KR102280282B1 (ko) * 2012-11-30 2021-07-21 카티바, 인크. 산업용 프린팅 시스템의 유지 방법
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
JP6422262B2 (ja) * 2013-10-24 2018-11-14 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US9155184B2 (en) 2013-11-18 2015-10-06 Applied Materials, Inc. Plasma generation source employing dielectric conduit assemblies having removable interfaces and related assemblies and methods
US10755903B2 (en) * 2016-01-15 2020-08-25 Applied Materials, Inc. RPS defect reduction by cyclic clean induced RPS cooling
CN107154332B (zh) * 2016-03-03 2019-07-19 中微半导体设备(上海)股份有限公司 一种等离子体处理装置及方法
US10600624B2 (en) 2017-03-10 2020-03-24 Applied Materials, Inc. System and method for substrate processing chambers
US10312076B2 (en) 2017-03-10 2019-06-04 Applied Materials, Inc. Application of bottom purge to increase clean efficiency
US10636628B2 (en) 2017-09-11 2020-04-28 Applied Materials, Inc. Method for cleaning a process chamber
KR102167894B1 (ko) * 2019-01-22 2020-10-20 (주)알에프티에스아이 오존 생성장치 및 오존셀 세정장치
JP7454467B2 (ja) * 2020-08-03 2024-03-22 株式会社荏原製作所 基板処理システム、基板処理システムの制御装置及び基板処理システムの運転方法
US11670492B2 (en) * 2020-10-15 2023-06-06 Applied Materials, Inc. Chamber configurations and processes for particle control
CN112609168B (zh) * 2020-11-30 2023-06-06 中威新能源(成都)有限公司 一种快速清洗大面积真空腔室内累积薄膜的方法

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS59181530A (ja) * 1983-03-31 1984-10-16 Komatsu Ltd 半導体製造装置の洗浄方法及び洗浄装置
JPS6487773A (en) * 1987-06-26 1989-03-31 Applied Materials Inc Self-cleaning method of reactor chamber
JPH07335563A (ja) * 1994-06-10 1995-12-22 Mitsubishi Electric Corp プラズマcvd装置
JPH0831752A (ja) * 1994-07-15 1996-02-02 Toshiba Corp Cvd装置の反応室のクリーニング方法およびコーティング方法
JPH0969504A (ja) * 1994-07-21 1997-03-11 Applied Komatsu Technol Kk 遠隔の励起源を用いる堆積チャンバーのクリーニング技術
JPH1131661A (ja) * 1997-07-14 1999-02-02 Toshiba Microelectron Corp 半導体ウエハ成膜装置

Family Cites Families (64)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5782955A (en) 1980-11-12 1982-05-24 Hitachi Ltd Microwave plasma generating apparatus
AU544534B2 (en) 1983-06-14 1985-06-06 Toyota Jidosha Kabushiki Kaisha Plasma coating
JPS6114726A (ja) 1984-06-29 1986-01-22 Fujitsu Ltd 半導体基板の処理方法
US5364519A (en) 1984-11-30 1994-11-15 Fujitsu Limited Microwave plasma processing process and apparatus
JPH0740566B2 (ja) 1986-02-04 1995-05-01 株式会社日立製作所 プラズマ処理方法及びその装置
US4736304A (en) 1986-04-07 1988-04-05 Energy Conversion Devices, Inc. Method and apparatus for operating one or more deposition systems
JPS6348832A (ja) 1986-08-19 1988-03-01 Tokyo Electron Ltd Cvd装置
JPS63114973A (ja) 1986-10-31 1988-05-19 Canon Inc マイクロ波プラズマcvd法による機能性堆積膜の形成装置
DE3739895A1 (de) 1986-12-01 1988-06-16 Korea Res Inst Chem Tech Verfahren und vorrichtung zur herstellung hochreinen silicium
US4986214A (en) 1986-12-16 1991-01-22 Mitsubishi Denki Kabushiki Kaisha Thin film forming apparatus
US5158644A (en) 1986-12-19 1992-10-27 Applied Materials, Inc. Reactor chamber self-cleaning process
KR880013424A (ko) 1987-04-08 1988-11-30 미타 가츠시게 플라즈머 장치
EP0310347B1 (en) 1987-09-30 1992-11-25 Sumitomo Metal Industries, Ltd. Thin film forming apparatus
JPH0192375A (ja) 1987-10-05 1989-04-11 Canon Inc マイクロ波プラズマcvd法による機能性堆積膜形成装置
JP2662688B2 (ja) 1987-10-16 1997-10-15 株式会社 半導体エネルギー研究所 被膜作製方法
FR2631258B1 (fr) 1988-05-10 1991-04-05 Prestations Services Sps Procede de nettoyage en surface par plasma differe
US4996077A (en) 1988-10-07 1991-02-26 Texas Instruments Incorporated Distributed ECR remote plasma processing and apparatus
JPH02125876A (ja) 1988-11-01 1990-05-14 Fujitsu Ltd Cvd装置の排気機構
US5084126A (en) 1988-12-29 1992-01-28 Texas Instruments Incorporated Method and apparatus for uniform flow distribution in plasma reactors
US5134965A (en) 1989-06-16 1992-08-04 Hitachi, Ltd. Processing apparatus and method for plasma processing
SE465100B (sv) 1989-06-30 1991-07-22 Inst Mikroelektronik Im Foerfarande och anordning foer att i en kallvaeggsreaktor behandla en kiselskiva
US4946549A (en) 1989-10-27 1990-08-07 At&T Bell Laboratories Method for fabricating or modifying an article comprising the removal of a polymer coating
US5211796A (en) 1990-01-08 1993-05-18 Lst Logic Corporation Apparatus for performing in-situ etch of CVD chamber
JPH03261138A (ja) 1990-03-09 1991-11-21 Mitsubishi Electric Corp 半導体装置のクリーニング方法およびクリーニング装置
US5008593A (en) 1990-07-13 1991-04-16 The United States Of America As Represented By The Secretary Of The Air Force Coaxial liquid cooling of high power microwave excited plasma UV lamps
JPH04100221A (ja) 1990-08-18 1992-04-02 Fujitsu Ltd 半導体装置の製造方法
JP3056772B2 (ja) 1990-08-20 2000-06-26 株式会社日立製作所 プラズマの制御方法ならびにプラズマ処理方法およびその装置
US5082517A (en) 1990-08-23 1992-01-21 Texas Instruments Incorporated Plasma density controller for semiconductor device processing equipment
US5273609A (en) 1990-09-12 1993-12-28 Texas Instruments Incorporated Method and apparatus for time-division plasma chopping in a multi-channel plasma processing equipment
US5173641A (en) 1990-09-14 1992-12-22 Tokyo Electron Limited Plasma generating apparatus
EP0478283B1 (en) 1990-09-26 1996-12-27 Hitachi, Ltd. Microwave plasma processing method and apparatus
US5111111A (en) 1990-09-27 1992-05-05 Consortium For Surface Processing, Inc. Method and apparatus for coupling a microwave source in an electron cyclotron resonance system
JPH04137618A (ja) 1990-09-28 1992-05-12 Fujitsu Ltd 半導体装置の製造方法
JPH04165075A (ja) 1990-10-25 1992-06-10 Canon Inc 化学蒸着装置のクリーニング法
JPH04243121A (ja) * 1991-01-18 1992-08-31 Fuji Electric Co Ltd ウエハ処理装置およびそのクリーニング方法
US5217570A (en) 1991-01-31 1993-06-08 Sony Corporation Dry etching method
JPH04302426A (ja) 1991-03-29 1992-10-26 Sony Corp デジタル・エッチング方法
US5234526A (en) 1991-05-24 1993-08-10 Lam Research Corporation Window for microwave plasma processing device
JPH0590180A (ja) 1991-07-26 1993-04-09 Fuji Electric Co Ltd プラズマcvd処理装置のドライクリーニング方法
JP3042127B2 (ja) 1991-09-02 2000-05-15 富士電機株式会社 酸化シリコン膜の製造方法および製造装置
JP2757618B2 (ja) 1991-09-27 1998-05-25 日本電気株式会社 半導体装置の製造方法
DE4132561C2 (de) 1991-09-30 1994-08-18 Siemens Ag Verfahren zur plasmaunterstützten Scheibenreinigung bei der Herstellung integrierter Halbleiterschaltungen
US5211995A (en) 1991-09-30 1993-05-18 Manfred R. Kuehnle Method of protecting an organic surface by deposition of an inorganic refractory coating thereon
DE4132558C1 (ja) 1991-09-30 1992-12-03 Secon Halbleiterproduktionsgeraete Ges.M.B.H., Wien, At
US5234529A (en) 1991-10-10 1993-08-10 Johnson Wayne L Plasma generating apparatus employing capacitive shielding and process for using such apparatus
DE4202862A1 (de) 1992-02-01 1993-08-05 Gerhard Prof Barich Verfahren und vorrichtung zur wiederverwertung von sortenreinen oder gemischten, sauberen oder verunreinigten kunststoffabfaellen
US5282899A (en) 1992-06-10 1994-02-01 Ruxam, Inc. Apparatus for the production of a dissociated atomic particle flow
US5306985A (en) 1992-07-17 1994-04-26 Sematech, Inc. ECR apparatus with magnetic coil for plasma refractive index control
WO1994006150A1 (en) 1992-09-02 1994-03-17 The University Of North Carolina At Chapel Hill Method for plasma processing at high pressures
US5567241A (en) 1993-04-30 1996-10-22 Energy Conversion Devices, Inc. Method and apparatus for the improved microwave deposition of thin films
JPH06318580A (ja) 1993-05-07 1994-11-15 Fuji Electric Co Ltd ドライクリーニング方法
US5387288A (en) 1993-05-14 1995-02-07 Modular Process Technology Corp. Apparatus for depositing diamond and refractory materials comprising rotating antenna
IT1261918B (it) 1993-06-11 1996-06-04 Cetev Cent Tecnolog Vuoto Struttura per deposizione reattiva di metalli in impianti da vuoto continui e relativo processo.
US5413670A (en) 1993-07-08 1995-05-09 Air Products And Chemicals, Inc. Method for plasma etching or cleaning with diluted NF3
US5454903A (en) 1993-10-29 1995-10-03 Applied Materials, Inc. Plasma cleaning of a CVD or etch reactor using helium for plasma stabilization
US5545289A (en) 1994-02-03 1996-08-13 Applied Materials, Inc. Passivating, stripping and corrosion inhibition of semiconductor substrates
JP3107275B2 (ja) 1994-08-22 2000-11-06 東京エレクトロン株式会社 半導体製造装置及び半導体製造装置のクリーニング方法
US5503676A (en) 1994-09-19 1996-04-02 Lam Research Corporation Apparatus and method for magnetron in-situ cleaning of plasma reaction chamber
JP3404434B2 (ja) 1994-09-19 2003-05-06 株式会社日立製作所 マイクロ波プラズマ装置のクリーニング方法
US5451615A (en) 1994-10-20 1995-09-19 The Dow Chemical Company Process for preparing polyurethane foam in the presence of a hydrocarbon blowing agent
US5558717A (en) 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
US6114216A (en) * 1996-11-13 2000-09-05 Applied Materials, Inc. Methods for shallow trench isolation
US5849092A (en) * 1997-02-25 1998-12-15 Applied Materials, Inc. Process for chlorine trifluoride chamber cleaning
US6125859A (en) * 1997-03-05 2000-10-03 Applied Materials, Inc. Method for improved cleaning of substrate processing systems

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS59181530A (ja) * 1983-03-31 1984-10-16 Komatsu Ltd 半導体製造装置の洗浄方法及び洗浄装置
JPS6487773A (en) * 1987-06-26 1989-03-31 Applied Materials Inc Self-cleaning method of reactor chamber
JPH07335563A (ja) * 1994-06-10 1995-12-22 Mitsubishi Electric Corp プラズマcvd装置
JPH0831752A (ja) * 1994-07-15 1996-02-02 Toshiba Corp Cvd装置の反応室のクリーニング方法およびコーティング方法
JPH0969504A (ja) * 1994-07-21 1997-03-11 Applied Komatsu Technol Kk 遠隔の励起源を用いる堆積チャンバーのクリーニング技術
JPH1131661A (ja) * 1997-07-14 1999-02-02 Toshiba Microelectron Corp 半導体ウエハ成膜装置

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2005001920A1 (ja) * 2003-06-27 2005-01-06 Tokyo Electron Limited プラズマ発生方法、クリーニング方法および基板処理方法
US8574448B2 (en) 2003-06-27 2013-11-05 Tokyo Electron Limited Plasma generation method, cleaning method, and substrate processing method
KR101025324B1 (ko) 2004-01-13 2011-03-29 가부시키가이샤 아루박 에칭 방법
JP2006228909A (ja) * 2005-02-16 2006-08-31 Canon Anelva Corp プラズマによるガス分解装置を用いた表面処理方法及び表面処理システム
JP4651406B2 (ja) * 2005-02-16 2011-03-16 キヤノンアネルバ株式会社 プラズマによるガス分解装置を用いた表面処理方法
JP2009111350A (ja) * 2007-09-07 2009-05-21 Applied Materials Inc Hdpプロセスにおけるエッチングレートドリフトの削減
JP2012506637A (ja) * 2008-10-22 2012-03-15 アプライド マテリアルズ インコーポレイテッド 繰返し高圧・低圧洗浄ステップを含む遠隔プラズマ洗浄プロセス
WO2021033612A1 (ja) * 2019-08-22 2021-02-25 東京エレクトロン株式会社 クリーニング方法及びマイクロ波プラズマ処理装置
KR20230077048A (ko) * 2021-11-25 2023-06-01 세메스 주식회사 기판 처리 장치 및 이를 이용한 기판 처리 방법
KR102647683B1 (ko) * 2021-11-25 2024-03-13 세메스 주식회사 기판 처리 장치 및 이를 이용한 기판 처리 방법

Also Published As

Publication number Publication date
US6274058B1 (en) 2001-08-14
JP4889138B2 (ja) 2012-03-07
KR100743374B1 (ko) 2007-07-30
EP1065295A1 (en) 2001-01-03
KR20010049697A (ko) 2001-06-15

Similar Documents

Publication Publication Date Title
JP4889138B2 (ja) 処理チャンバのための遠隔式プラズマクリーニング方法
US10837122B2 (en) Method and apparatus for precleaning a substrate surface prior to epitaxial growth
JP4439860B2 (ja) 半導体基板上への成膜方法
KR102158307B1 (ko) 플라즈마 프로세싱 챔버에서의 인-시튜 챔버 세정 효율 향상을 위한 플라즈마 처리 프로세스
US6569257B1 (en) Method for cleaning a process chamber
TWI391996B (zh) 電漿輔助化學氣相沉積薄膜之總缺陷降低方法
US7033937B2 (en) Apparatus and method for use in manufacturing a semiconductor device
KR101764166B1 (ko) 탄소 함유 필름들에 대한 실리콘-선택적 건식 식각
US6071573A (en) Process for precoating plasma CVD reactors
US6736147B2 (en) Semiconductor-processing device provided with a remote plasma source for self-cleaning
US7238616B2 (en) Photo-assisted method for semiconductor fabrication
US8349401B2 (en) Film formation apparatus and method for using same
US7163896B1 (en) Biased H2 etch process in deposition-etch-deposition gap fill
US20050178333A1 (en) System and method of CVD chamber cleaning
US20060144820A1 (en) Remote chamber methods for removing surface deposits
US20040137167A1 (en) Plasma enhanced pulsed layer deposition
TWI254363B (en) Chamber cleaning method
US20140272184A1 (en) Methods for maintaining clean etch rate and reducing particulate contamination with pecvd of amorphous silicon filims
JPH10144628A (ja) 薄膜の改良堆積法
EP1168427A1 (en) Method of plasma depositing silicon nitride
US20050133059A1 (en) Method for cleaning a plasma enhanced CVD chamber
US20200013627A1 (en) Remote Hydrogen Plasma Titanium Deposition to Enhance Selectivity and Film Uniformity
WO2013141370A1 (ja) 半導体装置の製造方法、基板処理方法、基板処理装置および記録媒体
EP0388957A2 (en) Process for depositing tantalum oxide film and chemical vapor deposition system used therefore
CN113710829A (zh) 高蚀刻选择性的低应力可灰化碳硬掩模

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20070629

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20100517

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100525

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100825

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20101130

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20101210

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110426

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20110722

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20110727

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20110819

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20110824

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110920

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20111129

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20111213

R150 Certificate of patent or registration of utility model

Ref document number: 4889138

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20141222

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term