JP2012506637A - 繰返し高圧・低圧洗浄ステップを含む遠隔プラズマ洗浄プロセス - Google Patents

繰返し高圧・低圧洗浄ステップを含む遠隔プラズマ洗浄プロセス Download PDF

Info

Publication number
JP2012506637A
JP2012506637A JP2011533219A JP2011533219A JP2012506637A JP 2012506637 A JP2012506637 A JP 2012506637A JP 2011533219 A JP2011533219 A JP 2011533219A JP 2011533219 A JP2011533219 A JP 2011533219A JP 2012506637 A JP2012506637 A JP 2012506637A
Authority
JP
Japan
Prior art keywords
substrate processing
processing chamber
high pressure
chamber
range
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2011533219A
Other languages
English (en)
Inventor
チョン, チャン ファ,
サンジャイ カマス,
ヨン, エス. リー,
エリー, ワイ. イー,
ヒエン−ミン, フゥー ル,
アンジャナ, エム. パテル,
スディール, アール. ゴンダレカール,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2012506637A publication Critical patent/JP2012506637A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0035Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching

Abstract

基板処理チャンバ中に配置された基板を処理した後で、基板処理チャンバの1つまたは複数の内側表面から不要な堆積物蓄積を除去する遠隔プラズマプロセス。一実施形態では、基板が基板処理チャンバから外に移送され、フッ素含有エッチャントガスの流れが遠隔プラズマ源の中へ導入され、そこで反応種が形成される。遠隔プラズマ源から基板処理チャンバへの反応種の連続的な流れが発生され、一方では、高圧力洗浄ステップと低圧力洗浄ステップのサイクルが繰り返される。高圧力洗浄ステップ中に、基板処理チャンバ内の圧力が4〜15トルの範囲に維持されている間、反応種は基板処理チャンバの中へ流される。低圧力洗浄ステップ中に、高圧力洗浄ステップで到達された高圧力の少なくとも50パーセントだけ基板処理チャンバの圧力を減少させながら、反応種が基板処理チャンバの中へ流される。

Description

関連出願の相互参照
この出願は、2008年10月22日に出願された米国特許仮出願第61/107,634号の優先権を主張する。出願第61/107,634号は、本明細書で参照してその全体が本明細書に組み込まれる。
現代の半導体デバイスの製造において主要なステップの1つは、シリコン酸化物層などの層を基板またはウェーハ上に形成することである。よく知られているように、そのような層は、化学気相成長法(CVD)によって堆積されることがある。従来の熱CVDプロセスでは、反応ガスが基板表面に供給され、そこで熱誘起化学反応が起こって所望の膜を形成する。従来のプラズマCVDプロセスでは、制御されたプラズマが、例えば無線周波(RF)エネルギーまたはマイクロ波エネルギーを使用して形成されて、反応ガス中の反応種を分解および/または高エネルギー化して所望の膜を生成する。
そのようなCVDプロセスの間に、処理チャンバの壁のような領域に不要な堆積も起こる。この産業ではよく知られているように、チャンバ壁の内側に蓄積した不要な堆積材料を現場チャンバ洗浄作業で除去するのが一般的である。一般的なチャンバ洗浄技術は、フッ素などのエッチャントガスを使用してチャンバ壁および他の領域から堆積材料を除去することを含む。いくつかのプロセスでは、エッチャントガスがチャンバの中に導入され、さらに、エッチャントガスが堆積材料と反応してチャンバ壁から堆積材料を除去するようにプラズマが形成される。そのような洗浄処置は、一般的に、堆積ステップと堆積ステップの間にウェーハごとに、またはn枚のウェーハごとに行われる。
いくつかの半導体製造は現場プラズマ洗浄の代替として遠隔プラズマ洗浄プロセスを用い、エッチャントプラズマがマイクロ波プラズマシステム、トロイダルプラズマ発生器または同様なデバイスのような高密度プラズマ源によって基板処理チャンバから遠く離れたところで発生される遠隔プラズマ洗浄処置が用いられることがある。エッチャントプラズマからの解離種は、次に、基板処理チャンバに輸送され、そこで、望まれていない堆積物蓄積と反応してその堆積物蓄積をエッチング除去することができる。遠隔プラズマ洗浄処置は、現場プラズマ洗浄よりも「よりソフトな」エッチングを実現するので、すなわちプラズマがチャンバ構成要素と接触しないためにチャンバ構成要素に対するイオン衝撃および/または物理的損傷がより少ないので、時には、製造業者によって使用されることもある。
本発明の実施形態は、例えば基板の上に材料層を堆積させることによって基板が基板処理チャンバ中で処理された後で、その基板処理チャンバの1つまたは複数の内側表面から不要な堆積物蓄積を除去する技術に関する。いくつかの以前に使用された遠隔プラズマ洗浄プロセスの1つの問題は、洗浄プロセスの間に発生される反応性フッ素種がチャンバの内側からエッチングされたシリコンと再結合して、洗浄プロセスの効率を害する四フッ化珪素(SiF)の気相副生成物を形成することであった。特に、SiFは、洗浄プロセスの間に発生される酸素種と気相で反応してSiO粒子を形成することができる。本発明の実施形態は、洗浄プロセスの間にチャンバ圧力を高圧力レベルと低圧力レベルの間で周期的に循環させることによってSiFの気相副生成物がポンプ排気される遠隔プラズマ洗浄プロセスに関する。
一実施形態に従って、基板が基板処理チャンバから外に移送された後で、フッ素含有エッチャントガスの流れが、遠隔プラズマ源の中へ導入され、反応種が遠隔プラズマ源中で形成される。遠隔プラズマ源から基板処理チャンバへの反応種の連続的な流れが生成され、一方では、基板処理チャンバ内で高圧力洗浄ステップと低圧力洗浄ステップのサイクルが繰り返される。高圧力洗浄ステップの間に、そのチャンバ内の圧力が4〜15トルの範囲に維持されている間ずっと反応種は基板処理チャンバの中へ流されている。低圧力洗浄ステップの間に、高圧力洗浄ステップで到達された高圧力の少なくとも50パーセントだけチャンバの圧力を下げながら、反応種は基板処理チャンバの中へ流される。いくつかの実施形態は、高圧力洗浄ステップと低圧力洗浄ステップのサイクルを少なくとも4回繰り返す。
いくつかの実施形態では、高圧力ステップの間、チャンバ圧力は4〜15トルの範囲にあり、低圧力ステップの間、チャンバ圧力は0.5〜4トルの範囲にある。いくつかの他の実施形態では、高圧力ステップでのチャンバ圧力は、5〜8トルの範囲にあり、低圧力ステップでは0.5〜2.5トルの範囲にある。いくつかの実施形態では、フッ素含有エッチャントガスは三フッ化窒素であり、その三フッ化窒素は、高圧力ステップの間、少なくとも毎分4リットルの率で遠隔プラズマ源の中へ導入される。
他の実施形態では、本発明のプロセスは、基板を基板処理チャンバから外に移送することと、その後で、(a)基板処理チャンバに流体で結合されている遠隔プラズマ源の中へフッ素含有エッチャントガスを流し、エッチャントガスから反応種を形成し、さらに反応種を基板処理チャンバの中へ輸送し、さらに、(b)フッ素含有エッチャントガスを遠隔プラズマチャンバの中へ連続的に流し、かつ反応種を基板処理チャンバの中へ連続的に輸送しながら、基板処理チャンバ内の圧力を第1の範囲内の高圧力と第2の範囲内の低圧力の間で、高圧力と低圧力の少なくとも2サイクルにわたって循環させることによって、不要な堆積物蓄積を除去することと、を含み、高圧力は低圧力よりも高い。
本発明のこれらおよび他の実施形態は、その有利点および特徴と共に、以下の本文および添付の図に関連してより詳細に説明される。
本発明の一実施形態に関連したステップを図示する流れ図である。 本発明の特定の一実施形態に従ったチャンバ圧力の経時的な変化を例示するグラフである。 図2Aに図示された洗浄プロセスに従ったSiF放出を時間にわたって図示するグラフである。 以前から知られている洗浄プロセスに従ったチャンバ圧力の経時的な変化を例示するグラフである。 図3Aに図示された洗浄プロセスに従ったSiF放出を時間にわたって図示するグラフである。 以前から知られている洗浄プロセスと本発明の実施形態に従った洗浄プロセスの洗浄率を時間にわたって比較するグラフである。 以前から知られている洗浄プロセスと本発明の実施形態に従った洗浄プロセスの洗浄率を時間にわたって比較するグラフである。 本発明の技術に従って行われた洗浄プロセスの試験結果を図示する。 従来技術に従って行われた洗浄プロセスの試験結果を図示する。 本発明の実施形態が使用されることがある代表的な基板処理システムを示す単純化された断面図である。
本発明の実施形態は、例えば基板の上にシリコン酸化物または同様な材料の層を堆積させることによって基板が基板処理チャンバ中で処理された後で、基板処理チャンバの1つまたは複数の内側表面から不要な堆積物蓄積を除去する技術に関する。フッ素は、遠隔プラズマ洗浄プロセスで一般に使用されるエッチャント種であり、三フッ化窒素(NF)は、そのようなプロセスでの一般的なフッ素供給源である。反応性エッチャント種の供給源として使用されるようなフッ素含有と、ドープまたはアンドープシリコン酸化物、シリコン窒化物または同様なものなどのシリコン含有材料とがチャンバの内側から除去される遠隔プラズマ洗浄の間に、洗浄プロセスで発生される反応性フッ素種は、チャンバの内側からエッチングされたシリコンと再結合して、洗浄プロセスの効率を害するSiF気相副生成物を形成する。SiFは、洗浄プロセスの間に発生される酸素種と気相で反応してSiO粒子を形成することができる。本発明の実施形態は、洗浄プロセスの間中チャンバ圧力を高圧力レベルと低圧力レベルの間で周期的に循環させることによって、チャンバおよび/または排気前配管内のSiFの累積を最小限にする。
標準的な一様な圧力の遠隔プラズマチャンバ洗浄の間に、SiFの分圧は、最初のうち時間の経過と共に大きくなり、遂にはピークか安定状態かに達し、それから、シリコン含有材料がほとんど除去されたような洗浄の終わり近くで低下する。この洗浄の間に、チャンバ内でのフッ素とシリコン含有材料の間の反応が逆方向に動いてシリコン酸化物または他のシリコン含有粒子を形成するほど十分な大きさに、SiFの分圧が、達するのを防ぐことが望ましい。本発明の実施形態では、低圧力サイクルの間に、過剰なSiFはチャンバおよび/または前配管から外にポンプ排気され、それによってSiFの分圧を低くし、さらに粒子形成の可能性を低くする。本発明の実施形態は、多くの異なる遠隔プラズマ洗浄プロセスに有用であるが、フッ素含有ガスの大流量、例えば毎分3.0リットル以上の流量が遠隔プラズマ発生器の中へ流されるプロセスで、特に有用である。そのような大流量プロセスは、より少ない流量の洗浄プロセスに比べてチャンバの実効洗浄率を高めるために、対応する多量の解離反応種を発生させてチャンバの中へ輸送する。
図1は、本発明の一実施形態に従ったステップを図示する流れ図である。図1に示されるように、基板堆積プロセスまたは他の型の基板処理ステップ(ステップ2)が基板処理チャンバ中で起こった後で、基板はチャンバから外に移送される(ステップ4)。次に、随意の現場プラズマ加熱ステップが達成され(ステップ6)、このステップでは、プラズマは、基板処理チャンバ内でアルゴンのような不活性ガスから形成される。プラズマは、基板処理チャンバを、遠隔プラズマ洗浄プロセスより前の先行基板処理作業(例えば、堆積ステップ)の温度より上の温度に加熱する。
いったんチャンバが適切な温度に加熱されると、現場プラズマは消され、基板処理チャンバに流体で結合されている遠隔プラズマチャンバの中でプラズマが発生される(ステップ8)。一実施形態では、NFを遠隔プラズマチャンバの中へ導入する前に、アルゴンまたは同様な不活性ガスの初期の流れで遠隔プラズマが引き起こされる。それから、NFが遠隔プラズマチャンバの中へ導入されるにつれて、アルゴンの流量は減少される。例として、遠隔プラズマは、3000sccmのアルゴンの流れで引き起こされることがあり、この3000sccmのアルゴンは、NFが1000sccmの初期流量で遠隔プラズマチャンバの中へ導入されそれから1500sccmの流れに増やされるときに、1000sccmにそれから500sccmに次第に減少される。一実施形態では、遠隔プラズマ起動ステップは、主洗浄ステップで使用される洗浄パワーの40〜70パーセントの範囲の洗浄パワーを使用する。チャンバ内のいくつかの不要な堆積材料蓄積は、ステップ8で除去されるが、洗浄プロセスの大部分は、以下で述べられるようにステップ10〜16の間に起こる。
次に、NFの流量は、最初の高圧力遠隔プラズマ洗浄ステップ(ステップ12)の間に高められる。一実施形態では、高圧力遠隔プラズマ洗浄ステップ12は、NFを8000〜14000sccmの範囲の流量で遠隔プラズマチャンバの中へ導入し、基板処理チャンバ内の圧力を4〜15トルの範囲に設定する。より大きなNF流量およびより高いチャンバ圧力は、一般に、より高い洗浄率に等しいが、チャンバ圧力が高すぎると、洗浄一様性が悪くなることがある。したがって、本発明のいくつかの実施形態は、ステップ12の間中、5〜8トルの範囲のチャンバ圧力を設定している。いくつかの実施形態では、スロットルバルブを適切な設定で使用することによって、圧力は、所望のレベルに維持される。また、高圧力での逆流を防ぐために、例えば25〜60sccmの範囲の小さなアルゴン流が、遠隔プラズマユニットをバイパスしてプロセスガスノズルを通して直接チャンバの中へ導入されることがある。
高圧力遠隔プラズマ洗浄ステップ12の間に、洗浄反応によるSiF副生成物がチャンバ内に蓄積し、したがってSiFの分圧は大きくなる。本発明の実施形態は、NFの流量を下げることおよび/またはスロットルバルブを完全に開くことの一方または両方によってチャンバ圧力を下げて洗浄ステップ12によるガス副生成物の除去を加速することによって、SiF分圧を下げる(ステップ14)。いくつかの実施形態では、NF流量は、ステップ12の間のNF流量の20〜50パーセントの範囲に落とされ、いくつかの実施形態では、ステップ12の終わりでのチャンバ圧力は、ステップ10の間に到達された高圧力の10〜50パーセントの範囲である。
一般に、ステップ12での圧力をできるだけ速く、できるだけ低い圧力レベルに下げることが望ましい。下げられたチャンバ圧力レベルで、洗浄効率は一時的に落ちる。ステップ12は、時間ベースか圧力ベースかのどちらかで終点指定されることがある。すなわち、いくつかの実施形態では、下げられた圧力の洗浄ステップ12は、X秒後に停止されるが、他の実施形態では、圧力がXトルに落ちると直ちに停止される。一実施形態では、ステップ12は、4〜8秒の範囲の選ばれた期間後に終点指定される。他の実施形態では、ステップ12は、0.5〜4トルの範囲の選ばれた圧力に達すると直ぐに終点指定される。さらに他の実施形態では、ステップ12は、0.5〜2.5トルの範囲の選ばれた圧力に達すると直ぐに終点指定される。全体的な高洗浄効率を維持するために、本発明の実施形態は、ステップ10に比べてステップ12の継続時間を最小限にする。いくつかの実施形態では、ステップ12の継続時間は、ステップ10の継続時間の10〜33パーセントの範囲にある。
ステップ12の後で、ステップ10とステップ12の高圧力サイクルと低圧力サイクルが、所望の程度のチャンバ洗浄が起こるまで1回または複数回繰り返される(ステップ14)。いくつかの実施形態では、ステップ10および12は少なくとも4回繰り返される。いくつかの実施形態では、洗浄プロセスは、ステップ14の後で完了する。他の実施形態では、米国特許第7,159,597号に述べられているようにチャンバを効果的に洗浄するために必要な洗浄ガスの量を下げるために拡散支配洗浄(ステップ16)の期間を可能にするように、NFの流量はステップ10の流量から落とされ、チャンバ圧力は、ステップ10のレベルとステップ12で到達された最低レベルとの間のどこかの下げられたレベルに設定される。米国特許第7,159,597号は、これによって参照してその全体が組み込まれる。留意されたいことであるが、図1は、ステップ16がステップ12の後に起こることを示しているが、いくつかの実施形態では、ステップ10の最終サイクルの直ぐ後に拡散支配洗浄ステップ16が続く。例えば、特定の一実施形態では、洗浄シーケンスは、ステップ2、4、6、8、10、12、10、12、10、12、10、12、10、16であることがある。
図2Aは、本発明の特定の一実施形態に従ったチャンバ圧力の経時的な変化を例示するグラフであり、チャンバ圧力はおおよそ9トルと2トルの間で循環されている。図2Aに示されるように、この特定の洗浄プロセスは、6つの低圧力サイクル12で分離された対応する数の高圧力サイクル10を含んでいる。図2Aは、各ステップ12の継続時間が、各ステップ10の継続時間よりも相当に短いことを示している。洗浄プロセスの終わり近くで、チャンバ圧力は、洗浄ステップ16に従って、延長された時間の間ずっとおおよそ6トルの下げられたレベルに設定されている。
図2Bは、当業者には知られているFTIR技術によって測定されるようなSiF放出を図示するグラフであり、このグラフは、図2Aに図示されたプロセスの間中にどんなに多くのSiFがチャンバ中にあるかを表している。線18の傾きは、ステップ10および12の繰返しプロセスの間の、チャンバが洗浄されている率を示す。本発明をさらに理解し評価するために、ここで図3Aおよび3Bが参照され、図3Aおよび3Bは、図2Aおよび2Bのグラフとそれぞれ同様なグラフであり以前から知られている洗浄プロセスを表し、この洗浄プロセスでは、最初の延長された長さの高レベル圧力洗浄ステップ20(図3A)が、図2Aに示されたプロセスのステップ16にほぼ対応する下げられたレベルの洗浄ステップ22より前に行われている。図3Bでは、線28の傾きは、ステップ20の間にチャンバが洗浄される率を表している。
図3Bを図2Bと比較すると、線18の傾きは、線28の傾きよりも大きく、このことは、本発明の技術に従って遠隔洗浄プロセスの間にチャンバ圧力を高から低に循環させることが、単に洗浄圧力をより高いレベルに保つよりも高い洗浄効率をもたらすことを意味している。図4Aおよび4Bは、さらに、以前から知られている洗浄プロセスに比べて本発明の実施形態のより高い洗浄効率を証明している。図4Aおよび4Bの各々に、高圧力ステップの間NFの流量が毎分12リットルに設定された3つの別個の洗浄プロセスの経時的な洗浄率が示されている。3つのプロセスには、ステップ22の圧力が9トル(図4A、プロセス30)か6トル(図4B、プロセス40)かのどちらかである、図3Aに示されたプロセスと同様な第1の以前から知られているプロセスと、ステップ10の圧力が9トル(図4A、プロセス32)か6トル(図4B、プロセス42)かのどちらかでありさらにステップ10と12が30秒ごとに繰り返される図2Aのプロセスと同様な第2のプロセスと、ステップ10の圧力が9トル(図4A、プロセス34)か6トル(図4B、プロセス44)かのどちらかでありさらにステップ10と12が15秒ごとに繰り返される図2Aのプロセスと同様な第2のプロセスとがある。プロセス32および34の洗浄率をプロセス30の洗浄率と比較し、さらにプロセス42および44の洗浄率をプロセス40の洗浄率と比較することから明らかなように、全ての例で、本発明の技術に従って行われた洗浄プロセスが、依然から知られている洗浄プロセスよりも高い洗浄効率であった。
本発明の利益のさらなる証明は、図5Aと図5Bの比較で示され、これらの図は、チャンバの様々な場所での洗浄率を表している。とりわけ、図5Aおよび5Bのデータを発生させるために、10個の別個のシリコン酸化物クーポンが、基板処理チャンバ内の図に書込みされた位置に配置され(位置1は、チャンバのスリットバルブの近くである)、図2Aの洗浄プロセスと同様な本発明の技術に従った洗浄プロセス(図5A)と、図2Bの洗浄プロセスと同様な以前から知られている洗浄プロセス(図5B)とに同一時間の間ずっとさらされた。それから、シリコン酸化物クーポンの厚さが各洗浄プロセスの完了後に測定されて、チャンバの様々な場所のクーポンからどんなに多くのシリコン酸化物が除去されたかが決定され、実際に除去された量が図5Aおよび5Bに示されている。図5Aと5Bで同じ場所で除去された材料の量を比較することによって、各チャンバ場所で、図5Aに関連した洗浄率が、図5Bに図示されたチャンバの対応する場所に関連した洗浄率よりも大きいことが示される。
チャンバが、遠隔で解離された反応種を、チャンバと流体でつながっている遠隔プラズマ源からチャンバの中へ輸送する機能を有するという条件で、本発明の実施形態は、いろいろな基板処理チャンバを使用して実現可能である。その上、随意のステップ6(図1)が用いられる場合には、チャンバは、チャンバ内にエッチャントプラズマ(現場プラズマ)を形成することによってチャンバ内に反応性エッチング種を生成する能力を有する必要がある。本発明の方法のいくつかの実施形態が実施されることがある誘導‐結合HDP‐CVDチャンバの例が、以下で明らかにされる。理解されるべきことであるが、以下のチャンバの説明は、熱CVDチャンバおよび、とりわけPECVDチャンバおよびECR‐HDPチャンバなどの他のプラズマチャンバを含めていろいろな他の堆積チャンバで、本発明の技術が、使用可能であるように、ただ例示する目的だけのためである。
図6は、本発明に従ったチャンバ洗浄技術が用いられることがある高密度プラズマ化学気相成長(HDP‐CVD)システム100の単純化された断面図である。CVDシステム100は、とりわけ、チャンバ本体102、基板支持台104(例えば、静電気チャック)、ガスノズル106、108、チャンバドーム110、遠隔プラズマ洗浄システム112、および真空システム114を含む。チャンバ本体102、チャンバドーム110および基板支持台104が組み合わさって処理領域116を画定し、この処理領域116に、化学気相成長作業などの基板処理作業の間、基板118が位置付けされている。便宜上、本発明に直接関係のないシステム100の数多くの特徴は、図6から省略されており、本明細書では議論されない。例えば、システム100は、処理ガスをガスノズル106、108に送り出すガス分配システム120並びに、チャンバの中に導入された処理ガスからチャンバ内でプラズマを形成するためのエネルギーを供給するようにチャンバに結合されている供給源およびバイアスプラズマシステム(示されていない)を含む。
真空システム114は、チャンバ102の下部を形成し、チャンバを真空システムに接合する本体部材126、およびスロットル本体128を含み、スロットル本体128は、3枚羽根スロットルバルブ130を収納し、ゲートバルブ132およびターボ分子ポンプ134に取り付けられており、ターボ分子ポンプ134は、基板処理作業の間、約1mトルくらいのチャンバ圧力の精密で安定した制御を可能にする。ゲートバルブ132は、スロットル本体128および処理領域116からポンプ134を分離することができる。
真空システム114は、また、追加の分離バルブ140および142、終点検出器144、追加のスロットルバルブ146、および粗引きポンプ148を含む。基板処理作業の間、分離バルブ140は閉じているが、ゲートバルブ132および分離バルブ142は開いている。ガスは、ポート152およびガス導管150aを通して前配管150の中へ排気される。基板処理作業の間、圧力はスロットルバルブ130によって制御される。チャンバ洗浄作業の間、ゲートバルブ132および分離バルブ142は閉じているが、バルブ140は開いている。洗浄ガスは、ポート154およびガス導管150bを通して前配管150の中へ排気される。チャンバ洗浄作業の間、圧力はスロットルバルブ146によって制御される。ガス導管150aおよび150bは、ガス前配管150の一部である。
チャンバ本体102、本体部材126、およびスロットル本体128は、一体化容器を形成するように互いに溶接されている。ポート154は、チャンバ100のほぼ同じ高さにある3つのポートのうちの1つである。他の2つのポートは、ポート154の左および右に90度のところにあり、したがって図6に示されていない。前述の3つのポートの各々は、ゲートバルブ132およびターボ分子ポンプ134の上流(基板処理およびチャンバ洗浄作業の間にチャンバの中へ入るまたはチャンバから外へ出るガス流に対して)にある。本発明のいくつかの実施形態では、示されていないポートは、一般に、圧力ゲージのようなデバイスまたはヘリウムガスのパージをチャンバ100に結合するために使用される。しかし、さらに大きなポンプ排気容量が利用される実施形態では、これらの追加のポートは、適切な取付け具およびバルブを使って前配管に直接結合されて、チャンバ洗浄作業の間ポート154を通る経路に加えて前配管に至るガス流路を実現し、それによって、チャンバ洗浄作業の間のチャンバ100のポンプ排気容量を高める。そのような前配管構成のさらなる詳細は、2009年5月14日に米国特許出願公開第2009/0120464号として公開された米国特許出願第12/265,641号に述べられており、この出願は、参照して本明細書に組み込まれる。
本発明のいくつかの実施形態を完全に説明したが、本発明の多くの他の同等物または代替実施形態が当業者に明らかであろう。例えば、本発明は、CVDチャンバの洗浄に関して説明されたが、適切な場合には、エッチングチャンバを含めて他の型のチャンバを洗浄するためにも使用可能である。また、上で明らかにされた例全てがエッチャントガスとしてNFを使用したが、他のエッチャントガスが他の実施形態で使用されることがある。そのようなものとして、上の説明は、例示的であり限定的でない。これらの同等物および/または代替物は、本発明の範囲内に含まれることが意図される。

Claims (15)

  1. 基板処理チャンバ中に配置された基板を処理した後で、前記基板処理チャンバの1つまたは複数の内側表面から不要な堆積物蓄積を除去するプロセスであって、
    前記基板を前記基板処理チャンバから外に移送することと、
    (a)前記基板処理チャンバに流体で結合されている遠隔プラズマ源の中へフッ素含有エッチャントガスを流して、前記エッチャントガスから反応種を形成し、前記反応種を前記基板処理チャンバの中へ輸送し、
    (b)前記フッ素含有エッチャントガスを前記遠隔プラズマチャンバの中へ連続的に流し、かつ前記反応種を前記基板処理チャンバの中へ連続的に輸送しながら、前記基板処理チャンバ内の圧力を第1の範囲内の高圧力と第2の範囲内の低圧力の間で、高圧力と低圧力の少なくとも2サイクルにわたって循環させる、
    ことによって、前記不要な堆積物蓄積を除去することと、
    を含み、前記高圧力が前記低圧力よりも高いプロセス。
  2. 前記高圧力が、15トル未満であり、前記低圧力が、前記高圧力の50パーセント以下である、請求項1に記載のプロセス。
  3. 前記高圧力が、4〜15トルの範囲にあり、前記低圧力が、0.5〜4トルの範囲にある、請求項1に記載のプロセス。
  4. 前記高圧力が、5〜8トルの範囲にあり、前記低圧力が、0.5〜2.5トルの範囲にある、請求項1に記載のプロセス。
  5. 前記基板処理チャンバ内の圧力が、前記高圧力サイクル中に前記第1の範囲内にあるとき、前記遠隔プラズマ源の中への前記フッ素含有ガスの流量が、少なくとも毎分4リットルである、請求項2に記載のプロセス。
  6. 前記フッ素含有エッチャントガスが、三フッ化窒素を含む、請求項1に記載のプロセス。
  7. 各低圧力サイクルの継続時間が、前記先行する高圧力ステップの継続時間の10〜33パーセントの範囲にある、請求項1に記載のプロセス。
  8. 前記エッチャントガスを前記遠隔プラズマ源の中へ流すより前に、不活性ガスから形成された現場プラズマで前記基板を加熱することをさらに含む、請求項1に記載のプロセス。
  9. 前記プロセス中に、前記高圧力ステップおよび低圧力ステップを少なくとも4回繰り返す、請求項1に記載のプロセス。
  10. 基板処理チャンバ中に配置された基板を処理した後で、前記基板処理チャンバの1つまたは複数の内側表面から不要な堆積物蓄積を除去するプロセスであって、
    前記基板を前記基板処理チャンバから外に移送することと、
    前記基板処理チャンバに流体で結合されている遠隔プラズマ源の中へフッ素含有エッチャントガスを流して、前記エッチャントガスから反応種を形成することと、
    (i)前記基板処理チャンバ内の圧力を4〜15トルの範囲に維持している間、前記反応種を前記基板処理チャンバの中へ流す高圧力洗浄ステップと、
    (ii)前記高圧力洗浄ステップで到達した高圧力の少なくとも50パーセントだけ前記基板処理チャンバの圧力を減少させながら、前記反応種を前記基板処理チャンバの中へ流す低圧力洗浄ステップと、
    のサイクルを複数回繰り返しながら、前記遠隔プラズマ源から前記基板処理チャンバへの前記反応種の連続的な流れを維持することと、
    を含むプロセス。
  11. 前記高圧力が、5〜8トルの範囲にあり、前記低圧力が、0.5〜2.5トルの範囲にある、請求項10に記載のプロセス。
  12. 前記基板処理チャンバ内の圧力が、前記高圧力サイクル中に前記第1の範囲内にあるとき、前記遠隔プラズマ源の中への前記フッ素含有ガスの流量が、少なくとも毎分4リットルである。
  13. 前記フッ素含有エッチャントガスが、三フッ化窒素を含む、請求項10に記載のプロセス。
  14. 前記高圧力ステップと低圧力ステップが、前記プロセス中に、少なくとも4回繰り返される、請求項10に記載のプロセス。
  15. 前記低圧力が、前記高圧力の10〜50パーセントの範囲にあり、前記高圧力洗浄ステップの継続時間の10〜33パーセントの範囲の継続時間を有する、請求項10に記載のプロセス。
JP2011533219A 2008-10-22 2009-10-07 繰返し高圧・低圧洗浄ステップを含む遠隔プラズマ洗浄プロセス Pending JP2012506637A (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US10763408P 2008-10-22 2008-10-22
US61/107,634 2008-10-22
US12/508,381 US7967913B2 (en) 2008-10-22 2009-07-23 Remote plasma clean process with cycled high and low pressure clean steps
US12/508,381 2009-07-23
PCT/US2009/059878 WO2010047953A2 (en) 2008-10-22 2009-10-07 A remote plasma clean process with cycled high and low pressure clean steps

Publications (1)

Publication Number Publication Date
JP2012506637A true JP2012506637A (ja) 2012-03-15

Family

ID=42107657

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2011533219A Pending JP2012506637A (ja) 2008-10-22 2009-10-07 繰返し高圧・低圧洗浄ステップを含む遠隔プラズマ洗浄プロセス

Country Status (6)

Country Link
US (1) US7967913B2 (ja)
JP (1) JP2012506637A (ja)
KR (1) KR101146063B1 (ja)
CN (1) CN102265387A (ja)
TW (1) TW201023235A (ja)
WO (1) WO2010047953A2 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20140038312A (ko) * 2012-09-20 2014-03-28 가부시키가이샤 히다치 고쿠사이 덴키 클리닝 방법, 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체
JP2022045355A (ja) * 2020-09-08 2022-03-18 ユ-ジーン テクノロジー カンパニー.リミテッド 基板処理装置及び基板処理装置の運用方法

Families Citing this family (310)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
KR20120034341A (ko) * 2010-10-01 2012-04-12 주식회사 원익아이피에스 기판처리장치의 세정방법
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
CN102867773B (zh) * 2011-07-06 2015-08-05 中国科学院微电子研究所 降低hdpcvd缺陷的方法
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
KR102069183B1 (ko) * 2012-03-20 2020-01-22 에이에스엠엘 네델란즈 비.브이. 라디칼을 운반하기 위한 배열체 및 방법
US8940099B2 (en) 2012-04-02 2015-01-27 Illinois Tool Works Inc. Reflow oven and methods of treating surfaces of the reflow oven
US9170051B2 (en) 2012-04-02 2015-10-27 Illinois Tool Works Inc. Reflow oven and methods of treating surfaces of the reflow oven
CN103374710B (zh) * 2012-04-28 2015-12-16 理想能源设备(上海)有限公司 反应腔的清洗方法、反应腔的清洗系统
CN103388127B (zh) * 2012-05-10 2016-04-13 上海华虹宏力半导体制造有限公司 高密度等离子体化学气相沉积设备腔体刻蚀清洗方法
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) * 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) * 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
TWI600786B (zh) 2013-05-01 2017-10-01 應用材料股份有限公司 用於腔室清潔或預清潔製程之鈷移除
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9478408B2 (en) 2014-06-06 2016-10-25 Lam Research Corporation Systems and methods for removing particles from a substrate processing chamber using RF plasma cycling and purging
US10081869B2 (en) 2014-06-10 2018-09-25 Lam Research Corporation Defect control in RF plasma substrate processing systems using DC bias voltage during movement of substrates
US10047438B2 (en) 2014-06-10 2018-08-14 Lam Research Corporation Defect control and stability of DC bias in RF plasma-based substrate processing systems using molecular reactive purge gas
US10192717B2 (en) 2014-07-21 2019-01-29 Applied Materials, Inc. Conditioning remote plasma source for enhanced performance having repeatable etch and deposition rates
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
WO2016171845A1 (en) * 2015-04-23 2016-10-27 Applied Materials, Inc. In-situ etch rate determination for chamber clean endpoint
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
TWI593473B (zh) * 2015-10-28 2017-08-01 漢辰科技股份有限公司 清潔靜電吸盤的方法
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US9981293B2 (en) 2016-04-21 2018-05-29 Mapper Lithography Ip B.V. Method and system for the removal and/or avoidance of contamination in charged particle beam systems
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10858727B2 (en) 2016-08-19 2020-12-08 Applied Materials, Inc. High density, low stress amorphous carbon film, and process and equipment for its deposition
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
CN106373851B (zh) * 2016-10-24 2018-06-26 上海华力微电子有限公司 一种优化晶圆环状缺陷的方法
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
SG11202009406RA (en) 2018-04-09 2020-10-29 Applied Materials Inc Carbon hard masks for patterning applications and methods related thereto
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
KR20210090279A (ko) * 2018-12-07 2021-07-19 어플라이드 머티어리얼스, 인코포레이티드 컴포넌트, 컴포넌트를 제조하는 방법, 및 컴포넌트를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
CN112309815B (zh) * 2019-07-26 2023-07-28 山东浪潮华光光电子股份有限公司 生产led外延片的mocvd系统维护保养后的恢复方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US20230230820A1 (en) * 2020-07-01 2023-07-20 Lam Research Corporation Intermittent stagnant flow
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
CN111850510A (zh) * 2020-07-30 2020-10-30 上海理想万里晖薄膜设备有限公司 用于pecvd设备的原位清洗方法及对应的pecvd设备
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
CN112813415A (zh) * 2020-12-31 2021-05-18 拓荆科技股份有限公司 腔体内的清洁方法
CN114752918A (zh) * 2021-01-08 2022-07-15 江苏鲁汶仪器有限公司 一种腔室的清洗方法
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001085418A (ja) * 1999-07-02 2001-03-30 Applied Materials Inc 処理チャンバのための遠隔式プラズマクリーニング方法
JP2007284793A (ja) * 2006-04-19 2007-11-01 Asm Japan Kk 炭素質膜のセルフクリーニング方法

Family Cites Families (57)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4138306A (en) 1976-08-31 1979-02-06 Tokyo Shibaura Electric Co., Ltd. Apparatus for the treatment of semiconductors
US4563367A (en) 1984-05-29 1986-01-07 Applied Materials, Inc. Apparatus and method for high rate deposition and etching
US5000113A (en) 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US5158644A (en) 1986-12-19 1992-10-27 Applied Materials, Inc. Reactor chamber self-cleaning process
US4960488A (en) * 1986-12-19 1990-10-02 Applied Materials, Inc. Reactor chamber self-cleaning process
US4913929A (en) 1987-04-21 1990-04-03 The Board Of Trustees Of The Leland Stanford Junior University Thermal/microwave remote plasma multiprocessing reactor and method of use
DE3725358A1 (de) 1987-07-30 1989-02-09 Telog Systems Gmbh Vorrichtung und verfahren zur oberflaechenbehandlung von materialien
JPH0732137B2 (ja) 1988-02-29 1995-04-10 東京エレクトロン東北株式会社 熱処理炉
JPH02125876A (ja) 1988-11-01 1990-05-14 Fujitsu Ltd Cvd装置の排気機構
US4988644A (en) 1989-05-23 1991-01-29 Texas Instruments Incorporated Method for etching semiconductor materials using a remote plasma generator
DE4132559A1 (de) 1991-09-30 1993-04-08 Siemens Ag Verfahren zur in-situ-reinigung von abscheidekammern durch plasmaaetzen
EP0537950B1 (en) 1991-10-17 1997-04-02 Applied Materials, Inc. Plasma reactor
EP0552491B1 (en) 1992-01-24 1998-07-15 Applied Materials, Inc. Plasma etch process and plasma processing reactor
JP3502096B2 (ja) 1992-06-22 2004-03-02 ラム リサーチ コーポレイション プラズマ処理装置内の残留物を除去するためのプラズマクリーニング方法
US5770098A (en) 1993-03-19 1998-06-23 Tokyo Electron Kabushiki Kaisha Etching process
US5662770A (en) 1993-04-16 1997-09-02 Micron Technology, Inc. Method and apparatus for improving etch uniformity in remote source plasma reactors with powered wafer chucks
US5350480A (en) 1993-07-23 1994-09-27 Aspect International, Inc. Surface cleaning and conditioning using hot neutral gas beam array
JPH0786242A (ja) * 1993-09-10 1995-03-31 Fujitsu Ltd 半導体装置の製造方法
US5403434A (en) 1994-01-06 1995-04-04 Texas Instruments Incorporated Low-temperature in-situ dry cleaning process for semiconductor wafer
EP0697467A1 (en) 1994-07-21 1996-02-21 Applied Materials, Inc. Method and apparatus for cleaning a deposition chamber
US5698469A (en) 1994-09-26 1997-12-16 Endgate Corporation Method of making a hybrid circuit with a chip having active devices with extra-chip interconnections
US5688357A (en) 1995-02-15 1997-11-18 Applied Materials, Inc. Automatic frequency tuning of an RF power source of an inductively coupled plasma reactor
TW283250B (en) 1995-07-10 1996-08-11 Watkins Johnson Co Plasma enhanced chemical processing reactor and method
TW294820B (en) 1995-07-10 1997-01-01 Watkins Johnson Co Gas distribution apparatus
JP3862305B2 (ja) 1995-10-23 2006-12-27 松下電器産業株式会社 不純物の導入方法及びその装置、並びに半導体装置の製造方法
US6170428B1 (en) 1996-07-15 2001-01-09 Applied Materials, Inc. Symmetric tunable inductively coupled HDP-CVD reactor
US5788778A (en) 1996-09-16 1998-08-04 Applied Komatsu Technology, Inc. Deposition chamber cleaning technique using a high power remote excitation source
US5939831A (en) 1996-11-13 1999-08-17 Applied Materials, Inc. Methods and apparatus for pre-stabilized plasma generation for microwave clean applications
US5812403A (en) 1996-11-13 1998-09-22 Applied Materials, Inc. Methods and apparatus for cleaning surfaces in a substrate processing system
US5844195A (en) 1996-11-18 1998-12-01 Applied Materials, Inc. Remote plasma source
US6055927A (en) 1997-01-14 2000-05-02 Applied Komatsu Technology, Inc. Apparatus and method for white powder reduction in silicon nitride deposition using remote plasma source cleaning technology
US5843239A (en) 1997-03-03 1998-12-01 Applied Materials, Inc. Two-step process for cleaning a substrate processing chamber
US6039834A (en) 1997-03-05 2000-03-21 Applied Materials, Inc. Apparatus and methods for upgraded substrate processing system with microwave plasma source
US6125859A (en) 1997-03-05 2000-10-03 Applied Materials, Inc. Method for improved cleaning of substrate processing systems
US6109206A (en) 1997-05-29 2000-08-29 Applied Materials, Inc. Remote plasma source for chamber cleaning
US6079426A (en) * 1997-07-02 2000-06-27 Applied Materials, Inc. Method and apparatus for determining the endpoint in a plasma cleaning process
US8075789B1 (en) 1997-07-11 2011-12-13 Applied Materials, Inc. Remote plasma cleaning source having reduced reactivity with a substrate processing chamber
US6379575B1 (en) 1997-10-21 2002-04-30 Applied Materials, Inc. Treatment of etching chambers using activated cleaning gas
TW452606B (en) 1997-12-05 2001-09-01 Samsung Electronics Co Ltd Method for cleaning inside of chamber using RF plasma
US6060400A (en) 1998-03-26 2000-05-09 The Research Foundation Of State University Of New York Highly selective chemical dry etching of silicon nitride over silicon and silicon dioxide
US6148832A (en) 1998-09-02 2000-11-21 Advanced Micro Devices, Inc. Method and apparatus for in-situ cleaning of polysilicon-coated quartz furnaces
US6374831B1 (en) * 1999-02-04 2002-04-23 Applied Materials, Inc. Accelerated plasma clean
US20020033183A1 (en) 1999-05-29 2002-03-21 Sheng Sun Method and apparatus for enhanced chamber cleaning
US6255222B1 (en) 1999-08-24 2001-07-03 Applied Materials, Inc. Method for removing residue from substrate processing chamber exhaust line for silicon-oxygen-carbon deposition process
KR100467082B1 (ko) 2000-03-02 2005-01-24 주성엔지니어링(주) 반도체소자 제조장치 및 그 클리닝방법
US6329297B1 (en) 2000-04-21 2001-12-11 Applied Materials, Inc. Dilute remote plasma clean
US6387207B1 (en) 2000-04-28 2002-05-14 Applied Materials, Inc. Integration of remote plasma generator with semiconductor processing chamber
US6418874B1 (en) 2000-05-25 2002-07-16 Applied Materials, Inc. Toroidal plasma source for plasma processing
US6835278B2 (en) 2000-07-07 2004-12-28 Mattson Technology Inc. Systems and methods for remote plasma clean
US6450117B1 (en) 2000-08-07 2002-09-17 Applied Materials, Inc. Directing a flow of gas in a substrate processing chamber
US6584987B1 (en) 2001-03-16 2003-07-01 Taiwan Semiconductor Manufacturing Company Method for improved cleaning in HDP-CVD process with reduced NF3 usage
US7159597B2 (en) * 2001-06-01 2007-01-09 Applied Materials, Inc. Multistep remote plasma clean process
US20040045577A1 (en) * 2002-09-10 2004-03-11 Bing Ji Cleaning of processing chambers with dilute NF3 plasmas
US20050258137A1 (en) * 2004-03-24 2005-11-24 Sawin Herbert H Remote chamber methods for removing surface deposits
US20060266288A1 (en) * 2005-05-27 2006-11-30 Applied Materials, Inc. High plasma utilization for remote plasma clean
US20090090382A1 (en) * 2007-10-05 2009-04-09 Asm Japan K.K. Method of self-cleaning of carbon-based film
US7964040B2 (en) 2007-11-08 2011-06-21 Applied Materials, Inc. Multi-port pumping system for substrate processing chambers

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001085418A (ja) * 1999-07-02 2001-03-30 Applied Materials Inc 処理チャンバのための遠隔式プラズマクリーニング方法
JP2007284793A (ja) * 2006-04-19 2007-11-01 Asm Japan Kk 炭素質膜のセルフクリーニング方法

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20140038312A (ko) * 2012-09-20 2014-03-28 가부시키가이샤 히다치 고쿠사이 덴키 클리닝 방법, 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체
KR101630016B1 (ko) * 2012-09-20 2016-06-13 가부시키가이샤 히다치 고쿠사이 덴키 클리닝 방법, 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체
US9653326B2 (en) 2012-09-20 2017-05-16 Hitachi Kokusai Electric Inc. Method of cleaning, method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
JP2022045355A (ja) * 2020-09-08 2022-03-18 ユ-ジーン テクノロジー カンパニー.リミテッド 基板処理装置及び基板処理装置の運用方法
JP7288702B2 (ja) 2020-09-08 2023-06-08 ユ-ジーン テクノロジー カンパニー.リミテッド 基板処理装置及び基板処理装置の運用方法

Also Published As

Publication number Publication date
CN102265387A (zh) 2011-11-30
WO2010047953A3 (en) 2010-06-17
US20100095979A1 (en) 2010-04-22
WO2010047953A2 (en) 2010-04-29
US7967913B2 (en) 2011-06-28
KR101146063B1 (ko) 2012-05-14
KR20110084265A (ko) 2011-07-21
TW201023235A (en) 2010-06-16

Similar Documents

Publication Publication Date Title
JP2012506637A (ja) 繰返し高圧・低圧洗浄ステップを含む遠隔プラズマ洗浄プロセス
KR101135811B1 (ko) 기판 처리 챔버용 다중 포트 펌핑 시스템
JP6796559B2 (ja) エッチング方法および残渣除去方法
TWI724801B (zh) 用於具有可重複蝕刻與沉積率之增進效能之調節遠端電漿源的方法
US6843858B2 (en) Method of cleaning a semiconductor processing chamber
US20040000321A1 (en) Chamber clean method using remote and in situ plasma cleaning systems
KR102425423B1 (ko) 펌핑 배기 시스템 내에서 배출물 축적을 감소시키기 위한 시스템들 및 방법들
US20030119328A1 (en) Plasma processing apparatus, and cleaning method therefor
US20170271176A1 (en) Substrate processing apparatus
US20050011445A1 (en) Apparatus and method for in-situ cleaning of a throttle valve in a CVD system
US20090233450A1 (en) Plasma etchimg method and plasma etching apparatus
US20060121211A1 (en) Chemical vapor deposition apparatus and chemical vapor deposition method using the same
US20240112896A1 (en) Removing metal contamination from surfaces of a processing chamber
US20090004877A1 (en) Substrate processing apparatus and semiconductor device manufacturing method
US20080268644A1 (en) Manufacturing method of semiconductor device and substrate processing apparatus
KR102297247B1 (ko) 처리 용기 내의 부재를 클리닝하는 방법, 반도체 장치의 제조 방법, 기판 처리 장치, 및 프로그램
US20220093365A1 (en) Atomic layer treatment process using metastable activated radical species
KR102476308B1 (ko) 공극들을 형성하기 위한 시스템들 및 방법들
US8021492B2 (en) Method of cleaning turbo pump and chamber/turbo pump clean process
WO2022182641A1 (en) Metal-based liner protection for high aspect ratio plasma etch
TW202035775A (zh) 清潔處理腔室的方法
TW201941283A (zh) 蝕刻方法
JP7047117B2 (ja) 半導体装置の製造方法、基板処理装置及び記録媒体
US6606802B2 (en) Cleaning efficiency improvement in a high density plasma process chamber using thermally hot gas
US10755941B2 (en) Self-limiting selective etching systems and methods

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20121003

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20131212

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20131224

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20140520