KR20010049697A - 프로세싱 챔버용 원격 플라즈마 클리닝 방법 - Google Patents

프로세싱 챔버용 원격 플라즈마 클리닝 방법 Download PDF

Info

Publication number
KR20010049697A
KR20010049697A KR1020000037676A KR20000037676A KR20010049697A KR 20010049697 A KR20010049697 A KR 20010049697A KR 1020000037676 A KR1020000037676 A KR 1020000037676A KR 20000037676 A KR20000037676 A KR 20000037676A KR 20010049697 A KR20010049697 A KR 20010049697A
Authority
KR
South Korea
Prior art keywords
chamber
processing chamber
gas
processing
reactive species
Prior art date
Application number
KR1020000037676A
Other languages
English (en)
Other versions
KR100743374B1 (ko
Inventor
라비 라자고팔란
파트리시아엠. 리우
프라빈케이. 나완칸
후옌티. 트란
파드마납한 크리시나라
알란 압라오
팀 카스퍼
터기트 사힌
치엔-테 카오
Original Assignee
조셉 제이. 스위니
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 조셉 제이. 스위니, 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 조셉 제이. 스위니
Publication of KR20010049697A publication Critical patent/KR20010049697A/ko
Application granted granted Critical
Publication of KR100743374B1 publication Critical patent/KR100743374B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/511Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using microwave discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J9/00Apparatus or processes specially adapted for the manufacture, installation, removal, maintenance of electric discharge tubes, discharge lamps, or parts thereof; Recovery of material from discharge tubes or lamps
    • H01J9/38Exhausting, degassing, filling, or cleaning vessels
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/905Cleaning of reaction chamber

Abstract

프로세싱 챔버 클리닝 방법은 프로세싱 챔버로부터 증착물을 제거하기 위해서, 혼자서 또는 불활성 가스와 조합해서 사용될 수 있는 반응종을 멀리서 발생하도록 마이크로파 에너지를 이용하는 것을 기술하고 있다. 반응종은 증착들을 제 1프로세싱 지역에서 제 1압력에서 제거할 수 있고 그리고 나서 증착들을 제 2프로세싱 지역에서 제 2압력에서 제거할 수 있다. 또한 단일 프로세싱 지역내에서 두 개의 다른 압력에서 멀리서 발생된 반응종을 이용하는 클리닝 프로세스를 기술한다. 부가적으로, 반응 가스와 불활성 가스의 다른 비율들은 클리닝 프로세스의 균일성을 개선하고, 클리닝 속도를 증가시키고, 반응종의 재조합을 줄이고 그리고 프로세싱 챔버에 제공된 반응종의 잔류 시간을 증가시키기 위하여 이용될 수 있다.

Description

프로세싱 챔버용 원격 플라즈마 클리닝 방법{REMOTE PLASMA CLEANING METHOD FOR PROCESSING CHAMBERS}
본 출원은 어플라이드 머티어리얼스, 인코포레이티드에 양도된, 1997년 7월 11일자 출원한 미국출원 제 08/893,922 호의 발명의 명칭이 "개선된 클리닝 프로세스"의 일부 연속출원이다.
본 발명은 일반적으로 프로세싱 반응기내의 축적된 프로세싱 부산물들 및 불필요한 증착들을 제거하는데 적합한 방법과 장치에 관한 것이다. 특히, 본 발명은 탄탈 펜타옥사이드 (Ta2O5)와 같은 탄탈 함유 필름의 증착후 웨이퍼 프로세싱 장치의 내부 부품의 클리닝을 위한 장치에 관한 것이다. 추가로, 기술한 방법은 반도체 장치와 평판 디스플레이의 제조에 유용한 다른 프로세스들에 의해 형성된 증착물을 제거하는데 적합하다.
보다 소형의 소자상에 보다 큰 대용량 집적회로( IC )에 대한 욕망은 현재의 64메가비트 드램을 256메가비트, 1기가 이상 범위의 메모리 소자로 교체하는데 큰 관심을 가진다. 동일 또는 소형 기판 폿프린트 장치상의 증가된 용량에 대한 이러한 필요성은 이산화실리콘(SiO2)과 같은 적층된 용량 포메이션에 이미 사용된 종래의 절연성 필름을 보다 높은 절연 상수를 가진 절연성 필름으로 교체하게 한다. Ta2O5와 같은, 높은 절연 상수 재료를 함유한 콘덴서는 대개 IC 제작에서 그들을 선택 물질로 하는 표준 SiO2-Si3N4-SiO2스택 콘덴서보다 더 큰 용량 밀도들을 가지고 있다. 높은 절연 상수 필름은 보다 소형의 콘덴서 영역을 허용하며, 차례로 트랜지스터의 공간을 보다 조밀하게 하고 트랜지스터의 밀도를 증가시킬 수 있다. 스택 콘덴서에 관심을 증가시키는 한 재료는 SiO2보다 6배 이상의 상대적 절연 상수를 가지는 탄탈 펜타옥사이드이다. 이 재료를 사용을 증가하고 확장함에 따라, 반복된 증착 사이클 후 프로세싱 챔버내에 축적하는 불필요한 증착물을 제거하는 개선된 인시튜 방법이 필요한다.
이용할 수 있는 클리닝 방법은 프로세싱 챔버로 배달되는 반응종을 생산하기 위하여 원격 플라즈마 발생기의 이용을 포함한다. 1995년 9월 12자 특허된 Hitachi의 미국 특허 제 5,449,411 호는 SiO2의 증착 전에 진공 챔버를 클리닝하기 위한 프로세스를 기술한다. C2F6, CF4, CHF3, CH6, F2, HF, Cl2, 또는 HCl과 같은 프로세스 가스의 마이크로파 플라즈마가 기술되어 있다. 이 특허는 R.F. 전계를 챔버내의 전극에 적용함으로써 클리닝 프로세스가 개선될 수 있는 것을 기술한다.
1998년 8월 4일자 특허된 어플라이드 코마츠 테크노롤지의 미국 특허 제 5,778,788호는 대략 3,000 내지 12,000 와트의 높은 전압 마이크로파 소오스 또는 약 12,000 와트/리터 내지 48,000 와트/리터의 원격 챔버내의 전력 밀도를 사용해서 선구 가스를 활성함으로써 전자 장치들을 제조하는데 사용되어지는 증착 챔버를 클리닝하기 위한 방법을 기술한다. 이 특허는 아르곤, 질소, 헬륨, 수소, 또한 산소와 같은 미량의 캐리어 가스가 챔버로 반응종을 운송하고, 클리닝 프로세스에 도움을 주거나 증착 챔버내의 플라즈마를 시작해서 안정하는데 사용될 수 있다는 것을 기술한다. 이 특허는 또한 챔버에 제공된 반응종을 더 여기시키는데 사용된 여기 소오스에 근거해서 챔버의 사용을 기술한다.
반도체 산업에 직면하는 다른 하나의 문제점은 프로세스 가스들에 의하여 발생된 배출 부산물들을 처리하는데 비용증가와 관련해서 프로세스 가스를 얻는데 비용이 증가하는 것이다. 클리닝 가스 NF3가 이 문제의 실례가 되는 예이다. 오래도록 우수한 클리닝 가스로서 인식되어온 NF3를 구입하는 비용이 꾸준하게 증가하고 있다. 필요한 것은 가스 소비의 전반적인 감소를 보다 효율적으로 가져오는 클리닝 가스를 이용하는 원격 플라즈마 챔버를 개선하는 것이다. 프로세스의 개선은 효과적인 플라즈마를 생산하거나 또는 챔버 증착물을 제거하기 위하여 여기(excitation)을 기초한 챔버를 필요로하지 않고 원격 마이크로파 여기 소오스만에 의존해야 했다.
가스 소비를 줄이면, 가스 공급비, CFC 발생 그리고 가스 처분 비용을 보다 낮춘다. 특별히, 개선되어진 방법이 Ta2O5와 같은 절연 필름과 다른 절연 필름에 대한 상업상 존재 가능한 클리닝 비율들을 제공할 수 있다.
도 1은 본 발명의 프로세싱 시스템의 단면 사시도.
도 2는 원격 플라즈마 어플리케이터 캐비티의 단면도.
도 3은 본 발명의 방법을 설명하는 블록선도.
도 4는 도 1의 A의 확대도.
도 5는 본 발명의 프로세싱 시스템의 단면사시도.
도 6은 도 4의 A의 확대도.
도 7은 본 발명의 원격 플라즈마 장치와 단일 볼륨 프로세싱의 단면사시도.
도 8은 본 발명의 방법을 설명하는 블록선도.
도 9는 클리닝 비율과 균일성면에서 아르곤 흐름의 효과를 설명하는 그래프.
도 10은 클리닝 비율과 균일성면에서 NF3흐름의 효과를 설명하는 그래프.
도 11은 클리닝 비율과 균일성면에서 챔버 압력의 효과를 설명하는 그래프.
도 12는 본 발명에 따른 클리닝 방법에 노출된 300 mm 웨이퍼의 두께 맵.
* 도면의 주요부분에 대한 부호의 설명 *
24 : 상부 내부 지역 26 : 하부 내부 지역
30 : 가스 분배판 32 : 샤워헤드
34 : 펌핑 판 38 : 간격
46, 48 : 공급부 60 : 플라즈마 발생기
62 : 마그네트론 66 : 도파관
68 : 터너 70 : 자동 터너 제어기
74 : 활성 볼륨
본 발명의 실시예는 프로세싱 챔버의 내면상에 형성된 프로세싱 챔버 증착물로부터 클리닝하는 방법으로서, 상기 프로세싱 챔버 내면은 제 1지역과 상기 제 1지역과 다른 제 2지역을 포함하며, 상기 방법은 반응종을 형성하도록 상기 프로세싱 챔버 외측에 있는, 불활성 가스와 클리닝 가스를 포함하는 가스 혼합물을 분리하는 단계와, 상기 반응종을 상기 프로세싱 챔버에 제공하는 단계와, 상기 반응종을 상기 프로세싱 챔버의 제 1지역내의 상기 증착물과 반응시키는 단계와, 상기 프로세싱 챔버의 제 1지역내에 형성된 상기 증착물로부터 휘발성 화합물을 형성하는 단계와, 상기 프로세싱 챔버로부터 상기 프로세싱 챔버의 제 1지역내에 형성된 상기 증착물로부터 휘발성 화합물을 제거하는 단계와, 상기 프로세싱 챔버의 제 1 및 제 2지역사이의 유체 연통을 증가시키는 단계와, 상기 반응종을 상기 프로세싱 챔버의 제 2지역내의 상기 증착물과 반응시키는 단계와, 상기 프로세싱 챔버의 제 2지역내에 형성된 상기 증착물로부터 휘발성 화합물을 형성하는 단계와, 상기 프로세싱 챔버로부터 상기 프로세싱 챔버의 제 2지역내에 형성된 상기 증착물로부터 휘발성 화합물을 제거하는 단계를 포함한다.
본 발명의 다른 실시예는 프로세싱 챔버내에 배치된 기판상에 수행된 증착 작업의 결과로서 프로세싱 챔버내에 형성된 증착물을 제거하는 방법에 관한 것으로, 상기 프로세싱 챔버로부터 분리된 원격 챔버내에서 클리닝 가스를 활성하여 상기 클리닝 가스로부터 반응종을 형성하는 단계와, 상기 반응종과 혼합하는 불활성 가스를 제공하여 반응종을 포함하는 가스 혼합물을 형성하는 단계와, 상기 가스 혼합물을 상기 프로세싱 챔버로 제공하면서 상기 프로세싱 챔버를 제 1압력으로 유지하는 단계와, 상기 반응종과 상기 증착물을 반응시켜 휘발성 화합물을 형성하는 단계와 그 후에 상기 제 1압력에서 형성된 상기 휘발성 화합물을 상기 프로세싱 챔버로부터 제거하는 단계와, 상기 반응종을 포함하는 가스 혼합물을 상기 프로세싱 챔버에 제공하면서 상기 프로세싱 챔버를 제 2압력으로 유지하는 단계와, 상기 반응종과 상기 증착물을 반응시켜 휘발성 화합물을 형성하는 단계와 그 후에 상기 제 2력에서 형성된 상기 휘발성 화합물을 상기 프로세싱 챔버로부터 제거하는 단계와, 상기 프로세싱 챔버내에 프로세싱 작업을 실시하여 상기 챔버내에 배치된 기판없이 상기 프로세싱 챔버의 내면상의 필름을 형성하는 단계를 포함한다.
[발명의 상세한 설명]
본 발명은 프로세싱 챔버의 내면상의 축적된 프로세싱 부산물을 제거하기 위한 새로운 인시튜(in-situ) 클리닝 프로세스에 관한 것이다. 기술한 실시예는 저항 가열식 CVD 챔버를 사용한다. 이런 형태 챔버의 한 예는 어플라이드 머티어리얼스, 인코포레이티드에 의해 제조된 xZ 스타일 싱글 웨이퍼 프로세싱 챔버이다. 기술한 챔버는 탄탈 펜타옥사이드(Ta2O5)의 열 증착과 같은 폭넓은 반도체 프로세싱 기술이 이용될 수 있는 모듈러 프로세싱 시스템의 일부분이다. 아래의 설명과 실시예가 필름을 포함하는 Ta2O5의 증착과 클리닝에 관련해서 기술하였을 지라도, 당업자라면 본 발명에서의 설명한 방법을 본 발명의 범주로부터 벗어나지 않고 상업상 이용가능한 프로세싱 시스템과 작업에 적용할 수 있을 것이라 생각한다..
약간의 예에서, 잘 알려진 반도체 프로세싱 설비 및 방법은 불필요하게 본 발명을 불명료할 우려가 있어 여기에 설명하지 않았다.
도 1은 프로세싱 챔버(10)와 플라즈마 발생기(60)를 포함하는 본 발명의 프로세싱 시스템(5)의 사시도이다. 프로세싱 챔버(10)는 함께 소기가능한, 온도 제어식 프로세싱 환경을 형성하는 리드(20)와 챔버 바디(22)로 구성되어 있다. 리드(20)와 챔버 바디(22)는 통상적으로 양호한 열특성을 가진 강성 재료로 만들어져 있다. 예를 들어, 리드(20)와 챔버 바디(22)는 알루미늄으로부터 형성될 수 있다.
도 1에 도시한 대표적인 실시예에서, 저항 가열식 기판 지지체(28)는 챔버(10)내에 제공되어 워크피스를 지지하고, (Ta (OC2H5)5) 또는 TAETO와 같은 탄탈 선구물질과 탄탈 테트라에쏘사이드 디메틸라미노에쏘사이드(Tantalum Tetraethoxide Dimethylaminoethoxide (Ta(OEt)3( OCH2CH2N(Me)2)), 또는 TAT-DMAE의 분해에 의한 Ta2O5의 증착과 같은, 열 증착 반응에 반응열을 제공한다. 기판 지지체(28)내의 저항 가열기(29)에 제공된 전력은 가열기 제어기(44)에 의해 조정된다. 가열기(29)에 대한 전력은 챔버(10)내에 수행되어질 바람직한 프로세싱 작업에 적절한 온도를 제공하도록 조정된다. 챔버(10)내의 기판 지지체(28)의 수직 위치는 리프트 기구(42)에 의해 제어된다. 지지 샤프트(41)은 기판 지지체(28)와 리프트 기구(42)를 결합한다. 챔버(10)내의 기판 지지체(28)의 위치 또는 간격은 샤워헤드(32)의 하면과 기판 지지체(28)의 상면상의 분리거리이다.
간격은 인치의 1000분의 1 또는 밀(mile)로 측정되며 보다 큰 간격은 샤워헤드(32)와 기판 지지체(28)의 분리거리의 증가를 가르킨다. 챔버(10)내의 부품들은 일반적으로 보호 코팅을 가지며 상당히 높은 온도의 노출에 견디는 내구성 재료로 제조되고 전자부품의 제조에 사용되는 종종 부식성인 화학 제품 환경에서 제조된다. 예를 들어, 샤워헤드(32)는 알루미늄으로 형성된다. 그래파이트와 세라믹 조성물은 종종 기판 지지체(28)와 지지샤프트(41)의 제조에 사용된다.
펌프(15)와 스로틀 밸브(14)는 챔버(10)를 비우는데 사용되고 챔버(10)내의 압력 규제 환경을 제공한다. 펌프(15)는 벽(22)의 주변에 위치되고 기판 지지체(28)를 둘러싸고 있는 펌핑 채널(40)과 연통한다. 펌핑 판(34)에는 챔버 내부 지역(24, 26)의 보다 균일한 유도와 배기를 제공하는 다수의 구멍(36)이 제공되어 있다. 간격(38)은 펌핑 판(34)로부터 기판 지지체(28)를 분리한다. 도 1의 대표적인 챔버(10)에서, 간격(38)은 약 0.112 인치 내지 0.088 인치이다. 그러므로, 기판 지지체(28)와 펌핑 판(34)은 챔버(10)의 내부를 상부 내부 지역(24)과 하부 내부 지역(26)으로 효과적으로 나눈다. 상부 내부 지역(24)은 또한 샤워헤드(32)와 가스 분배판(30)을 포함한다.
프로세싱 가스는 챔버(10)의 외측의 가스 공급부(46, 48)내에 저장되어 있다. 밸브 및 제어기(50)는 가스를 챔버(10)으로 유입하는데 사용된 매스 플루우 제어기와 같은 전자 측정 및 제어 시스템을 나타낸다. 밸브 및 제어기(50)으로부터, 공급부(46, 48)로부터 나온 가스는 내부 챔버 지역(24, 26)으로 들어가기전에 챔버 공급 파이프(52), 리드(20), 가스 분배판(30)과 샤워헤드(32)를 통해서 흐른다. 단일 밸브 및 제어기(50)을 사용해서 도시하였을 지라도, 가스 공급부(46, 48)은 또한 챔버(10)내에 소망의 프로세스에 의존해서 독립 밸브 및 제어기 유닛(50)에 의해 제어될 수 있다.
또한 가스를 활성하고 만들어진 반응종을 챔버 내부 지역(24, 26)에 제공하는 원격 플라즈마 발생 시스템(60)이 도 1에 도시되어 있다. 원격 플라즈마 발생기(60)의 한 장점은 원격 플라즈마 발생기(60)에 의해 발생된 플라즈마나 반응종을, 기판 지지체(28), 샤워헤드(32) 또는 펌핑 판(34)와 같은 내부 챔버 부품이 RF 에너지가 플라즈마를 생성하도록 내부 프로세싱 지역(24, 26)내에 가해질 때 대개 그 결과 생기는 이온 충돌을 받지 않고, 내부 챔버 프로세싱 지역(24, 26)내에서 클리닝 또는 프로세스 적용에 사용될 수 있다는 것이다. 먼거리 활성식 플라즈마에 의해 발생된 반응종은 내부 챔버 부품을 이온 충돌시키지 않으므로 손상이 덜한 것으로 생각된다.
여기 클리닝 방법을 근거한 약간의 챔버보다 본 발명의 원격 여기 클리닝 방법의 따른 장점은 원격 여기 클리닝 방법에서 온도와 같은 챔버 조건이 챔버내에 실시되어질 프로세스에 관련한 조건으로 또는 그에 가깝게 유지될 수 있다는 것이다. 예를 들면 챔버가 475℃에서 Ta2O5를 증착하는데 사용되는 본 발명의 대표 실시예에서, 원격 활성된 반응종은 동일한 온도에서 챔버에 제공될 수 있으므로, 증착 프로세스와 원격 활성된 클리닝 프로세스사이의 챔버 온도 변화에 필요한 여분의 시간을 제거할 수 있다.
도 1에 나타나는 원격 플라즈마 발생기(60)의 사시도를 다시 참조하면, 본 발명의 원격 플라즈마 발생기(60)의 부품과 작동을 보다 잘 이해할 수 있다. 마그네트론 어셈블리(62)는 마이크로파 에너지를 생성하는 마그네트론 관을 하우징한다. 대표적인 마그네트론 관(62)은 베인 배열을 가진 양극에 의해 둘러싸인 고온 필라멘트 원통형 음극으로 구성되어 있다. 이 양극/음극 조립체는 전원으로부터 DC 전압이 공급될 때 강한 자장을 생성한다. 이런 자장과 접촉하게 되어지는 전자는 양극과 음극사이로 이동할 때 순환 통로를 따라간다. 이런 순환 모션은 양극 베인사이에 전압 공명 또는 마이크로파를 유발한다. 안테나는 마그네트론 관(62)으로부터 절연체(64)와 도파관(66)까지 마이크로파를 채널한다. 절연체(64)는 마그네트론(62)의 손상을 방지하기 위해서 반사된 힘을 흡수해서 분산한다. 도파관(66)은 절연체(64)로부터 터너(68; tuner)로 마이크로파를 채널한다.
터너(68)는 마이크로파 캐비티(72)와 마그네트론(62)의 임페던스와 조화하여 도판관(66) 내측에 위치된 3개의 터닝 스터브의 수직 위치를 조정함으로써 최소의 반사된 힘을 얻는다. 터너(68)는 수동 또는 자동으로 조정될 수 있다. 자동 터너가 터너(68)로서 사용되면, 피드백 신호는 실제 전방향 파워를 설정점에 연속적으로 조화시키기 위해서 마그네트론 전원에 제공될 수 있다. 자동 터너 제어기(70)는 반사된 힘을 최소로 하도록 도판관(66)내의 터닝 스터드의 위치를 제어한다. 자동 터너 제어기(70)는 또한 스터드의 위치 디스플레이 뿐만 아니라 전방향 및 반사된 힘을 판독한다.
수동 터너가 사용되면, 스터브의 위치는 수동으로 설정될 수 있으며 반사된 힘을 최소화하도록 필요에 따라 조정될 수 있다. 수동 터너 제어기보다 더 고가일지라도, 자동 터너 제어기는 예를 들어, NF3와 같은 클리닝 가스로 플라즈마를 타격하고 지탱할 수 있다. 일반적으로 단지 클리닝 가스로 플라즈마를 타격하고 지탱하는 것이 보다 어렵기 때문에, 자동 터너 제어기가 요구된다. 수동 터너에서, 여분의 플라즈마 초기 단계는 불활성 가스가 원격 장치를 통해서 흐려서 플라즈마를 타격하는 곳에서 필요할 수 있다. 플라즈마가 어플리케이터내에서 불활성 가스로 형성되면, 클리닝 가스는 유입된다. 최종적으로, 클리닝 가스의 유속이 소망의 흐름 상태로 증가되면 불활성 가스 흐름은 소망의 가스 흐름과 또는 가스 조성물이 얻어질 때까지 감소된다.
마이크로파 어플리케이터 캐비티(72)의 단면을 도시하는 도 2를 참조하면, 여기에 공급선(78)로부터 나온 가스는 마그네트론(62)으로부터 나온 마이크로파 에너지에 노출된다. 어플리케이터(72)는 다른 원통형 석영 튜브(75)내에 위치된 원통형 사파이어 튜브(76)를 가진다. 튜브(75, 76)의 과열과 손상을 방지하기 위해서, 냉각수는 튜브(75, 76)를 분리하는 냉각수 채널(77)에 제공된다. 터너(68)를 나오는 마이크로파 에너지는 어플레케이터(72)에 결합되고, 배출하는 마이크로파가 튜브(75, 76)를 향해 안내되도록 위치설정된 마이크로파 채널(71)을 통해서 이동한다. 활성 볼륨(73)은 채널(71)과 사파이어 튜브(76)의 단면의 교차에 의해서 형성된다. 예를 들어, 활성 볼륨(73)은 한 실시예에서 약 4.733 X 10-3리터이며, 이 실시예에서 마이크로파 채널(71)은 약 3.4인치의 높이와 약 1.7인치의 폭을 가진 직사각형 단면을 가지며 반면에 튜브(76)는 1.04인치의 내경을 가진다. 약 1400 - 3200 와트 사이의 대표적인 마이크로파 파워 세팅은 활성 볼륨(73)내에 676,100 W/L 내지 295,800 W/L의 전력 밀도를 가져온다. 전력 밀도는 측정가능하며 이용된 마이크로파 전력과 시스템의 특정 기하학 형상에 따라서 변경될 수 있을 것이다. 직사각형과 원통형으로서 도시되어도, 당업자는 마이크로파 채널(71) 뿐만 아니라 튜브(75, 76)내에 다른 형상이 사용될 수 있다는 것을 인식할 수 있다. 튜브(75, 76)가 제각기 사파이어와 석영으로 제조된 것으로 기술할 지라도 튜브(75, 76)는 마이크로파 에너지에 노출할 수 있는 다른 적당한 재료로 형성될 수 있다. 추가로, 내부 튜브(76)는 공급선(78)로부터 제공된 가스에 불활성일 수 있다.
가스 공급선(78)을 통해서 공급된 가스는 마이크로파 어플리케이터(72)내의 수냉 사파이어 튜브(76)으로 들어간다. 마이크로파 에너지를 받은 가스는 이온화되어 다음에 프로세싱 챔버(10)내의 클리닝 및 프로세싱 작업에 사용될 수 있는 반응종을 생성한다. 예를 들어, 이런 이런 클리닝 가스중 하나는 NF3이며, 이는 기판이 챔버(10)내에 존재하지 않을 때 프로세싱 챔버 내부 지역(24, 26)을 클리닝하기 위한 반응성 불소를 공급하는데 사용될 수 있다. 마이크로파 파워 레벨은 생성되어지는 반응종의 량을 제한하는 한 수단이다. 예를 들어, 약 3500 W의 마이크로파 파워 레벨은 약 1700 sccm의 NF3를 완전히 분해할 수 있다. 1700 sccm 이상의 가스 흐름은 불완전한 클리닝 가스 분해를 가져올 수 있다. 시각인 플라즈마 센서(74)가 캐비티(72)내의 플라즈마의 존재를 검출한다. 마이크로파 어플리케이터 캐비티(72)내에서 발생된 반응종은 챔버 공급선(88)을 통해서 챔버(10)로 공급된다.
도 1를 다시 참조하면, 챔버 공급선(88)내의 반응종은 온/오프 밸브 또는 변환기 밸브 시스템의 부분일 수 있는 제어 밸브(80)를 통과한다. 밸브(90)내에 변환기를 사용하면 원격 플라즈마 발생기의 연속된 작업을 허용하지만 반응종이 챔버(10)에 제공되어질 필요는 없다. 제어 밸브(90)를 한번 통과하면, 원격 플라즈마 발생기(60)으로부터 나온 반응종은 챔버 내부 지역(24, 26)에 들어가기 전에 가스 공급선(52), 리드(20), 가스 분배판(30)과 샤워헤드(32)를 통해서 흐른다.
원격 플라즈마 발생기(60)내에서 분해되어질 가스들은 가스 공급부(86, 84)내에 저장되어 있다. 밸브 및 제어 기구(80, 82)는 제각기 가스 공급부(86, 84)용 전자 흐름 제어 유닛을 나타낸다. 밸브 및 흐름 제어 기구(80, 82)의 출력 설정점은 사용자에 의해 결정되며 이렇게 만든 가스 흐름 출력은 공급 파이프(78)를 통해서 마이크로파 어플리케이터 캐비티(72)에 제공된다. 본 발명에 따라서, 가스 공급부(84)는 챔버(10)내에 형성된 증착물의 제거를 위한 반응종으로 분해되어지는 클리닝 가스의 소오스일 수 있다. 본 발명이 NF3의 사용에 대해서 기술되어 있어도, 반응 가스 또는 클리닝 가스는 폭 넓은 할로겐 및 할로겐 화합물로부터 선택될 수 있다. 예를 들면, 반응 가스가 염소, 불소 또는 이들의 화합물일 수 있으며, 이들 예는 NF3, CF4, SF6, C2F6, CCl4, C2Cl6이다. 반응 가스 선택은 제거되어질 물질에 좌우될 것이다. 예를 들어, 반응 불소는 본 발명의 대표적인 실시예에서 설명한 바와 같이 Ta2O5의 축적물을 제거 또는 클리닝하는데 사용될 수 있다.
또한 본 발명에 따라서, 가스 공급부(86)는 두 개의 목적으로 불활성 가스의 소오스이다. 첫번째, 수동 터너(60)를 가진 이들 마이크로파 발생기 장치(60)에 대해서, 불활성 가스는 마이크로파 어플리케이터 캐비티(72)내의 플라즈마를 시작하는데 사용된다. 두번째, 아래에 기술한 비율에 따라서, 불활성 가스는 반응종의 재조합을 방지함으로써 챔버(10)에 도달하는 반응종의 수를 증가하기 위해서 반응 가스와 동시에 흐른다. 또한 불활성 가스의 추가는 챔버(10)내의 이들 반응종의 잔류 시간을 증가한다. 불활성 가스와 클리닝 또는 반응 가스 사이의 비가 유속에 대해서 기술되어 있어도, 클리닝 가스 대 불활성 가스의 비는 또한 챔버(10)에 제공된 각 가스의 량에 대해서 기술하는 어느 다른 수단에 의해서도 결정될 수 있다.
가스 공급부(78)가 어플리케이터(72)를 통해서 불활성 가스를 흐름시키는 것으로 도 1, 도 5 및 도 7에 도시되어 있을 지라도, 불활성 가스는 공급부(88)에서 반응종의 흐름에 제공될 수도 있다. 어플리케이터(72)의 하류의 반응종의 흐름에 불활성 가스를 제공하면 클리닝 가스만이 어플리케이터(72)를 통해서 흐르기 때문에 어플리케이터(72)내의 클리닝 가스 흐름에 가해진 파워 량을 증가시키는 추가의 장점을 가진다.
본 발명은 도 1에 도시한 바와 같이 원격 플라즈마 발생 소오스와 연관해서 작동하도록 개량된 프로세싱 챔버내에서 실시될 수 있다. 도 3은 본 발명의 새로운 클리닝 프로세스를 설명하는 블록선도(300)를 포함한다. 원격 플라즈마 클리닝 프로세스는 도 3의 블록(302-308)에 설명되어 있다. 설명을 위해서, 본 발명의 방법은 도 1의 프로세싱 시스템(5)과 유사한 프로세싱 시스템에 대해서 실시한 것으로 기술될 것이다. 따라서, 도 1에 도시한 참조부호와 부품은 아래의 설명에서 사용되어질 것이다.
블록(301)에서 설명한 바와 같이 본 발명의 제 1단계는 프로세싱 챔버내에서 증착물의 형성을 가져오는 프로세싱 작업을 실시하는 것이다. 이 설명에서, 기술한 프로세싱 작업은 약 450℃에서 산화제와 탄탈 선구물질을 혼합함으로써 탄탈 펜타옥사이드의 열 증착에 대한 것이다. 기판은 저항 가열식 기판 지지체상에 놓여 있고 가열기 제어기(44)는 가열기(29)에 제공된 전력을 조정하여 약 450℃의 적당한 프로세싱 온도로 기판을 유지한다. 리프트 기구(42)는 기판 지지체(28)를 위치설정시키고 예들 들어 400 밀스의 간격으로 샤워헤드(32)에 대해서 챔버(10)내의 웨이퍼를 위치설정시킨다. 도 1의 가스 공급부(46, 48)는 TAT-DMAE, 또는 TAETO와 같은 탄탈 선구물질과 산소 또는 아산화질소(nitrous oxide)와 같은 산화 가스를 포함한다. N2와 같은 불활성 가스는 탄탈 선구물질에 대한 캐리어 가스로서 사용될 수 있다. 밸브 및 제어기 기구(50)는 탄탈과 산화 가스를 혼합하고 이들의 유속을 조정하고 이들을 챔버로 운반한다. 지지체(28)상에 위치설정된 기판의 온도는 유입 가스 흐름으로부터 탄탈 펜타옥사이드를 형성하는데 충분한다.
약간의 프로세스는 각 기판이 처리되어진 후 클리닝을 필요로 한다. 다른 프로세스는 연속적인 일련의 프로세스 과정 후에 주기적 클리닝을 한다. 주기적 클리닝의 한 예는 각 기판이 Ta2O5필름의 약 100Å로 처리되어지는 Ta2O5의 증착이다. 이 방법으로 많은 수의 기판이 처리되어 진후, 챔버는 마지막 기판이 챔버로부터 제거되어진 후 클리닝된다. Ta2O5에 대한 전형적인 프로세싱 사이클은 각각이 100Å로 500 웨이퍼를 처리한 후이거나, 변경적으로 증착된 필름의 1마이크론 마다 한번씩 챔버를 클리닝한다.
다른 층착 챔버와 유사하게, 챔버(10)는 챔버내에 위치설정된 기판상에 우선적으로 필름을 증착하도록 설계되어 있다. 증착은 또한 프로세스 가스를 반응하기에 충분한 온도로 내부 지역(24, 26)내에서 다른 기판상에도 일어날 것이다. 활성화하거나 반응을 일으키기 위한 열적 에너지를 제외한 수단을 전혀 가지지 않는 챔버(10)과 같은 저항 가열식 증착 챔버에서, 증착물은 샤워헤드(32)의 하면, 펌핑 판(34)의 상면 및 제 1내부 지역(24)의 하면과 같은 저항 가열식 기판 지지체에 인접하기 때문에 이들 표면상에서 가열되는 것이 예상된다. 기판 지지체(28)가 처리되어질 기판보다 크기 때문에, 증착은 또한 처리되어지는 웨이퍼에 의해 덮어지지 않은 기판 지지체(28)의 상면의 외주변상에서도 일어날 것이다. 주기적인 클리닝 프로세스 사이클에서, 축적물은 웨이퍼 프로세스의 수와 각 웨이퍼상에 증착된 필름의 두께에 따라서 변한다.
도 4는 상술한 증착 프로세스가 일어난 후 간격(38)에 의해 분리된 기판 지지체(28)과 펌핑 판(34)의 에지의 확대한 도 1의 A의 확대도이다. 일반적으로, 도 4는 특정 축적물이 일어날 수 있는 챔버를 도시한다. 대표적인 주기적 클리닝 프로세스에서, Ta2O5의 증착의 예에서, 각각 Ta2O5의 100 Å층으로 일련의 100 웨이퍼를 처리한다. 이런 프로세싱 사이클은 기판 지지체(28)에 가장 가까운 펌핑 판(34)의 에지와 노출 지역상에 약 200Å의 가장 높은 축적물을 야기한다. 다른 챔버 부품상의 축적물의 두께는 부품의 온도와 프로세스 가스와의 노출 정도에 따라 변한다.
펌핑 판(34)과 기판 지지체(28)에 의해서 챔버(10)를 내부 지역(24, 26)으로 분활하는 것은 내부 챔버 부품의 상호관계에 의한 어떠한 챔버 내부 지역의 방해를 가져온다. 그러므로, 펌핑 판(34)과 기판 지지체(28) 사이의 좁은 간격(38)은 내부 지역(24, 26)을 발생한다. 좁은 간격(38)은 내부 지역(24, 26) 사이의 감소된 유체 연통의 구역이다. 좁은 간격(38)은 프로세싱 챔버(10)내에 일어나는 대표적인 상호간섭이므로 양호한 증착 지역(24)을 벗어난 챔버 내부의 반응 상태는 유사하지 않을 것이다. 간격(38)과 유사한 방해와 제한은 챔버(10)와 같은 저항 가열식 챔버에 국한되지 않고 다른 프로세싱 챔버에도 마찬가지로 발견된다. 방해가 프로세싱 구역내의 여러 지역을 형성하는 다른 프로세싱 장치의 예는, 수평 피드 튜브 반응기의 수평 위치설정 기구의 부분들이, 튜브 반응기내에서 이용된 석영 웨이퍼 보트가 보트를 이동하는 장치에 의해 차단된 튜브의 부분들을 방해하는 방식으로, 반응기내에 사용된 석영 보트에 의해 방해 받는 것; 약간의 에칭 프로세스에서 사용된 새도우 링이 에칭된 재료에 노출되어 이를 축적할 수 있는 거꾸로된 표면과 반응제 가스에 노출되지 않는 표면을 가지는 것: 기판 핸들링 시스템이 프로세싱 챔버의 부분들을 방해하거나 그렇지 않으면 제한할 수 있는 리프트 기구를 가져올 수 있다는 것이다.
그래서, 도 1의 특정 실시예에서, 챔버(10)는 제 1 내부 지역(24)과 제 2 내부 지역(26)으로 나누어져 있다. 펌핑 판(34)과 거의 평면인 기판 지지체(28)와의 간격(38)의 결과로, 제 1 내부 지역(24)의 효과적인 챔버 볼륨은 약 0.6402 L이다.
도 3의 흐름도(300)에서, 블록(302)에서 설명한 바와 같이, 프로세싱 챔버의 외측에 놓여진 원격 플라즈마 발생기내에서 플라즈마를 시작한다. 여기서 기판은 챔버(10)내에 없다. 상술한 바와 같이, 플라즈마 시작 가스는 수동 터너 제어기를 가진 원격 플라즈마 시스템(60)내에서 이용될 수 있다. 자동 터너 제어기를 가진 원격 플라즈마 시스템(60)의 경우에, 단계(302)는 지탱가능한 플라즈마가 선택된 클리닝 가스로부터 바로 시작될 수 있기 때문에 필요하지 않다. 플라즈마 시작 가스는 예를 들어 He, Ne, Xe 및 Ar과 같은 VIII 족 가스 또는 N2와 같은 불활성 가스, 또는 플라즈마 시작에 적합한 다른 가스중 어느 것이나 포함할 수 있다. 여기서 불활성 가스 또는 플라즈마 시작 가스는 상술한 파워 레벨에서 마이크로파 에너지에 노출될 때 상당히 분해되지 않는 가스로 언급된다. 특정 실시예에서, 가스 공급부(86)는 Ar를 포함하며 밸브 및 제어 기구(80)는 공급선(76)을 통해서 마이크로파 어플리케이터 캐비티(72)로 흐르는 약 600 sccm으로 Ar의 흐름을 조정한다.
어플리케이터 캐비티(72)내측에 가스 스트림은 냉수 어플리케이터 튜브를 통과하며, 여기서 가스는 마그네트론 어셈블리(62)에 의해 발생된 100W 이상 양호하게 약 1400 와트 내지 3200 와트의 마이크로파 에너지 레벨에 노출된다. 도 1의 챔버(10)와 상술한 가스 흐름에 적합한 대표적인 마이크로파 에너지 레벨은 약 1400와트이다. Ar이 플라즈마를 시작하는데 사용되는 실시예에서, 마이크로파 에너지는 사파이어 튜브를 통과하고 Ar 플라즈마는 마이크로파 어플리케이터 캐비티(72)내에서 발생된다. 플라즈마는 원격 플라즈마 공급선(88), 제어 밸브(90), 가스 공급선(52)과 챔버(10)로 흐르는 높은 에너지 또는 내부적으로 고온의 Ar을 만든다. 챔버(10)는 약 2.0 토르에서 유지되며 반면에 플라즈마는 마이크로파 어플리케이터 캐비티(72)내에서 만들어진다. 수동 터너를 가진 이들 시스템에서, Ar과 같은 불활성 가스를 사용해서 원격 플라즈마를 시작해서 안정한 높은 에너지 Ar 흐름을 챔버에서 얻는 통상적인 시간은 약 10초 이하이다.
다음으로 블록(303)에서 설명한 바와 같이, 원격 챔버내의 가스를 활성해서 반응종을 형성한다. 가스 공급부(84)는 Cl2, HCl, ClF3, NF3, SF6, F2그리고 HF와 같은 할로겐 베어링 가스를 포함한다. 자동 터너를 가진 원격 장치(60)의 경우에, 단계(302)와 단계(303)는 활성되어질 가스가 또한 플라즈마를 시작하는데 사용될 수 있기 때문에 함께 실행될 수 있다. 어플리케이터 캐비티(72)내에 플라즈마를 유지하면서, 활성화되어질 가스의 유량은 가스를 공급선(78)을 통해서 마이크로파 어플리케이터 캐비티(72)로 흘려보내도록 허용하는 밸브 및 제어기 기구(82)에 의해 제공된다. 어플리케이터 캐비티(72) 내측에, 가스 스트림은 수냉 사파이어 어플리케이터 튜브를 통과하며, 여기서 클리닝 가스 스트림은 마그레트론 조립체(62)와 시작된 플라즈마에 의해 발생된 마이크로파 에너지에 노출된다. 대표적인 마이크로파 에너지 레벨은 약 1400와트이다. NF3이 클리닝 가스로 사용되는 경우의 실시예에서, 어플리케이터 캐비티(72)의 분해는 반응성 F, 약간의 N2, 그리고 미량의 NF와 F2를 생성한다. NF3을 이용하면, 낮은 분해 에너지와 각 개별 NF3분자로부터 다중 반응 불소 종의 생산과 같은 특별한 이점들이 있다.
다음으로 블록(304)에서 설명한 바와 같이, 프로세싱 챔버의 내부 지역에 불활성 가스와 반응종의 혼합물을 제공한다. 마이크로파 어플리케이터 캐비티(72)가 챔버(10)에 멀리 위치되어 있기 때문에, 원격 플라즈마 시스템(60)에 의해 발생된 반응종은 챔버 공급선(88)을 따라 약간의 거리를 흐려서 챔버(10)에 도달한다. 결국, 어플리케이터 캐비티(72)에서 분해에 의해 생성된 반응종은 챔버(10)로 흐르면서 충돌하여 재조합할 수 있다. 챔버(10)내에 형성된 증착물을 제거하기 위해서 반응종을 제공하는 대신에, 재조합되고 보다 적은 반응종은 챔버(10)에 제공된다. 어플리케이터(72)내에서 NF3이 활성화되는 실시예에서, 반응성 F는 재조합되고 대신에 F2와 NF2를 챔버(10)에 제공한다. 그러므로, 챔버(10)전에서의 반응종의 재조합은 원격 플라즈마 발생 시스템(60)의 효율을 감소하여 반응종을 챔버 내부 지역(24, 26)으로 제공한다. 반응종과 같이 불활성 가스를 제공하면 챔버(10) 도중의 분자 충돌이 반응종과 불활성 가스사이에서 일어날 가능성을 증가함으로써 반응종 재조합의 가능성을 줄인다.
Ar이 불활성 가스이고 NF3이 클리닝 가스인 대표적인 실시예에서, 각 유량은 약 200 sccm NF3과 약 400 sccm Ar으로 조정될 수 있다. 불활성 가스와 반응 가스사이의 2:1 비율을 유지하면 반응 가스의 분해에 의해 생성된 반응종이 재조합할 가능성을 줄인다. 최상의 반응 가스 대 불활성 가스의 비율은 또한 사용된 특정 원격 플라즈마 발생기의 특성과 사용된 반응 가스의 형태에 근거해서 변할 수 있다. 양호한 결과들은 반응 가스 대 불활성 가스의 비율이 상술한 2 : 1의 약 25%내에 유지될 때 성취된다.
다음으로 블록(305)에서 설명한 바와 같이, 프로세싱 챔버내에서 압력을 조정한다. 일반적으로, 챔버 압력의 관점은 보다 높은 압력이 챔버내의 반응종의 잔류 시간을 감소하는 경향이 있다는 것이다. 그러나, 클리닝이 처음으로 시작할 때, 증착물의 가장 많은 량이 지역(24)내에서 존재한다. 활성 종이 더 짧은 잔류 시간과 보통의 자유 통로를 가지고 있어도, 증착물과 반응해서 제거하는 활성종 중 대부분은 높다. 한편 낮은 압력이 보통의 자유 통로와 잔류 시간을 길게 하는 경향이 있으므로, 챔버의 내부 영역에서의 반응종의 분산을 허용하는 것이다. 본 발명의 특정 실시예에서, 약 2.0토르의 챔버 압력은 챔버의 벽(22) 또는 외부보다 챔버의 중심부 또는 기판 지지체(28)상에 보다 높은 클리닝 속도를 야기한다.
다음으로 블록(306)에서 설명한 바와 같이, 프로세싱 챔버의 내부 지역상에 형성된 증착물을 제거한다. 내부 챔버 표면상에 형성된 증착물을 제거하거나 챔버를 클리닝하는 것은 휘발성 화합물을 형성하도록 챔버 표면상의 증착 필름과 반응종을 반응함으로써 달성된다. 예를 들어, 도 1의 챔버와 같은 탄탈 펜타옥사이드 증착에 사용된 챔버에서, NF3은 상술한 바와 같이 먼 거리에서 분해되어 반응 불소를 형성할 수 있다. 도 1에 도시한 챔버(10)를 참조하면, 챔버(10)에 제공된 대부분의 반응종은 내부 챔버 지역(24)내에 형성된 증착물과 반응할 것이다. 반응종은 기판 지지체(28)와 펌핑 판(34)사이의 좁은 간격(38)에 의해서 내부 지역(24)의 효과적인 볼륨내에 대부분 남아있다. 약간의 반응종은 대향 에지와 기판 지지체(28)와 펌핑 판(34)의 하면상에 형성된 탄탈 펜타옥사이드 증착물과 서로 반응할 것이다. 일반적으로, 제거된 대부분의 증착물은 내부 지역(24)내의 표면상에 형성된 증착물들일 것이다. 도 1의 챔버(10)내에 예에서, 샤워헤드(32)의 하면과 펌핑 판(34)과 기판 지지체(28)의 상면상에 형성된 증착물은 반응종과 반응하여 휘발성 화합물을 형성한다.
제거되어질 유사한 증착물은 도 1의 A를 확대 도시한 도 4를 참조하면 보다 더 잘 이해될 것이다. 도 4는 펌핑 판(34)과 기판 지지체(28)가 간격(38)에 의해 분리되어 있는 챔버의 일부분을 도시한 것이다. 이들의 상대적 위치와 챔버의 디자인 때문에, 간격(38)은 펌핑 판(34)과 기판 지지체(28)가 서로 바로 인접했을 때 또는 도 1 및 도 4에 도시한 바와 같이 증착 위치에 있을 때 최소이다. 도 1 및 도 4에 도시한 바와 같이 펌핑 판(34)에 바로 인접한 위치에 있는 기판 지지체(28)에 대해서, 간격(38)은 0.088과0.112 인치 정도이다. 챔버 지역(24)으로 유입된 반응종은 펌핑 판(34)과 기판 지지체(28)의 상면상에 형성된 증착물(25)과 쉽게 접촉하여 반응되어진다. 지역(24)내에 유입된 반응종의 어느 정도까지는 간격(38) 때문에 지역(26)으로 들어가는 것을 방해받는다. 결국, 제거되어야 할 대다수의 증착물을 함유하고 있는 챔버 내부 지역(24)은 먼저 클리닝된다. 제 1클리닝 사이클 동안 제 1지역(24)내에서 대부분의 클리닝이 일어난다.
지금 도 3을 참조하면 블록(307)에서 설명한 바와 같이, 휘발성 화합물은 프로세싱 챔버로부터 제거된다. 반응 불소 종이 탄탈 펜타옥사이드 증착물과 반응하여 휘발성 화합물을 형성하고, 이들 화합물은 펌프(15)를 통해서 챔버(10)의 내부 지역으로부터 배기된다. 이 프로세스는 프로세싱 지역(24)내에 형성된 증착물이 제거될 때까지 계속한다. 상술한 2 : 1 불활성 가스/반응 가스로 NF3으로부터 발생된 반응종에 대한 통상적인 제거 속도는 분당 약 1마이크론 탄탈 펜타옥사이드이다.
본 발명의 특정 실시예에서, 제 1시리즈 단계(304-307)는 소량의 프로세싱 지역에 우수한 불활성 가스-클리닝 가스 혼합물과 고압을 제공하는 것으로 나타나 있다. 예를 들면, 소량의 프로세싱 지역은 지역(24)의 볼륨일 수 있으며 고압은 약 2토르일 수 있다. 당업자는 블록(304, 305, 306 및 307)이 본 발명의 방법을 명료하게 설명하기 위해서만 일련으로 도시되고 설명되어 있음을 알 수 있다. 본 발명을 실행함에 있어서, 당업자는 블록(304, 305, 306 및 307)내에서 설명한 단계를 다른 순서로 또는 거의 동시에 실행할 수 있다.
다음으로 블록(308)에서 설명한 바와 같이, 모든 내부 챔버 지역상에 형성된 증착물이 제거되어질 때까지 단계(304, 305, 306 및 307)를 반복한다. 이 단계는 내부 챔버 부품과의 상대적 위치와 챔버 압력과 반응종사이의 관계에 의해서 발생된 제한들을 고려한다. 제 1시리즈 단계(304, 305, 306 및 307)에서, 증착물은 주로 내부 챔버 지역(24)으로부터 제거된다. 제 2시리즈 단계(304, 305, 306 및 307)에서, 반응종이 다른 프로세싱 지역 또는 변경적으로 일련의 프로세싱 지역에 제공되며, 증착물은 이로부터 제거된다.
도 5에 도시한 챔버(10)의 대표적인 실시예에서, 기판 지지체(28)는 멀리서 활성된 종이 다른 내부 영역으로 보다 쉽게 제공하도록 위치설정되어 있다. 다른 방법으로, 기판 지지체(28)는 프로세싱 지역(24, 26)사이의 유체 연통을 증가하도록 위치설정되어 있다. 이 방법으로, 지역(24)으로 들어가는 반응종은 보다 쉽게 지역(26)으로 접근할 수 있어 여기에 형성된 증착물을 반응시킨다. 대표적인 실시예에서, 내부 지역은 내부 지역(24, 26)으로 언급된다. 지지체(28)의 이동으로 도시되어 있어도, 다른 챔버는 제 1 및 제 2 또는 제 2 및 연속 프로세싱 지역사이의 유체 연통을 증가하기 위해서 다른 부품을 서로에 대해서 변경 위치로 할 수 있다.
도 1의 프로세싱 시스템(5)을 도시하는 도 5를 참조하면, 여기서 단계(301-307)가 실시되어진다. 도 5의 챔버(10)는 또한 추가의 프로세싱 지역에 증가한 유체 연통을 제공하도록 내부 부품의 배치를 도시한다. 도 5에서, 펌핑 판(34)아래의 위치에 있는 저항 가열식 기판 지지체(28)는 효과적으로 간격(38)을 증가하며 차례로 지역(24)과 지역(26)사이의 유체 연통의 증가를 가져온다. 결국, 지역(24)으로 들어가는 반응종은 지역(26)으로 보다 쉽게 흐른다. 예를 들어, 도 1내의 기판 지지체(28)의 간격이 약 400밀스라면, 도 5의 간격은 약 550밀스이다. 그 외에는 도 5의 프로세싱 시스템(5)은 도 1의 프로세싱 시스템(5)에 유사한 형상을 하고 있다. 따라서, 유사한 부품은 동일한 참조부호에 언급될 것이다.
도 5의 A를 확대한 도 6을 참조하면, 프로세싱 단계(301-307) 뿐만 아니라 확대한 간격(38)의 효과는 보다 더 상세히 알 수 있다. 도 5와 도 6은 펌핑 판(34)의 평면 아래의 하강 위치 내에 있는 기판 지지체(28)를 설명한다. 간격(38)은 기판 지지체(28)와 펌핑 판(34)이 도 1 및 도 4와 거의 동일한 수평 평면에 있을 때의 간격(38)에 비해서 상당히 보다 크다. 도 5 및 도 6에서 증가한 간격(38)은 먼 거리 발생된 반응종이 하부 챔버 내부 지역(26)으로 이송되도록 허용하므로 여기에 형성된 증착의 제거에 효과적이다. 그러므로, 하강 위치에 있는 기판 지지체(28)에서, 챔버(10)의 효과적인 볼륨은 챔버의 각 내부 지역으로부터 챔버로 유입된 반응종을 제한하지 않을 정도로 충분히 크다. 또한 도 6에는 제 1시리즈 프로세스 단계(301-307)의 결과로서 기판 지지체(28)와 펌핑 판(34) 양자의 상면으로부터 증착물(25)의 제거가 도시되어 있다. 추가로, 도 6에 도시한 바와 같이, 기판 지지체(28)와 펌핑 판(34)의 에지와 바닥면상의 증착물(25)은 이들 구역이 반응종에 보다 용이하게 접근 가능하므로 제거될 수 있다. 단계(308)는 제 1시리즈 단계(301-307)에 의해서 충분히 클리닝된 추가의 프로세싱 지역으로부터 추가의 증착물을 제거하도록 단계(304-307)를 반복하는 것이 바람직한 것을 나타낸다.
도 3의 흐름도(300)를 참조하면 블록(308)에 따라서, 다음 단계는 모든 증착물이 제거되거나 모든 내부 지역이 클리닝될 때까지 다른 내부 지역에 대해서 블록(304, 305, 306 및 307)을 반복한다. 프로세싱 지역에 제공된 가스 혼합물내의 불활성 가스 대 반응종의 비는 반복된 시리즈 단계(304-307) 각각 동안에 변경될 수 있거나 동일한 소망의 비로 유지될 수 있다. 예를 들어, 상술한 바와 같이, 불활성 가스와 클리닝 가스는 양호한 2 : 1 비로 유지할 수 있다. 도 5 및 도 6에 도시한 보와 같이, 단계(304)에 의해 언급된 바와 같이 프로세싱 챔버의 내부 지역은 내부 지역(24, 26)을 포함하는 대형 볼륨을 포함한다.
다음으로, 블록(305)에 따라서, 챔버(10)내의 압력을 조정한다. 간격(38)을 증가하는 것 외에, 감압과 잔류 시간의 증가는 또한 반응종이 내부 지역(26)으로부터 증착물을 제거하는데 도움을 주는 것이 확실하다. 따라서, 챔버(10)내의 압력은 감소되며 내부 지역(24, 26)내의 반응종을 퍼뜨린다. 통상적인 압력은 약 900mT 또는 제 1단계(305)내에서 사용된 압력의 약 절반이다.
다음으로, 블록(306)에 따라서, 증착물내의 반응종을 반응하여 휘발성 화합물을 형성함으로써 증착물을 제거한다. 여기서, 반응되어질 대부분의 증착물은 도 6에 도시한 기판 지지체(28)와 펌핑 판(34)상에 남아 있는 것뿐만 아니라 하부 증착 지역(26)내에 발생할 수 있는 다른 축적물이다. 클리닝은 반응종이 지역(24)내에 남아 있는 증착물과 반응하면 지역(24)에서 계속된다. 전과 같이, 반응종은 프로세싱 영역내의 증착물과 반응해서 휘발성 화합물을 형성한다.
본 발명의 특정예에서, 제 2시리즈 단계(304-307)는 저압의 보다 큰 볼륨 프로세싱 지역에 우수한 불활성 가스-클리닝 가스 혼합물을 제공하는 것으로 나타나 있다. 예를 들어, 불활성 가스-클리닝 가스 혼합물이 약 900 mT의 압력에서 지역(24, 26)의 조합 볼륨에 제공된다. 변경적으로, 제 2시리즈 단계들은 제 1시리즈 단계내에서 클리닝된 챔버 볼륨보다 큰 볼륨을 가지는 챔버 내부 지역내에서 제 1시리즈 단계(304-307)내에서 사용된 압력의 약 절반의 압력에서 실시된다. 다음으로, 블록(307)에 따라서, 휘발성 화합물은 챔버로부터 제거된다. 예를 들어, 챔버(10)내의 휘발성 화합물은 펌프(15)를 통해서 내부 영역(24, 26)으로부터 배기되어질 수 있다. 필요하다면, 제어 밸브(90)는 전환하기 위해서 배치될 수 있거나 반응종 및 불활성 가스가 챔버(10)에 도달하지 못하게 폐쇄될 수 있다. 챔버(10)로 들어가는 반응종 및 불활성 가스를 전환 또는 방지하여 이전의 클리닝 작업으로부터의 어떠한 잔류 가스도 배기될 수 있게 허용한다.
다음으로, 블록(308)에 따라서, 단계(304-307)는 다른 내부 지역내에 형성된 증착물을 제거하도록 반복된다. 특히 본 발명의 방법에 의해 클리닝되어진 챔버의 특정 형상에 따라서 발생된 각 내부 챔버 영역에 반응종을 제공하도록 단계(301-307)의 반복을 생각할 수 있다. 기판 지지체(28)와 펌핑 판(34)이 상부와 하부 지역(24, 26)을 만드는 것과 마찬가지로, 다른 프로세싱 챔버는 상기 챔버의 특정 내부 부품에 의해 발생된 챔버 지역 또는 분할부를 가질 것이다.
챔버 지역(24, 26)이 기판 지지체(28)와 펌핑 판(34)사이의 좁은 폭에 대해서 기술되어 있을 지라도, 이들 제한이나 방해는 프로세싱 장치의 형태마다 실질적으로 일어나는 유사한 문제점을 그저 도시한다. 약간의 반응기는 챔버(10)내에서와 같이 펌핑 판을 사용하며 반면에 다른 반응기는 가스 흐름을 분활, 제한하고나 그렇지 않으면 챔버 내부내의 프로세싱 지역사이의 유체 연통을 감소하는 프로세스 특정 부품을 가질 것이다. 예는 상대적 위치에 따라서 증착물 및 클리닝 가스로부터 서로 방해하는 웨이퍼 핸드링 및 서셉터 리프팅 기구를 가진 형태의 R.F.보강 플라즈마 증착물을 포함한다. 이 형태의 챔버에서, 프로세싱 영역은 챔버 내부를 효과적으로 클리닝하기 위해서 핸들러 및 리프팅 기구를 변경함으로써 만들어질 수 있다. 다른 예는 프로세싱 동안 웨이퍼 이동을 방해하도록 새도우 링을 사용하는 에칭 챔버이다. 이 챔버에서, 프로세싱 지역은 챔버내의 새도우 링의 상대적 위치에 의해서 결정된다. 다른 예는 프로세싱 구역에서 기판을 이동하도록 트랙 또는 콘베이어 시스템을 이용하는 튜브 반응기 및 다른 프로세싱 시스템을 포함한다. 이들 형태의 시스템내의 프로세싱 지역은 트랙 시스템 또는 콘베이어 시스템에 의해 방해되는 지역에 의해 만들어질 수 있다. 챔버 형태와 프로세스 형태 챔버 지역과 주어진 챔버내의 내부 부품 방해물의 다른 예들은 당업자에 의해 일어날 수 있으며 본 발명의 범주내에 속한다.
다음으로, 블록(309)에 따라서, 작업을 재시작하기 전 프로세싱 챔버 내측의 물질 층을 증착한다. 이 단계의 한 목적은 프로세싱 챔버내에 남아 있게 되면 연속적으로 증착된 필름을 방해할 수 있는 휘발성 화합물, 반응제 및 잔류 클리닝 가스를 제고하는 것이다. 불활성 가스로의 챔버 정화가 약간의 환경에서 충분할지라도, 약간의 프로세스는 내부 챔버 표면상의 필름 층을 의도적으로 증착함으로써 개선된 결과를 얻는다. 프로세스 챔버내의 필름층을 건조하거나 의도적으로 증착하는 단계는 불소가 클리닝 반응제로 사용되어지는 경우에 특별히 중요하다. 잔류 불소는 연속적으로 처리된 기판상의 증착된 필름 품질에 해로운 효과를 줄 수 있다. 기판 없이 챔버내에 필름층을 형성하면 잔류 가스가 반응하여 휘발성 화합물을 형성하고 챔버로부터 배기되도록 허용한다. 추가로, 챔버내에 남아 있는 입자는 증착 건조층내에 포획되어질 것이다. 어느 경우든, 건조 단계는 비반응되거나 잔류 클리닝 가스 및 부산물이 프로세싱 작업을 재시작하기 전에 챔버로부터 제거되는 것을 보장한다. 탄탈 증착 챔버와 같은 대표적인 실시예에서, 탄탈 펜타옥사이드는 약 475℃의 온도에서 챔버로 기화된 TAT-DMAE와 산화 가스를 유동시킴으로써 챔버(10)의 다른 내면 및 기판 지지체(28)상에 증착될 수 있다. Ta2O5에 대한 대표적인 건조층(seasoning layer)은 약 2500Å이다. 건조층의 특정 두께와 조성물은 증착된 필름의 형태와 챔버에 따라서 변할 것이다.
본 발명의 최종 단계인 블록(310)에서는 챔버(10)의 프로세싱 작업의 재시작이다. 이런 프로세싱 작업중 하나는 약 450℃에서 산화제와 탄탈 선구물질을 혼합함으로써 탄탈 펜타옥사이드의 열적 증착이다. 따라서, 기판은 저항 가열식 기판 지지체(28)상에 놓여 있다. 가열기 제어기(44)는 약 450℃의 적절한 프로세싱 온도에서 기판을 유지하도록 전력 제공된 가열기(29)를 조정한다. 리프트 기구(42)는 기판 지지체(28)를 위치설정시키고 예들 들어 400 밀스의 간격으로 샤워헤드(32)에 대해서 챔버(10)내의 웨이퍼를 위치설정시킨다. 도 1의 가스 공급부(46, 48)는 TAT-DMAE, 또는 TAETO와 같은 탄탈 선구물질과 산소 또는 아산화질소(nitrous oxide)와 같은 산화 가스를 포함한다. 밸브 및 제어기 기구(50)는 탄탈과 산화 가스를 혼합하고 이들의 유속을 조정하고 이들을 챔버로 운반한다. 지지체(28)상에 위치설정된 기판의 온도는 유입 가스 흐름으로부터 탄탈 펜타옥사이드를 형성하기에 충분하다.
본 발명의 변경 방법은 또한 다중 프로세싱 지역(예, 챔버(10)제 1 및 제 2 프로세싱 구역(24, 26))을 가진 챔버의 싱글 프로세싱 지역내에서 또는 도 7의 챔버(100)와 같은 싱글 프로세싱 지역만을 가진 챔버내에서 실행될 수 있다. 변경 방법은 클리닝 가스/불활성 가스 비와 챔버 압력을 조정함으로써 실행된다. 도 7을 참조하면, 싱글 프로세싱 지역을 가진 챔버의 실시예를 보다 더 잘 이해할 수 있다.
도 7은 싱글 프로세싱 지역을 가진 대표적인 챔버(100)를 도시한다. 챔버(100)는 Ultima High Density Plasma(HDP) Chemical Vapor Deposition(CVD) 챔버 또는 미국 캘리포니아 산타 클라라 소재의 어플라이드 머티어리얼스, 인코포레이티드에 의해 제작된 Ultima HDP CVDTM챔버일 수 있다. 챔버(100)는 플라즈마 발생 장치(60)와 가스 공급부(46, 48)에 결합된다. 챔버(100)는 압력 및 온도 제어 프로세싱 지역(107)을 함께 형성하는 챔버 바디(105)와 리드(110)를 가진다. 기판 지지체(125)는 상부 지지면(126)을 가지며 프로세싱 지역(107)내에 배치되어 있다. 지지암(130)은 챔버 바디(105)에 결합되어 있으며 기판 지지체(125)를 지지한다. 프로세싱 지역(107)내의 압력은 터보 펌프(140)와 러핑 펌프(150)에 의해 제공된다. 스로틀 밸브 및 게이트 밸브 조립체(135)는 터보 펌프(140)와 러핑 펌프(150)로부터 프로세싱 지역(107)을 분리하고 프로세싱 지역(107)내의 압력을 제어한다. 플라즈마 장치(60) 뿐만 아니라 도 7에 도시한 다른 유사한 참고부호 요소는 도 1 및 도 5에 대해서 상술한 것과 동일하다. 도 7의 원격 플라즈마 장치(60)가 수동 터너 제어기(68)일지라도, 자동 터너 제어기는 또한 클리닝 가스를 분해하는데 사용될 수 있다.
가스 공급부(48, 46)는 상업상 품질을 가진 반도체 필름을 증착하는데 사용된 다양한 프로세스 가스중 어느 것이라도 된다. 가스 공급부(48, 46)와 밸브 및 제어기(50)는 이산화실리콘, 질화실리콘, 불소 도핑된 규소 유리(FSG) 또는 다른 저 절연 상수 필름, 인 도핑된 규소 유리(PSG) 또는 다른 프리메탈 절연 필름을 증착하기 위해서 프로세싱 지역(107)내에 선구 물질을 제공하도록 형상될 수 있다. 가스 공급부(48, 46)로부터의 가스는 가스 공급 입구(52)를 통해서 흐르고 그리고 나서 챔버 가스 입구(120)를 통해서 흐른다. 유도 코일(112)은 챔버(100)내에 실시되는 증착 프로세스를 위해서 기판 지지체 상부면(126)위의 지역(107)의 일부분에 RF 에너지를 제공한다. 코일(112)에 의해 제공된 RF 에너지는 단지 증착 프로세스에 대해서만 사용되고 본 발명의 원격 클리닝 프로세스 동안 사용되지 않는다. 도 7의 챔버(100)와 같은 통상적인 플라즈마 강화 증착 반응기에서, 증착은 코일(112)에 의해 윤곽이 나타나는 구역내에서 주로 형성하는 경향이 있다. 프로세싱 지역(107)내의 증착에 대한 코일(112)의 영향은 기판 지지체 상부면(126)상에 그리고 기판 지지체(125)와 지지암(130)의 내측면을 따라서 일어나는 증착물의 가장 높은 농도를 야기한다. 보다 덜한 정도로, 증착물은 또한 챔버 리드(110)와 챔버 바디(105)의 내면상에 형성된다.
본 발명의 변경 방법은 챔버의 싱글 프로세싱 지역내에서 실시될 수 있으며 도 8을 참고하면 보다 덜 잘 이해될 수 있다. 도 8은 본 발명의 싱글 프로세싱 지역 방법의 흐름도이다.
먼저, 도 8의 흐름도(800)의 블록(801)에서 설명한 바와 같이, 챔버내의 증착물 형성하고 처리된 기판을 제거한 후, 원격 플라즈마 장치내에서 플라즈마를 생성한다. 수동 터너 제어기가 사용되므로, 플라즈마는 생성가스의 사용을 통해서 보다 쉽게 생성된다. 플라즈마 생성은 약 1000 sccm의 유량에서 어플리케이터(72)를 통해서 Ar와 같은 불활성 가스를 흘려보냄으로써 이룩될 수 있다. 챔버(100)내의 압력이 600mT 이상 상승한 후, 마그네트론(62)은 마이크로파 에너지를 어플리케이터(72)내의 Ar 가스 흐름으로 안내한다. 플라즈마가 한번 생성되면, 클리닝 가스는 플라즈마 생성 가스의 흐름을 감소하고 반면에 클리닝 가스의 흐름을 증가함으로써 유입된다. Ar과 NF3을 사용하는 대표적인 실시예에서, Ar는 약 1000 sccm로 흐르며, 마크네트론(62)은 플라즈마를 생성하도록 약 3200 W의 에너지 플라즈마를 만든다. 그리고 나서 NF3은 약 100 sccm로 어플리케이터(72)로 유입될 수 있다. 그 후 곧, 클리닝 가스의 유량은 증가하며 반면에 불활성 가스의 유량은 감소한다. 이 결과는 어플리케이터를 통해 흐르고 플라즈마내에서 분해되어지는 클리닝 가스로 어플리케이터(72)내에 지탱 플라즈마(sustained plasma)를 제공한다. 클리닝 가스 또는 NF3만이 바람직한 경우의 특정 예에서, Ar 유량은 안정한 플라즈마가 NF3유량으로 형성되어진 후 중단될 수 있다. 통상적으로 수동으로 조율되는 시스템에서, Ar은 플라즈마 타격을 위해 약 1000 sccm로 유입된다. NF3은 유입되고 그리고 나서 Ar 흐름은 바람직한 Ar/NF3비에 따라서 조정된다. 플라즈마는 지금 생성되고 지탱되면 단지 클리닝 가스만이 어플리케이터(72)내에 유입되어 분해된다. 위의 프로세스가 수동 조율 원격 플라즈마 시스템내에서 플라즈마를 생성하는 것을 도시한 것으로 이해될 것이다. 자동 조율 원격 플라즈마 시스템은 또한 어플리케이터(72)내에 플라즈마를 생성하기 위해서 먼저 불활성 가스 또는 다른 플라즈마 생성 가스를 사용하지 않고, 클리닝 가스를 바로 활성하거나 분해하는데 사용될 수 있다.
다음으로, 블록(802)에 설명한 바와 같이, 클리닝 가스 희석을 제공하는지를 결정하는 것이다. 클리닝 가스 희석은 클리닝 가스 또는 반응성 생성 가스의 흐름에 불활성 가스의 추가를 의미한다. 단계(802)에서 나타난 바와 같이, 클리닝 가스는 홀로 또는 불활성 가스와 조합해서 제공될 수 있다. 클리닝 가스 희석이 바람직하면, 다음 단계는 블록(811)에 설명되어 있다. 클리닝 가스 희석이 바람직하지 않으면, 다음 단계는 블록(803)에 설명되어 있다.
클리닝 가스 희석이 바람직하지 않은 경우에, 블록(803)으로 진행해서 클리닝 가스 유량에 대한 조정을 제공한다. 이 단계에서, 클리닝 가스 유량은 플라즈마 생성 동안에 이용된 유량으로부터 바람직한 클리닝 스텝 유량으로 조정된다. 클리닝 가스 유량은 예를 들어 소비되어질 클리닝 가스의 비용, 마그네트론(62)의 파워성능 및 챔버로부터 클리닝되어질 필름 증착물의 형태에 따라서 변할 수 있다. 마그네트론(62)의 전압 출력이 완전히 또는 거의 완전히 분해될 수 있는 클리닝 가스 흐름의 속도를 제한하는 것을 기억하기 바란다. 예를 들어, 원격 플라즈마 장치(60)에서 3500W의 마그네트론(62)이 1700 sccm NF3가스 흐름의 약 99% 분해를 달성할 수 있을 것으로 믿는다. 3500W의 일정한 마그네트론 파워에 대해서, 1700 sccm 이상의 가스 흐름은 증가된 분해 퍼센테이지를 가져올 수 있다. 예를 들어, 300 sccm의 NF3유량과 500 W의 마그네트론 파워는 약 95% 분해만 할 수 있으며, 1500 sccm의 NF3유량과 3200 W의 마그네트론 파워는 약 99% 이상을 분해할 수 있다. 바람직한 량으로 클리닝 가스 유량을 조정한 후, 블록(804)으로 진행한다.
클리닝 가스 희석이 바람직한 경우에, 그 다음에 클리닝 가스와 불활성 가스의 혼합물은 원격 플라즈마 어플리케이터에 제공된다. 클리닝 가스 희석은 또한 어플리케이터(72)를 통해서 클리닝 가스만을 흘려보내면서 불활성 가스를 어플리케이터(72)의 하류에 유입함으로써 성취될 수 있다. 이 경우에, 블록(811)으로 진행하여 클리닝 가스 유량의 조정을 제공한다. 블록(803)에 대해서 상술한 바와 같이, 클리닝 가스는 바람직한 속도로 조정될 수 있다. 클리닝 가스 유량을 조정한 후, 클리닝 가스 희석의 다음 단계는 불활성 가스 유량을 바람직한 유량으로 조정하는 것이다. 블록(811, 812)내에서의 클리닝 가스와 불활성 가스의 조정은 도 7의 밸브 및 제어기(80, 82)에 의해서 달성된다. 당업자는 불활성 가스가 클리닝 가스 흐름 전에 변경되도록 일련의 조정 순서를 바꾸거나 또는 가스 흐름이 거의 동시에 조정될 수 있음을 알 수 있다. 마그네트론(62)이 3200W의 마이크로파 에너지를 어플리케이터(72)에 전달하는 본 발명의 실시예에서, 클리닝 가스는 NF3이고 그리고 불활성 가스는 Ar이며, 본 발명에 클리닝 가스 희석에 대한 대표적인 유량은 1 : 1, 또는 바람직하게 2 : 1의NF3/Ar 유량 비를 가질 수 있다. 본 발명의 특정 실시예에서, Ar 가스 유량은 750 sccm이고 , NF3유량은 1500 sccm이고 어플리케이터(72)에 안내된 마이크로파 에너지는 4500 W이다.
블록(803)에 따른 클리닝 가스를 조정하거나 블록(811, 812)에 따른 클리닝 가스와 불활성 가스의 조정 후, 다음 단계는 블록(804)에 설명한 바와 같이, 반응종을 프로세싱 챔버에 제공하는 것이다. 클리닝 가스 희석이 사용되는지 아닌지 상관없이, 클리닝 가스 분해는 클리닝 가스가 어플리케이터(72)를 통과할 때 발생하고 마그네트론(62)에 의해 발생된 마이크로파 에너지에 노출된다. 충분한 마이크로파 에너지가 제공되는 한, 어플리케이터(72)에 제공된 클리닝 가스는 분해하여 반응종을 형성한다. 예를 들어, NF3이 클리닝 가스로 사용되는 경우에서, 어플리케이터(72) 내에서 일어나는 분해는 반응성 F, 약간의 N2, 그리고 미량의 NF와 F2를 생성한다. 도 7의 챔버(100)의 도시적인 실시예를 다시 참조하면, 어플리케이터(72)를 나오는 반응종은 공급선(88)을 통해서 변환기(90)를 통과해서 챔버 공급선(52)으로 흐른다. 챔버 공급선(52)부터, 반응종은 챔버 가스 입구(120)를 경유해서 프로세싱 지역(107)에 제공된다.
도 8을 다시 참고하면, 다음 단계는 블록(805)에서 설명한 바와 같이, 챔버 압력을 조정하는 것이다. 프로세싱 지역(107)내의 압력을 모니터하는 한 방법은 캐피티던스 나노미터를 사용하는 것이다. 프로세싱 지역(107)내의 바람직한 압력은 프로세싱 지역(107)과 펌프(140, 150)사이의 전도성을 증가 또는 감소하도록 스로틀/게이트 밸브 조립체(135)의 위치를 조정함으로써 얻어진다. 양호한 결과는 1T와 4T, 적합하게 약 1.8T와 3T사이의 압력 범위내에서 얻어진다.
다음 단계는 블록(805)에서 설명한 바와 같이, 반응종을 챔버 증착물과 반응해서 제거하는 것이다. 프로세싱 지역(107)으로 들어가는 반응종은 프로세싱 지역(107)내에 형성된 증착물과 조합할 것이며 챔버로 배기되는 휘발성 화합물을 형성한다. 도 7의 챔버(100)와 같은 통상적인 플라즈마 강화 증착 반응기에서, 증착은 코일(112)에 의해 윤곽이 나타나는 구역내에서 주로 형성하는 경향이 있다. 프로세싱 지역(107)내의 증착에 대한 코일(112)의 영향의 결과로, 증착물의 가장 높은 농도는 기판 지지체 상부면(126)상에 그리고 기판 지지체(125)와 지지암(130)의 내측면을 따라서 일어나는 것으로 확신된다. 또한 증착물은 챔버 리드(110)와 챔버 바디(105)의 내면상에 형성된다. 프로세싱 지역(107)으로 들어가는 반응종은 증착물과 반응하여 휘발성 화합물을 형성하고 프로세싱 지역(107)으로부터 배기된다.
다음 단계는 블록(807)에서 설명한 바와 같이, 가스 흐름을 변경할 것이지 결정하는 것이다.
여러 불활성 가스 또는 클리닝 가스 흐름이 바람직한 경우에, 클리닝 가스 희석이 사용되고 있는 경우와 같이 계속될 것인지 또는 비희석 클리닝 가스가 사용되어진 경우와 같이 실행될 것인지 결정한다. 블록(803)에 따라 만들어진 조정을 근거로, 클리닝 가스의 비희석의 경우에, 또는 블록(811, 812)을 따라서 클리닝 가스 희석의 경우에, 조정된 유량으로 반응종을 프로세싱 챔버(블록(804))에 제공한다. 변경 가스 흐름은 전과 같이 사용되고 챔버 압력은 또한 블록(805)에서 조정될 수 있다. 다음으로, 반응종은 블록(806)에 따라서 증착물과 반응하여 제거할 수 있다. 다시 한번, 필요하다면 여러 번, 블록(807) 결정에 따라 블록(802)으로 복귀하여 여러 가스 흐름과 챔버 압력에 맞게 상술한 단계를 반복할 수 있다.
블록(807)부터 블록(802)까지의 여러 번의 반복이 완료되거나 가스 유량 조정이 바람직하지 않는 경우에, 다음 블록(808)으로 진행한다.
다음 단계는 블록(808)에서 설명한 바와 같이, 챔버 압력을 변경할 것인지 결정하는 것이다. 이 단계는 동일한 가스 흐름이 챔버에 제공되면서 챔버(100)내에 다른 압력을 제공할 수 있게 허용한다. 예를 들어, 블록(807)에서 가스 흐름을 변경하지 않는다고 결정하면 그 다음에 블록(808)에서 챔버 압력 변경을 결정하여, 다른 압력 조건하에서 프로세싱 지역(107)에 제공되어진 반응종/불활성 가스 혼합물 또는 반응종의 이전에 결정한 조성물을 가져온다. 예를 들어, 챔버는 일정한 압력을 유지하면서 다양한 다른 가스 흐름 조합(예, 압력 조정이 블록(805)에서 일어나지 않고 블록(807)내에서 가스 흐름을 조정하는 경우)을 제공한다. 변경적으로, 가스 흐름 변경이 블록(807)내에서 선택되지 않지만 챔버 압력 변경이 블록(808)에서 선택되는 경우에, 다양한 압력은 챔버(100)내에 사용될 수 있으면서 일정한 가스 유량을 유지할 수 있다. 다중 압력 및 다중 가스 흐름 조합을 사용하는 클리닝 방법도 생각할 수 있다. 상술한 바와 같이, 압력이 높으면 높을수록 일반적으로 가스에 대해 보다 짧은 평균 자유 통로를 만들며 반면에 압력이 낮으면 낮을수록 가스에 대한 보다 긴 평균 자유 통로를 만든다. 예를 들어, 증착물이 가장 많을 때 그리고 반응종과 증착물사이의 반응이 마찬가지로 많을 때 보다 높은 압력이 초기 클리닝 단계에서 사용될 수 있다. 클리닝이 진행하여 증착물이 감소함에 따라, 낮은 압력을 사용하여 잔류 시간을 증가시킴으로써 보다 긴 잔류 시간 반응종과 나머지 증착물사이의 대부분의 반응을 증가할 수 있다. 본 발명의 방법에서 잔류 시간을 증가하고 반응종의 재조합을 감소함으로써 챔버 클리닝 프로세스를 개선하는데 클리닝 가스 희석과 낮은 챔버 압력 양자를 사용할 수 있는 장점을 생각할 수 있다.
블록(807, 808)의 응답을 통해서 제공된 바람직한 가스 흐름과 압력 조합을 실시한 후, 다음 단계는 블록(809)에서 설명한 바와 같이, 챔버를 건조하는 것이다. 탄탈 증착 챔버에 대해서 상술한 바와 같이, 많은 증착 프로세스의 품질과 신뢰성은 상술한 클리닝 프로세스에 의해 발생된 프로세싱 지역 잔류 클리닝가스, 반응종 및 다른 휘발성 화합물를 제거하는 단계를 취하면 개선된다. 연속 프로세싱 작업이 질화실리콘의 증착을 포함하는 경우에, 약 1000Å의 질화실리콘이 프로세싱 지역(107)내에 증착된다. 이산화실리콘, 불소 도핑된 규소 유리(FSG) 또는 다른 저 절연 상수 필름(예, 4.0이하의 절연 상수를 가진 필름), 인 도핑된 규소 유리(PSG) 또는 다른 프리메탈 절연 필름의 경우에, 약 1000Å의 이화실리콘이 프로세싱 지역(107)내에 증착된다.
본 발명의 마지막 단계를 설명하는 흐름도(800)의 블록(810)은 챔버 프로세싱을 재시작하는 것이다. 블록(800)의 클리닝 프로세스는 프로세싱 요구조건에 따라서 소망한 대로 반복될 수 있다. 통상적인 반도체 제조 프로세스에 대한 대표적인 클리닝 사이클은 3 내지 5 기판이 처리되어진 후 또는 약 3㎛ 필름이 챔버(100)내에 처리된 기판상에 증착된 후 챔버를 클리닝하는 단계를 포함한다.
흐름도(800)의 단계를 설명하는 도 8에 대한 상술한 설명은 본 발명의 보다 완전한 이해를 제공하는 것이다. 당업자는 많은 단계가 동시에 또는 거의 동시에 실시될 수 있음을 알 수 있을 것이다. 흐름도(800)의 다른 소수의 변경은 또한 본 발명의 범주에 속한다. 예를 들어, 단계(811, 812)는 역으로 수행될 수 있으며, 즉 클리닝 가스 희석이 바람직할 때, 불활성 가스 흐름은 클리닝 가스 흐름이 조정되기 전에 조정될 수 있다. 추가로, 챔버 압력은 클리닝 가스 희석(블록(802, 811, 812, 807)을 사용하는지 아닌지를 결정하기 전에 조정될 수 있다(블록(805, 808)).
흐름도(800)에 설명한 본 발명의 방법은 아래의 대표적인 예를 고려하면 보다 이해하기 쉽다. 본 발명의 한 실시예에서, 프로세싱 챔버가 일정한 압력에서 유지되면 클리닝 가스는 본 발명에 따라서 홀로 제공되고 그 다음에 불활성 가스와 조합해서 제공된다. 챔버는 저 절연 상수(예, 4.0 이하의 절연 상수)의 증착을 포함하는 프로세싱 작업에 사용되어질 수 있다. 이런 한 필름은 불소 도핑된 규소 유리(FSG)이다. 프로세싱 챔버내의 증착물을 형성하는 프로세싱 작업을 실시한 후 처리된 마지막 웨이퍼를 제거한 후, 3200W 플라즈마는 원격 플라즈마 장치내에 생성될 수 있다. 아르곤과 같은 불활성 가스는 수동 조율 마이크로파 발생기가 사용되면 플라즈마를 생성하는데 사용될 수 있다. 이 예에서, NF3이 이용되고 클리닝 가스 희석(블록(802))이 프로세스에 사용되지 않는다. 다음으로, 블록(803)에 따라서 클리닝 가스 유량은 바람직한 유량으로 조정된다. 이 예에서, NF3은 약 1500 sccm로 제공된다.
NF3이 분해해서 프로세싱 챔버에 반응종을 제공하면(블록(804)) 챔버 압력은 약 3 토르 일정한 압력으로 유지된다(블록(805)). 분해된 클리닝 가스에 의해 제공된 반응종과 챔버내에 형성된 증착물과 반응하여 챔버로부터 배기되는 휘발성 화합물을 형성한다(블록(806)). 이 예에서 반응종은 약 1.2㎛/min 내지 0.9㎛/min사이의 FSG 증착물을 제거하는 반응 불소이다. 증착물질의 3㎛가 축적하도록 허용된 후 챔버가 클리닝되는 프로세스에서, 이 단계는 약 75 내지 100초 동안 지속한다.
이 예에서 다음 단계는 블록(807)에 응답해서 가스 흐름을 변경하고 블록(802)에 응답해서 클리닝 가스 희석을 사용하는 것이다. 블록(811, 812)에 응답해서, 클리닝 가스와 불활성 가스 흐름은 완전히 또는 거의 완전히 분해가 어플리케이터(72)내에서 일어나도록 하는 레벨로 유지된다. 이 예에서, 클리닝 가스는 NF3이고 불활성 가스는 아르곤이고 이들은 1:1 비율로 제공되며, 여기서 NF3이 750 sccm로 조정되며 반면에 Ar가 750 sccm로 조정된다. 변경적으로 클리닝 가스와 불활성 가스사이의 2:1 비율을 제공함으로써 양호한 결과를 얻을 수 있다. 다른 예로서 NF3와 Ar를 이용하면, 흐름은 500 sccm Ar을 제공하는 동시에 1000 sccm NF3을 제공하도록 조정될 수 있다. 클리닝 가스가 NF3또는 유사한 분해 에너지 요구를 가진 다른 가스인 경우, 1:1 그리고 2:1 비율 모두에서, 어플리케이터(72)를 통한 전체 유량은 1500 sccm이며, 이때 마그네트론(62)부터 3500 W의 출력이 사용되면 확실히 클리닝 가스의 완전히 또는 거의 완전히(즉, 약 99%) 분해를 이룬다.
클리닝 가스, 이 예에서 NF3이 분해되어 프로세싱 챔버에 반응종을 제공하면(블록(804)), 챔버 압력은 약 3토르의 일정한 압력으로 유지된다(블록(805)). 분해된 클리닝 가스에 의해 제공된 반응종은 챔버내에 형성된 증착물과 반응하여 챔버로부터 배기되는 휘발성 화합물을 형성한다(블록(806)).
다음으로, 블록(806)에 따라서 실시된 증착물의 제거가 완성된 후, 그리고 나서 클리닝 가스와 불활성 가스 흐름은 블록(807, 802)에 따라서 변경되어 클리닝 가스와 불활성 가스의 다른 조합을 제공하거나 클리닝 가스 혼자만 제공한다. 특정 예에 따라서, 블록(807, 808)에 따른 가스 흐름 및 챔버 압력은 바람직하지 않다. 대신에, 블록(809)에 따라서, 프로세싱 작업을 재시작하기 전에 필름 층을 증착함으로써 건조된다. 예를 들어, FSG를 증착하는데 사용된 프로세싱 장치에서, SiO2의 약 1000Å층이 놓여질 수 있다. 이 특정 예에서, 블록(810)에 따른 다음 단계는 프로세싱 챔버내의 FSG 증착 작업을 재시작하는 것이다.
본 발명의 다른 특정 실시예에서, 클리닝 방법은 클리닝 가스 홀로 및 불활성 가스에 의해 희석된 클리닝 가스와 함께 두 개 이상의 다른 압력에서 사용될 수 있다. 처음에, 증착량이 가장 많을 때 고압을 사용하고 그 다음에 증착량이 감소되어 챔버내로 분산될 때 저압을 사용한다. 챔버는 프리메탈 절연체의 증착과 같이 프로세싱 작업에 사용되어질 수 있다. 이런 한 필름은 인 도핑 실리콘 유리(PSG)이다. 프로세싱 챔버내의 증착물을 형성하는 프로세싱 작업을 실시한 후 처리된 마지막 웨이퍼를 제거한 후, 3200W 플라즈마는 원격 플라즈마 장치내에 생성될 수 있다. 상술한 바와 같이, 아르곤과 같은 불활성 가스는 수동 조율 마이크로파 발생기가 사용되면 플라즈마를 생성하는데 사용될 수 있다. 생성 후, 클리닝 가스는 원격 플라즈마 장치에 제공되어 반응종을 발생한다. 이 예에서, NF3이 이용되고 클리닝 가스 희석(블록(802))이 프로세스에 사용되지 않는다. 다음으로, 블록(803)에 따라서 클리닝 가스 유량은 바람직한 유량으로 조정된다. 이 예에서, NF3은 약 1500 sccm로 제공된다. NF3이 분해해서 프로세싱 챔버에 반응종을 제공하면(블록(804)) 챔버 압력은 약 3 토르 일정한 압력으로 유지된다(블록(805)). 분해된 클리닝 가스에 의해 제공된 반응종과 챔버내에 형성된 증착물과 반응하여 챔버로부터 배기되는 휘발성 화합물을 형성한다(블록(806)).
본 발명의 이 대표적인 원격 클리닝 프로세스에서의 다음 단계는 블록(807)에 응답해서 가스 흐름을 변경하고 블록(802)에 응답해서 클리닝 가스 희석을 사용하는 것이다. 블록(811, 812)에 응답해서, 클리닝 가스와 불활성 가스 흐름은 조정된다. 이 예에서, 가스들은 1:1 비율로 제공되며, 여기서 NF3이 750 sccm이며 반면에 Ar가 750 sccm이다. 변경적으로 보다 더 양호한 클리닝 균일성은 클리닝 가스와 불활성 가스사이의 2:1 비율을 제공함으로써 얻을 수 있으며, 여기서 가스 흐름은 500 sccm Ar과 1000 sccm NF3, 또는 적합하게 750 sccm Ar과 1500 sccm NF3을 제공하도록 조정될 수 있다. 이전의 실시예와 같이, 어플리케이터(72)를 통과하는 전체 흐름과 마이크로파 파워는 클리닝 가스의 완전한 또는 거의 완전한 분해가 얻어지는 레벨로 유지된다.
클리닝 가스-불활성 가스 혼합물이 분해되어 프로세싱 챔버에 반응종을 제공하면(블록(804)), 챔버 압력은 약 1.8토르의 압력으로 감소된다(블록(805)). 반응종은 저압에서 보다 긴 잔류시간을 가짐으로써 반응종이 챔버내에 형성된 나머지 증착물과 반응하여 챔버로부터 배기되는 휘발성 화합물을 형성하도록 대부분 증가한다(블록(806)).
블록(806)에 따라서 실시된 증착물의 제거가 완성된 후, 챔버는 프로세싱 작업을 재시작하기 전 블록(809)에 따라서 필름 층을 증착함으로써 건조된다. 예를 들어, FSG를 증착하는데 사용된 프로세싱 장치에서, SiO2의 약 1000Å층이 프로세싱 지역(107)내에 놓여질 수 있으며, 이 때 기판은 지역(107)에 없다. 다음으로, 블록(810)에 따라서, 프로세싱 챔버내의 기판상에 PSG 필름의 증착을 재시작하는 것이다.
상기 특정 실시예에 더해서, 몇몇 가스 유량과 챔버 압력이 흐름도(800)내의 단계들의 연속적인 반복을 통해서 사용 및 변경될 수 있다는 것은 예상된다. 또한 흐름도(800)의 방법이 흐름도(300)의 방법에 사용될 수 있다는 것은 예상된다. 이런 조합된 방법을 나타내는 한 예는 프로세싱 반응기(10)내에 형성된 다중 내부 지역으로 흐름도(800)의 가스 혼합 및 챔버 압력 조정을 이용하는 것이다.
도 9, 도 10 및 도 11을 참조하면, 도 3에서 설명한 본 발명의 방법에 의해 달성된 우수한 클리닝 속도와 클리닝 균일성은 보다 잘 이해될 수 있다. 도 9, 도 10 및 도 11에 설명한 결과는 몇 200mm 웨이퍼상에 증착된 SiO2필름의 초기 두께와 균일성을 측정하고 그 다음에 챔버(10)내의 여러 프로세스 조건에 웨이퍼를 노출하여 클리닝 속도와 균일성에 대한 여러 프로세스 조건의 효과를 결정함으로써 얻어진다. 특정 클리닝 프로세스 환경에 노출후, 필름 두께와 균일성은 다시 측정되고 처음의 두께와 균일성을 측정한다. 각 그래프는 도 9의 다양한 Ar 유량, 도 10의 다양한 NF3과 도 11의 다양한 챔버 압력과 같은 여러 변수를 나타낸다.
도 9는 클리닝 속도와 균일성에 대한 증가된 아르곤의 효과를 나타내며 여기서 챔버 압력과 NF3흐름은 일정하다. 2토르의 챔버 압력과 NF3의 200 sccm 유량을 유지하면서, Ar 유량은 0 내지 1000 sccm로부터 변화된다. 400 sccm Ar 유량은 약 7500Å/min의 가장 높은 클리닝 속도와 약 11%의 가장 낮은 균일성을 제공한다. Ar 유량이 400 sccm 이상 증가되거나 NF3유량이 더 높은 Ar에 의하여 더 희석되면, 클리닝 속도는 약 4100 내지 5800Å/min으로 감소하며 동시에 균일성은 35% 만큼 증가한다. 400 sccm 아래로 Ar 흐름이 감소하면 클리닝 속도는 7500Å/min이하인 6000Å/min으로 낮아지며 반면에 클리닝 균일성은 12% 내지 25%로 증가된다.
도 10은 클리닝 속도와 균일성에 대한 증가된 NF3의 효과를 나타낸다. 2토르의 챔버 압력과 Ar 유량의 400 sccm 유량을 유지하면서, NF3은 0 내지 400 sccm로부터 증가된다. 200 sccm NF3유량에서 클리닝 속도는 약 7500Å/min이고 클리닝 균일성은 약 10%이다. 200 sccm 이상으로 NF3을 증가하면 클리닝 속도는 약 6000Å/min으로 감소하며 균일성은 약 15%로 증가한다. 200 sccm 아래로 NF3유량이 감소하면 클리닝 속도는 약 3000Å/min으로 낮아지며 반면에 클리닝 균일성은 20% 내지 30%로 증가된다.
도 11은 일정한 Ar과 NF3의 클리닝 속도와 균일성에 대한 챔버 압력의 효과를 나타낸다. 2토르의 챔버 압력에서 클리닝 균일성은 약 10%이면 클리닝 속도는 약 7500Å/min이다. 2토르 이하의 압력에서 클리닝 균일성은 단지 적당히 증가되지만 클리닝 속도는 약 3000Å/min으로 감소된다. 2토르 이상의 압력에서 균일성은 약 20%이상이며 클리닝 속도는 단지 약 3000Å/min이다. 보다 큰 클리닝 속도는 보다 빠른 클리닝을 가리키고 낮은 클리닝 균일성은 클리닝이 챔버 내부 전체에 보다 고르게 적용되는 것을 가리키므로, 낮은 클리닝 균일성은 약간의 챔버 부품이 과클리닝 및 손상될 수 있는 가능성을 줄인다. 그러므로, 도 9, 도 10 및 도 11에서 얻은 결과를 살펴보면, 가장 높은 클리닝 속도와 가장 낮은 균일성을 가진 우수한 클리닝은 챔버(10)내에서 본 발명의 방법을 사용해서 어플리케이터(72)로 활성되고 2 : 1 비율로 챔버에 제공되거나 400 sccm Ar과 200 sccm의 NF3의 특정 실시예로 제공되는 Ar/NF3혼합물과, 1400와트의 마이크로파 파워와 2토르 압력에서 성취될 수 있다.
도 12는 도 7의 챔버(100)와 비슷한 챔버에서 실시된 테스트에서 얻은 변경 결과를 나타낸다. 이 테스트에서, 이산화실리콘 필름을 300mm 웨이퍼상에 증착하며 필름의 처음의 두께와 균일성을 측정한다. 그리고 나서 웨이퍼를 챔버(100)내 놓고 도 8에 설명한 클리닝 방법과 유사한 클리닝 프로세스 조건에 노출한다. 클리닝 프로세스를 실시한 후, 웨이퍼를 챔버로부터 제거하고 필름의 두께와 균일성을 다시 측정한다. 이들 포스트 클리닝 측정치는 도 12에 도시되어 있다. 도 8의 방법을 1 : 2 Ar/NF3챔버(100)내에서 실시하여, 이 실시예에서는 750 sccm Ar과 1500 sccm의 NF3이 사용되며, 4500와트의 마이크로파 파워와 3토르 챔버 압력이 사용된다. 도 8의 방법의 이 특정 실시예는 4.34%의 클리닝 균일성과 2000Å/min의 클리닝 속도를 얻는다.
본 발명의 특정 실시예가 도시되고 기술되어 있어도, 추가의 변경예나 개선예는 당업자에게 일어날 것이다. 그러므로, 본 발명이 도시한 특정 형태에 제한되지 않고 본 발명의 정신과 범주로부터 벗어나지 않은 모든 변경예를 커버하는 첨부 청구범위에 의해서 제한하고자 한다.
프로세싱 챔버로부터 증착물을 제거하기 위해서, 혼자서 또는 불활성 가스와 조합해서 사용될 수 있는 반응종을 멀리서 발생하도록 마이크로파 에너지를 이용하는 프로세싱 챔버 클리닝 방법에 관한 것이다. 반응종은 증착들을 제 1프로세싱 지역에서 제 1압력에서 제거할 수 있고 그리고 나서 증착들을 제 2프로세싱 지역에서 제 2압력에서 제거할 수 있다. 또한 단일 프로세싱 지역내에서 두 개의 다른 압력에서 멀리서 발생된 반응종을 이용하는 클리닝 프로세스에 관한 것으로, 반응 가스과 불활성 가스의 다른 비율들은 클리닝 프로세스의 균일성을 개선하고, 클리닝 속드를 증가시키고, 반응종의 재조합을 줄이고 그리고 프로세싱 챔버에 제공된 반응종의 잔류 시간을 증가시킨다.

Claims (21)

  1. 프로세싱 챔버의 내면상에 형성된 프로세싱 챔버 증착물로부터 클리닝하는 방법으로서, 상기 프로세싱 챔버 내면은 제 1지역과 상기 제 1지역과 다른 제 2지역을 포함하며, 상기 방법은,
    a) 반응종을 형성하도록 상기 프로세싱 챔버 외측에 있는, 불활성 가스와 클리닝 가스를 포함하는 가스 혼합물을 분리하는 단계와,
    b) 상기 반응종을 상기 프로세싱 챔버에 제공하는 단계와,
    c) 상기 반응종을 상기 프로세싱 챔버의 제 1지역내의 상기 증착물과 반응시키는 단계와,
    d) 상기 프로세싱 챔버의 제 1지역내에 형성된 상기 증착물로부터 휘발성 화합물을 형성하는 단계와,
    e) 상기 프로세싱 챔버로부터 상기 프로세싱 챔버의 제 1지역내에 형성된 상기 증착물로부터 휘발성 화합물을 제거하는 단계와,
    f) 상기 프로세싱 챔버의 제 1 및 제 2지역사이의 유체 연통을 증가시키는 단계와,
    g) 상기 반응종을 상기 프로세싱 챔버의 제 2지역내의 상기 증착물과 반응시키는 단계와,
    h) 상기 프로세싱 챔버의 제 2지역내에 형성된 상기 증착물로부터 휘발성 화합물을 형성하는 단계와,
    i) 상기 프로세싱 챔버로부터 상기 프로세싱 챔버의 제 2지역내에 형성된 상기 증착물로부터 휘발성 화합물을 제거하는 단계를 포함하는 방법.
  2. 제 1항에 있어서, 상기 불활성 가스 대 상기 클리닝 가스의 비는 약 2 : 1인 방법.
  3. 제 1항에 있어서, 상기 반응종을 형성하도록 상기 프로세싱 챔버 외측에 있는 가스 혼합물을 분리하는 a) 단계를 마이크로파 파워 원격 플라즈마 장치내에서 실시하는 방법.
  4. 제 1항에 있어서, 상기 반응종을 상기 프로세싱 챔버의 제 1지역내의 상기 증착물과 반응시키는 c) 단계를 제 1압력에서 실행하고 상기 반응종을 상기 프로세싱 챔버의 제 2역내의 상기 증착물과 반응시키는 g) 단계를 제 2압력과 다른 제 2압력에서 실행하는 방법.
  5. 제 2항에 있어서, 상기 제 1가스는 불활성 가스이고 상기 제 2가스는 할로겐화 가스인 방법.
  6. 제 5항에 있어서, 상기 할로겐화 가스는 NF3인 방법.
  7. 제 3항에 있어서, 상기 마이크로파 파워는 상기 가스 혼합물내의 상기 클리닝 가스의 99% 이상의 분해를 일으키는 방법.
  8. 제 4항에 있어서, 상기 제 1압력은 상기 제 2압력 보다 큰 방법.
  9. 제 4항에 있어서, 상기 제 1압력은 상기 제 2압력의 두 배인 방법.
  10. 제 4항에 있어서, 상기 제 1압력은 약 2토르인 방법.
  11. 프로세싱 챔버내에 배치된 기판상에 수행된 증착 작업의 결과로서 프로세싱 챔버내에 형성된 증착물을 제거하는 방법으로서, 상기 방법은,
    a) 상기 프로세싱 챔버로부터 분리된 원격 챔버내에서 클리닝 가스를 활성하여 상기 클리닝 가스로부터 반응종을 형성하는 단계와,
    b) 상기 반응종과 혼합하는 불활성 가스를 제공하여 반응종을 포함하는 가스 혼합물을 형성하는 단계와,
    c) 상기 가스 혼합물을 상기 프로세싱 챔버로 제공하면서 상기 프로세싱 챔버를 제 1압력으로 유지하는 단계와,
    d) 상기 프로세싱 챔버를 제 1압력으로 유지하면서, 상기 반응종과 상기 증착물을 반응시켜 휘발성 화합물을 형성하는 단계와 그 후에 상기 제 1압력에서 형성된 상기 휘발성 화합물을 상기 프로세싱 챔버로부터 제거하는 단계와,
    e) 상기 프로세싱 챔버를 상기 제 1압력과 다른 제 2압력으로 유지하면서, 상기 반응종을 포함하는 가스 혼합물을 상기 프로세싱 챔버에 제공하는 단계와,
    f) 상기 프로세싱 챔버를 상기 제 2압력으로 유지하면서, 상기 반응종과 상기 증착물을 반응시켜 휘발성 화합물을 형성하는 단계와 그 후에 상기 제 2압력에서 형성된 상기 휘발성 화합물을 상기 프로세싱 챔버로부터 제거하는 단계와,
    g) 상기 프로세싱 챔버내에 프로세싱 작업을 실시하여 상기 챔버내에 배치된 기판 없이 상기 프로세싱 챔버의 내면상의 필름을 형성하는 단계를 포함하는 방법.
  12. 제 11항에 있어서, 상기 제 2압력은 상기 제 1압력보다 낮은 방법.
  13. 제 11항에 있어서, 상기 제 2압력은 상기 제 1압력의 약 절반인 방법.
  14. 제 11항에 있어서, 상기 반응종과 혼합하는 불활성 가스를 제공하여 반응종을 포함하는 가스 혼합물을 형성하는 b) 단계는 반응종을 형성하는데 사용된 클리닝 가스의 유량의 두 배인 불활성 가스 유량을 더 포함하는 방법.
  15. 제 11항에 있어서, 상기 제 1압력을 유지하면서 상기 프로세싱 챔버의 제 1프로세싱 지역에 반응종을 포함하는 가스 혼합물을 제공하고, 상기 제 1프로세싱 챔버내의 상기 제 2압력을 유지하면서 상기 제 1프로세싱 지역과 다른 제 2프로세싱 지역에 반응종을 포함하는 상기 가스 혼합물을 제공하는 단계를 더 포함하는 방법.
  16. 기판상에 탄탈을 포함하는 필름을 증착하는데 실시된 기판 프로세싱 작업의 결과로서 프로세싱 챔버의 내면상에 형성된 탄탈을 포함하는 증착물을 제거하는 방법으로서, 상기 프로세싱 챔버는 제 1프로세싱 지역과 상기 제 1 프로세싱 지역과 다른 제 2 프로세싱 지역을 포함하며, 상기 방법은,
    a) 상기 프로세싱 챔버 외측에 있는 원격 챔버내에서 플라즈마를 생성하는 단계와,
    b) 상기 불활성 가스와 상기 클리닝 가스를 활성하여 상기 클리닝 가스로부터 반응종을 형성하는 단계와,
    c) 상기 반응종과 혼합하는 불활성 가스를 제공하여 반응종과 불활성 가스를 포함하는 가스 혼합물을 형성하는 단계와,
    d) 상기 프로세싱 챔버내에 제 1프로세싱 지역에 상기 혼합물을 제공하는 단계와,
    e) 상기 프로세싱 챔버를 제 1압력으로 유지하면서, 상기 제 1프로세싱 지역내의 상기 탄탈을 포함하는 필름과 상기 반응종을 반응시켜 휘발성 화합물을 형성하는 단계와,
    f) 상기 제 1프로세싱 지역에서 형성된 상기 휘발성 화합물을 상기 프로세싱 챔버로부터 제거하는 단계와,
    g) 상기 제 1 및 제 2 프로세싱 지역사이의 유체 연통을 증가시키는 단계와,
    h) 상기 프로세싱 챔버내의 제 2프로세싱 지역에 상기 가스 혼합물을 제공하는 단계와,
    i) 상기 프로세싱 챔버를 상기 제 2압력으로 유지하면서, 상기 제 2프로세싱 지역내의 상기 탄탈을 포함하는 필름과 상기 반응종을 반응시켜 휘발성 화합물을 형성하는 단계와,
    j) 상기 제 2프로세싱 지역에서 형성된 상기 휘발성 화합물을 상기 프로세싱 챔버로부터 제거하는 단계를 포함하는 방법.
  17. 제 16항에 있어서, 상기 j) 단계 다음에 상기 챔버내에 기판의 존재 없이 상기 프로세싱 챔버내에서 프로세싱 작업을 실시하여 상기 프로세싱 챔버의 내면에 탄탈을 포함하는 필름을 형성하는 단계를 더 포함하며, 상기 프로세싱 작업을 제 1 및 제 2 프로세싱 지역으로부터 형성된 상기 휘발성 화합물을 상기 프로세싱 챔버로부터 제거한 후 실시하는 방법.
  18. 제 16항에 있어서, 상기 클리닝 가스는 할로겐인 방법.
  19. 제 16항에 있어서, 상기 클리닝 가스는 불소를 포함하는 방법.
  20. 제 16항에 있어서, 상기 클리닝 가스는 NF3인 방법.
  21. 제 16항에 있어서, 상기 불활성 가스를 Ar, Xe, Ne, He 및 N2그룹으로부터 선택하는 방법.
KR1020000037676A 1999-07-02 2000-07-03 프로세싱 챔버용 원격 플라즈마 클리닝 방법 KR100743374B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/347,236 1999-07-02
US9/347,236 1999-07-02
US09/347,236 US6274058B1 (en) 1997-07-11 1999-07-02 Remote plasma cleaning method for processing chambers

Publications (2)

Publication Number Publication Date
KR20010049697A true KR20010049697A (ko) 2001-06-15
KR100743374B1 KR100743374B1 (ko) 2007-07-30

Family

ID=23362882

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020000037676A KR100743374B1 (ko) 1999-07-02 2000-07-03 프로세싱 챔버용 원격 플라즈마 클리닝 방법

Country Status (4)

Country Link
US (1) US6274058B1 (ko)
EP (1) EP1065295A1 (ko)
JP (1) JP4889138B2 (ko)
KR (1) KR100743374B1 (ko)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE10305602A1 (de) * 2002-11-11 2004-06-03 Samsung Electronics Co., Ltd., Suwon Verfahren und Vorrichtung zum Erzeugen eines Gasplasmas, Gaszusammensetzung zum Erzeugen von Plasma und Verfahren zum Erzeugen einer Halbleitervorrichtung, das dieses verwendet
KR100786609B1 (ko) * 2005-05-16 2007-12-21 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 기구 부품의 반응성 기체 청소 방법 및 공정
KR100931771B1 (ko) * 2005-06-02 2009-12-14 어플라이드 머티어리얼스, 인코포레이티드 산화막 내에 질소를 도입하는 방법 및 장치
KR20180018828A (ko) * 2012-11-30 2018-02-21 카티바, 인크. 산업용 프린팅 시스템의 유지 방법
KR20200091246A (ko) * 2019-01-22 2020-07-30 (주) 알에프티에스아이 오존 생성장치 및 오존셀 세정장치

Families Citing this family (67)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3381774B2 (ja) * 1997-12-24 2003-03-04 東京エレクトロン株式会社 CVD−Ti膜の成膜方法
US6383300B1 (en) * 1998-11-27 2002-05-07 Tokyo Electron Ltd. Heat treatment apparatus and cleaning method of the same
US6374831B1 (en) * 1999-02-04 2002-04-23 Applied Materials, Inc. Accelerated plasma clean
US20020020433A1 (en) * 1999-12-28 2002-02-21 Asami Suemura Oxidation apparatus and method of cleaning the same
KR100767762B1 (ko) * 2000-01-18 2007-10-17 에이에스엠 저펜 가부시기가이샤 자가 세정을 위한 원격 플라즈마 소스를 구비한 cvd 반도체 공정장치
US6596123B1 (en) * 2000-01-28 2003-07-22 Applied Materials, Inc. Method and apparatus for cleaning a semiconductor wafer processing system
US6329297B1 (en) * 2000-04-21 2001-12-11 Applied Materials, Inc. Dilute remote plasma clean
DE10029523A1 (de) * 2000-06-21 2002-01-10 Messer Griesheim Gmbh Verfahren und Vorrichtung zum Reinigen eines PVD- oder CVD-Reaktors sowie von Abgasleitungen desselben
US6835278B2 (en) * 2000-07-07 2004-12-28 Mattson Technology Inc. Systems and methods for remote plasma clean
US6569257B1 (en) * 2000-11-09 2003-05-27 Applied Materials Inc. Method for cleaning a process chamber
JP2002280376A (ja) * 2001-03-22 2002-09-27 Research Institute Of Innovative Technology For The Earth Cvd装置のクリーニング方法およびそのためのクリーニング装置
US7159597B2 (en) * 2001-06-01 2007-01-09 Applied Materials, Inc. Multistep remote plasma clean process
JP4121269B2 (ja) * 2001-11-27 2008-07-23 日本エー・エス・エム株式会社 セルフクリーニングを実行するプラズマcvd装置及び方法
US20030145790A1 (en) * 2002-02-05 2003-08-07 Hitoshi Sakamoto Metal film production apparatus and metal film production method
US6902629B2 (en) * 2002-04-12 2005-06-07 Applied Materials, Inc. Method for cleaning a process chamber
DE10229037A1 (de) * 2002-06-28 2004-01-29 Robert Bosch Gmbh Vorrichtung und Verfahren zur Erzeugung von Chlortrifluorid und Anlage zur Ätzung von Halbleitersubstraten mit dieser Vorrichtung
US7588036B2 (en) * 2002-07-01 2009-09-15 Applied Materials, Inc. Chamber clean method using remote and in situ plasma cleaning systems
US20040014327A1 (en) * 2002-07-18 2004-01-22 Bing Ji Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials
US7097716B2 (en) * 2002-10-17 2006-08-29 Applied Materials, Inc. Method for performing fluorocarbon chamber cleaning to eliminate fluorine memory effect
US6802945B2 (en) * 2003-01-06 2004-10-12 Megic Corporation Method of metal sputtering for integrated circuit metal routing
US6923189B2 (en) * 2003-01-16 2005-08-02 Applied Materials, Inc. Cleaning of CVD chambers using remote source with cxfyoz based chemistry
US20040211357A1 (en) * 2003-04-24 2004-10-28 Gadgil Pradad N. Method of manufacturing a gap-filled structure of a semiconductor device
US7371427B2 (en) * 2003-05-20 2008-05-13 Applied Materials, Inc. Reduction of hillocks prior to dielectric barrier deposition in Cu damascene
US7723228B2 (en) * 2003-05-20 2010-05-25 Applied Materials, Inc. Reduction of hillocks prior to dielectric barrier deposition in Cu damascene
KR100797498B1 (ko) * 2003-06-27 2008-01-24 동경 엘렉트론 주식회사 플라즈마 발생 방법, 클리닝 방법 및 기판 처리 방법
JP4495471B2 (ja) 2004-01-13 2010-07-07 三星電子株式会社 エッチング方法
US7288284B2 (en) * 2004-03-26 2007-10-30 Taiwan Semiconductor Manufacturing Co., Ltd. Post-cleaning chamber seasoning method
US20050241669A1 (en) * 2004-04-29 2005-11-03 Tokyo Electron Limited Method and system of dry cleaning a processing chamber
US20050260354A1 (en) * 2004-05-20 2005-11-24 Varian Semiconductor Equipment Associates, Inc. In-situ process chamber preparation methods for plasma ion implantation systems
KR100580584B1 (ko) * 2004-05-21 2006-05-16 삼성전자주식회사 리모트 플라즈마 발생 튜브의 표면 세정 방법과 이를이용하는 기판 처리 방법 및 기판 처리 장치
US20050284573A1 (en) * 2004-06-24 2005-12-29 Egley Fred D Bare aluminum baffles for resist stripping chambers
US20060090773A1 (en) * 2004-11-04 2006-05-04 Applied Materials, Inc. Sulfur hexafluoride remote plasma source clean
JP4651406B2 (ja) * 2005-02-16 2011-03-16 キヤノンアネルバ株式会社 プラズマによるガス分解装置を用いた表面処理方法
US8163087B2 (en) * 2005-03-31 2012-04-24 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US20060266288A1 (en) * 2005-05-27 2006-11-30 Applied Materials, Inc. High plasma utilization for remote plasma clean
KR101194020B1 (ko) * 2005-07-08 2012-10-24 매그나칩 반도체 유한회사 반도체 장치 제조 방법
WO2007045110A2 (en) * 2005-10-17 2007-04-26 Oc Oerlikon Balzers Ag Cleaning means for large area pecvd devices using a remote plasma source
US7662723B2 (en) * 2005-12-13 2010-02-16 Lam Research Corporation Methods and apparatus for in-situ substrate processing
US7846257B2 (en) * 2005-12-14 2010-12-07 Tokyo Electron Limited Method for cleaning substrate processing apparatus, substrate processing apparatus, program and recording medium having program recorded therein
US20090068844A1 (en) * 2006-04-10 2009-03-12 Solvay Fluor Gmbh Etching Process
US20070266946A1 (en) * 2006-05-22 2007-11-22 Byung-Chul Choi Semiconductor device manufacturing apparatus and method of using the same
KR100725105B1 (ko) * 2006-07-12 2007-06-04 삼성전자주식회사 반도체 제조설비의 애플리케이터
US7518092B2 (en) * 2007-03-15 2009-04-14 Capital Technologies, Inc. Processing apparatus with an electromagnetic launch
US7867921B2 (en) * 2007-09-07 2011-01-11 Applied Materials, Inc. Reduction of etch-rate drift in HDP processes
US8118946B2 (en) * 2007-11-30 2012-02-21 Wesley George Lau Cleaning process residues from substrate processing chamber components
US8262800B1 (en) * 2008-02-12 2012-09-11 Novellus Systems, Inc. Methods and apparatus for cleaning deposition reactors
US8404135B2 (en) * 2008-08-26 2013-03-26 Taiwan Semiconductor Manufacturing Co., Ltd. Plasma cleaning for process chamber component refurbishment
US7967913B2 (en) * 2008-10-22 2011-06-28 Applied Materials, Inc. Remote plasma clean process with cycled high and low pressure clean steps
KR101559425B1 (ko) * 2009-01-16 2015-10-13 삼성전자주식회사 반도체 소자의 제조 방법
US8591659B1 (en) * 2009-01-16 2013-11-26 Novellus Systems, Inc. Plasma clean method for deposition chamber
KR101030997B1 (ko) 2009-10-16 2011-04-25 주식회사 아토 증착 장치 및 이를 이용한 갭필 방법
KR101794069B1 (ko) * 2010-05-26 2017-12-04 삼성전자주식회사 반도체 제조설비 및 그의 시즈닝 공정 최적화 방법
JP5938470B2 (ja) * 2011-06-03 2016-06-22 コリア・ベーシック・サイエンス・インスティテュート 医療用プラズマ滅菌装置
US20140069459A1 (en) * 2012-09-09 2014-03-13 Novellus Systems, Inc. Methods and apparatus for cleaning deposition chambers
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
JP6422262B2 (ja) * 2013-10-24 2018-11-14 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US9155184B2 (en) 2013-11-18 2015-10-06 Applied Materials, Inc. Plasma generation source employing dielectric conduit assemblies having removable interfaces and related assemblies and methods
US10755903B2 (en) * 2016-01-15 2020-08-25 Applied Materials, Inc. RPS defect reduction by cyclic clean induced RPS cooling
CN107154332B (zh) * 2016-03-03 2019-07-19 中微半导体设备(上海)股份有限公司 一种等离子体处理装置及方法
US10636628B2 (en) 2017-09-11 2020-04-28 Applied Materials, Inc. Method for cleaning a process chamber
US10600624B2 (en) 2017-03-10 2020-03-24 Applied Materials, Inc. System and method for substrate processing chambers
US10312076B2 (en) 2017-03-10 2019-06-04 Applied Materials, Inc. Application of bottom purge to increase clean efficiency
JP2021034515A (ja) * 2019-08-22 2021-03-01 東京エレクトロン株式会社 クリーニング方法及びマイクロ波プラズマ処理装置
JP7454467B2 (ja) * 2020-08-03 2024-03-22 株式会社荏原製作所 基板処理システム、基板処理システムの制御装置及び基板処理システムの運転方法
US11670492B2 (en) * 2020-10-15 2023-06-06 Applied Materials, Inc. Chamber configurations and processes for particle control
CN112609168B (zh) * 2020-11-30 2023-06-06 中威新能源(成都)有限公司 一种快速清洗大面积真空腔室内累积薄膜的方法
KR102647683B1 (ko) * 2021-11-25 2024-03-13 세메스 주식회사 기판 처리 장치 및 이를 이용한 기판 처리 방법

Family Cites Families (70)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5782955A (en) 1980-11-12 1982-05-24 Hitachi Ltd Microwave plasma generating apparatus
JPS59181530A (ja) * 1983-03-31 1984-10-16 Komatsu Ltd 半導体製造装置の洗浄方法及び洗浄装置
AU544534B2 (en) 1983-06-14 1985-06-06 Toyota Jidosha Kabushiki Kaisha Plasma coating
JPS6114726A (ja) 1984-06-29 1986-01-22 Fujitsu Ltd 半導体基板の処理方法
US5364519A (en) 1984-11-30 1994-11-15 Fujitsu Limited Microwave plasma processing process and apparatus
JPH0740566B2 (ja) 1986-02-04 1995-05-01 株式会社日立製作所 プラズマ処理方法及びその装置
US4736304A (en) 1986-04-07 1988-04-05 Energy Conversion Devices, Inc. Method and apparatus for operating one or more deposition systems
JPS6348832A (ja) 1986-08-19 1988-03-01 Tokyo Electron Ltd Cvd装置
JPS63114973A (ja) 1986-10-31 1988-05-19 Canon Inc マイクロ波プラズマcvd法による機能性堆積膜の形成装置
DE3739895A1 (de) 1986-12-01 1988-06-16 Korea Res Inst Chem Tech Verfahren und vorrichtung zur herstellung hochreinen silicium
US4986214A (en) 1986-12-16 1991-01-22 Mitsubishi Denki Kabushiki Kaisha Thin film forming apparatus
US5158644A (en) 1986-12-19 1992-10-27 Applied Materials, Inc. Reactor chamber self-cleaning process
KR880013424A (ko) 1987-04-08 1988-11-30 미타 가츠시게 플라즈머 장치
EP0296891B1 (en) * 1987-06-26 1996-01-10 Applied Materials, Inc. Process for self-cleaning of a reactor chamber
EP0310347B1 (en) 1987-09-30 1992-11-25 Sumitomo Metal Industries, Ltd. Thin film forming apparatus
JPH0192375A (ja) 1987-10-05 1989-04-11 Canon Inc マイクロ波プラズマcvd法による機能性堆積膜形成装置
JP2662688B2 (ja) 1987-10-16 1997-10-15 株式会社 半導体エネルギー研究所 被膜作製方法
FR2631258B1 (fr) 1988-05-10 1991-04-05 Prestations Services Sps Procede de nettoyage en surface par plasma differe
US4996077A (en) 1988-10-07 1991-02-26 Texas Instruments Incorporated Distributed ECR remote plasma processing and apparatus
JPH02125876A (ja) 1988-11-01 1990-05-14 Fujitsu Ltd Cvd装置の排気機構
US5084126A (en) 1988-12-29 1992-01-28 Texas Instruments Incorporated Method and apparatus for uniform flow distribution in plasma reactors
US5134965A (en) 1989-06-16 1992-08-04 Hitachi, Ltd. Processing apparatus and method for plasma processing
SE465100B (sv) 1989-06-30 1991-07-22 Inst Mikroelektronik Im Foerfarande och anordning foer att i en kallvaeggsreaktor behandla en kiselskiva
US4946549A (en) 1989-10-27 1990-08-07 At&T Bell Laboratories Method for fabricating or modifying an article comprising the removal of a polymer coating
US5211796A (en) 1990-01-08 1993-05-18 Lst Logic Corporation Apparatus for performing in-situ etch of CVD chamber
JPH03261138A (ja) 1990-03-09 1991-11-21 Mitsubishi Electric Corp 半導体装置のクリーニング方法およびクリーニング装置
US5008593A (en) 1990-07-13 1991-04-16 The United States Of America As Represented By The Secretary Of The Air Force Coaxial liquid cooling of high power microwave excited plasma UV lamps
JPH04100221A (ja) 1990-08-18 1992-04-02 Fujitsu Ltd 半導体装置の製造方法
JP3056772B2 (ja) 1990-08-20 2000-06-26 株式会社日立製作所 プラズマの制御方法ならびにプラズマ処理方法およびその装置
US5082517A (en) 1990-08-23 1992-01-21 Texas Instruments Incorporated Plasma density controller for semiconductor device processing equipment
US5273609A (en) 1990-09-12 1993-12-28 Texas Instruments Incorporated Method and apparatus for time-division plasma chopping in a multi-channel plasma processing equipment
US5173641A (en) 1990-09-14 1992-12-22 Tokyo Electron Limited Plasma generating apparatus
EP0478283B1 (en) 1990-09-26 1996-12-27 Hitachi, Ltd. Microwave plasma processing method and apparatus
US5111111A (en) 1990-09-27 1992-05-05 Consortium For Surface Processing, Inc. Method and apparatus for coupling a microwave source in an electron cyclotron resonance system
JPH04137618A (ja) 1990-09-28 1992-05-12 Fujitsu Ltd 半導体装置の製造方法
JPH04165075A (ja) 1990-10-25 1992-06-10 Canon Inc 化学蒸着装置のクリーニング法
JPH04243121A (ja) * 1991-01-18 1992-08-31 Fuji Electric Co Ltd ウエハ処理装置およびそのクリーニング方法
US5217570A (en) 1991-01-31 1993-06-08 Sony Corporation Dry etching method
JPH04302426A (ja) 1991-03-29 1992-10-26 Sony Corp デジタル・エッチング方法
US5234526A (en) 1991-05-24 1993-08-10 Lam Research Corporation Window for microwave plasma processing device
JPH0590180A (ja) 1991-07-26 1993-04-09 Fuji Electric Co Ltd プラズマcvd処理装置のドライクリーニング方法
JP3042127B2 (ja) 1991-09-02 2000-05-15 富士電機株式会社 酸化シリコン膜の製造方法および製造装置
JP2757618B2 (ja) 1991-09-27 1998-05-25 日本電気株式会社 半導体装置の製造方法
DE4132558C1 (ko) 1991-09-30 1992-12-03 Secon Halbleiterproduktionsgeraete Ges.M.B.H., Wien, At
DE4132561C2 (de) 1991-09-30 1994-08-18 Siemens Ag Verfahren zur plasmaunterstützten Scheibenreinigung bei der Herstellung integrierter Halbleiterschaltungen
US5211995A (en) 1991-09-30 1993-05-18 Manfred R. Kuehnle Method of protecting an organic surface by deposition of an inorganic refractory coating thereon
US5234529A (en) 1991-10-10 1993-08-10 Johnson Wayne L Plasma generating apparatus employing capacitive shielding and process for using such apparatus
DE4202862A1 (de) 1992-02-01 1993-08-05 Gerhard Prof Barich Verfahren und vorrichtung zur wiederverwertung von sortenreinen oder gemischten, sauberen oder verunreinigten kunststoffabfaellen
US5282899A (en) 1992-06-10 1994-02-01 Ruxam, Inc. Apparatus for the production of a dissociated atomic particle flow
US5306985A (en) 1992-07-17 1994-04-26 Sematech, Inc. ECR apparatus with magnetic coil for plasma refractive index control
WO1994006150A1 (en) 1992-09-02 1994-03-17 The University Of North Carolina At Chapel Hill Method for plasma processing at high pressures
US5567241A (en) 1993-04-30 1996-10-22 Energy Conversion Devices, Inc. Method and apparatus for the improved microwave deposition of thin films
JPH06318580A (ja) 1993-05-07 1994-11-15 Fuji Electric Co Ltd ドライクリーニング方法
US5387288A (en) 1993-05-14 1995-02-07 Modular Process Technology Corp. Apparatus for depositing diamond and refractory materials comprising rotating antenna
IT1261918B (it) 1993-06-11 1996-06-04 Cetev Cent Tecnolog Vuoto Struttura per deposizione reattiva di metalli in impianti da vuoto continui e relativo processo.
US5413670A (en) 1993-07-08 1995-05-09 Air Products And Chemicals, Inc. Method for plasma etching or cleaning with diluted NF3
US5454903A (en) 1993-10-29 1995-10-03 Applied Materials, Inc. Plasma cleaning of a CVD or etch reactor using helium for plasma stabilization
US5545289A (en) 1994-02-03 1996-08-13 Applied Materials, Inc. Passivating, stripping and corrosion inhibition of semiconductor substrates
JPH07335563A (ja) * 1994-06-10 1995-12-22 Mitsubishi Electric Corp プラズマcvd装置
JPH0831752A (ja) * 1994-07-15 1996-02-02 Toshiba Corp Cvd装置の反応室のクリーニング方法およびコーティング方法
EP0697467A1 (en) * 1994-07-21 1996-02-21 Applied Materials, Inc. Method and apparatus for cleaning a deposition chamber
JP3107275B2 (ja) 1994-08-22 2000-11-06 東京エレクトロン株式会社 半導体製造装置及び半導体製造装置のクリーニング方法
JP3404434B2 (ja) 1994-09-19 2003-05-06 株式会社日立製作所 マイクロ波プラズマ装置のクリーニング方法
US5503676A (en) 1994-09-19 1996-04-02 Lam Research Corporation Apparatus and method for magnetron in-situ cleaning of plasma reaction chamber
US5451615A (en) 1994-10-20 1995-09-19 The Dow Chemical Company Process for preparing polyurethane foam in the presence of a hydrocarbon blowing agent
US5558717A (en) 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
US6114216A (en) * 1996-11-13 2000-09-05 Applied Materials, Inc. Methods for shallow trench isolation
US5849092A (en) * 1997-02-25 1998-12-15 Applied Materials, Inc. Process for chlorine trifluoride chamber cleaning
US6125859A (en) * 1997-03-05 2000-10-03 Applied Materials, Inc. Method for improved cleaning of substrate processing systems
JP3356654B2 (ja) * 1997-07-14 2002-12-16 東芝マイクロエレクトロニクス株式会社 半導体ウエハ成膜装置

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE10305602A1 (de) * 2002-11-11 2004-06-03 Samsung Electronics Co., Ltd., Suwon Verfahren und Vorrichtung zum Erzeugen eines Gasplasmas, Gaszusammensetzung zum Erzeugen von Plasma und Verfahren zum Erzeugen einer Halbleitervorrichtung, das dieses verwendet
DE10305602B4 (de) * 2002-11-11 2005-05-04 Samsung Electronics Co., Ltd., Suwon Verfahren und Vorrichtung zum Erzeugen eines Gasplasmas und Verfahren zur Herstellung einer Halbleitervorrichtung
US7193369B2 (en) 2002-11-11 2007-03-20 Samsung Electronics Co., Ltd. Method for generating gas plasma
US7578944B2 (en) 2002-11-11 2009-08-25 Samsung Electronics Co., Ltd. Apparatus for generating gas plasma, gas composition for generating plasma and method for manufacturing semiconductor device using the same
US8083892B2 (en) 2002-11-11 2011-12-27 Samsung Electronics Co., Ltd. Apparatus for generating gas plasma, gas composition for generating plasma and method for manufacturing semiconductor device using the same
KR100786609B1 (ko) * 2005-05-16 2007-12-21 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 기구 부품의 반응성 기체 청소 방법 및 공정
KR100931771B1 (ko) * 2005-06-02 2009-12-14 어플라이드 머티어리얼스, 인코포레이티드 산화막 내에 질소를 도입하는 방법 및 장치
KR20180018828A (ko) * 2012-11-30 2018-02-21 카티바, 인크. 산업용 프린팅 시스템의 유지 방법
KR20180135088A (ko) * 2012-11-30 2018-12-19 카티바, 인크. 산업용 프린팅 시스템의 유지 방법
KR20200091246A (ko) * 2019-01-22 2020-07-30 (주) 알에프티에스아이 오존 생성장치 및 오존셀 세정장치

Also Published As

Publication number Publication date
US6274058B1 (en) 2001-08-14
JP4889138B2 (ja) 2012-03-07
KR100743374B1 (ko) 2007-07-30
JP2001085418A (ja) 2001-03-30
EP1065295A1 (en) 2001-01-03

Similar Documents

Publication Publication Date Title
KR100743374B1 (ko) 프로세싱 챔버용 원격 플라즈마 클리닝 방법
US10424464B2 (en) Oxide etch selectivity systems and methods
US9472412B2 (en) Procedure for etch rate consistency
JP5100936B2 (ja) 基板処理チャンバ、堆積装置およびガス分配器
US8951429B1 (en) Tungsten oxide processing
US6170428B1 (en) Symmetric tunable inductively coupled HDP-CVD reactor
US8889023B2 (en) Plasma processing apparatus and plasma processing method
US7033937B2 (en) Apparatus and method for use in manufacturing a semiconductor device
US7998307B2 (en) Electron beam enhanced surface wave plasma source
US20150371865A1 (en) High selectivity gas phase silicon nitride removal
US20100098882A1 (en) Plasma source for chamber cleaning and process
KR20180130596A (ko) 플라즈마 프로세싱 챔버에서의 인-시튜 챔버 세정 효율 향상을 위한 플라즈마 처리 프로세스
US6887341B2 (en) Plasma processing apparatus for spatial control of dissociation and ionization
KR20110010631A (ko) 유동성 유전 장치 및 프로세스
US9218983B2 (en) Etching method and device
CN107924839B (zh) 可调的远程分解
KR20020093868A (ko) 반도체의 표면처리방법
KR20190087323A (ko) 플라즈마 처리 장치의 부품의 클리닝 방법
US7938081B2 (en) Radial line slot antenna having a conductive layer
CN113710829A (zh) 高蚀刻选择性的低应力可灰化碳硬掩模
JP4558284B2 (ja) プラズマ発生方法、クリーニング方法、基板処理方法、およびプラズマ発生装置
JP2005019853A (ja) プラズマクリーニング方法および基板処理方法
JP4059792B2 (ja) 半導体製造方法
KR20210097045A (ko) 에칭 방법, 기판 처리 장치, 및 기판 처리 시스템
KR20210080215A (ko) 에칭 방법, 기판 처리 장치, 및 기판 처리 시스템

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120628

Year of fee payment: 6

LAPS Lapse due to unpaid annual fee