KR102223829B1 - 캐러셀 처리 챔버들을 위한 강성 플레이트를 갖는 대기 리드 - Google Patents

캐러셀 처리 챔버들을 위한 강성 플레이트를 갖는 대기 리드 Download PDF

Info

Publication number
KR102223829B1
KR102223829B1 KR1020157028687A KR20157028687A KR102223829B1 KR 102223829 B1 KR102223829 B1 KR 102223829B1 KR 1020157028687 A KR1020157028687 A KR 1020157028687A KR 20157028687 A KR20157028687 A KR 20157028687A KR 102223829 B1 KR102223829 B1 KR 102223829B1
Authority
KR
South Korea
Prior art keywords
injector assembly
assembly
chamber
processing chamber
injector
Prior art date
Application number
KR1020157028687A
Other languages
English (en)
Other versions
KR20150131200A (ko
Inventor
조셉 유도브스키
케빈 그리핀
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20150131200A publication Critical patent/KR20150131200A/ko
Application granted granted Critical
Publication of KR102223829B1 publication Critical patent/KR102223829B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45557Pulsed pressure or control pressure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67126Apparatus for sealing, encapsulating, glassing, decapsulating or the like
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4409Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber characterised by sealing means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • H01L21/205
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32513Sealing means, e.g. sealing between different parts of the vessel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32605Removable or replaceable electrodes or electrode systems

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

인젝터 조립체의 처리 측면과 인젝터 조립체의 대기 측면 사이의 상이한 차압의 결과로서 인젝터 조립체의 편향을 감소시키기 위해 인젝터 조립체 위에 용적을 형성하는 리드 조립체들을 포함하는 처리 챔버들이 개시된다.

Description

캐러셀 처리 챔버들을 위한 강성 플레이트를 갖는 대기 리드 {ATMOSPHERIC LID WITH RIGID PLATE FOR CAROUSEL PROCESSING CHAMBERS}
본 발명의 실시예들은 일반적으로 공정 챔버 리드들에 관한 것이다. 더 자세하게는, 본 개시의 실시예들은 저압 처리 동안 가스 분배 조립체의 변형을 방지하는 공정 챔버 리드들에 관한 것이다.
반도체 장치를 형성하는 공정은 다중 챔버들을 포함하는 기판 처리 플랫폼들에서 일반적으로 수행된다. 일부 예들에서, 다중 챔버 공정 플랫폼 또는 클러스터 툴(cluster tool)의 목적은 제어 환경에서 기판 상에 두 개 또는 그 초과의 공정들을 순차적으로 수행하는 것이다. 그러나, 다른 예들에서 다중 챔버 공정 플랫폼은 기판들에 단지 단일 공정 단계만을 수행할 수 있으며; 추가적인 챔버들은 기판들이 플랫폼에 의해 처리되는 속도를 최대화기 위한 것이다. 후자의 경우에서, 기판들 상에 수행되는 공정은 통상적으로 배치(batch) 공정이며, 여기서 상대적으로 많은 수, 예를 들어 25 또는 50 개의 기판들이 주어진 챔버 내에서 동시에 처리된다. 배치 처리는 경제적으로 실행가능한 방식으로 개별적인 기판들 상에서 수행하기에 너무 시간 소모적인 공정에 대해, 예컨대 ALD 공정 및 몇몇의 화학 기상 증착(chemical vapor deposition, CVD) 공정에 대해 특히 유익하다.
기판 처리 플랫폼, 또는 시스템의 효과는 소유 비용(cost of ownership(COO))에 의해 종종 정량화된다. COO는 많은 인자들에 의해 영향을 받지만, 주로 시스템 점유 공간, 즉 제조 플랜트 내에서 시스템을 작동시키는데 요구되는 총 플로어 공간, 및 시스템 처리량, 즉 시간당 처리되는 기판들의 수에 의해 영향을 받는다. 점유 공간은 유지보수에 대해 요구되는 시스템에 인접한 접근 영역들을 통상적으로 포함한다. 그러므로, 비록 기판 처리 플랫폼이 상대적으로 작을 수 있지만, 상기 시스템이 작동 및 유지보수를 위한 모든 측면들로부터의 접근을 요구한다면, 시스템의 유효 점유 공간은 여전히 엄청나게 클 수 있다.
공정 가변성에 대한 반도체 산업의 공차는 반도체 장치들의 사이즈가 축소됨에 따라 계속 감소된다. 이러한 더 엄격한 공정 요구조건들을 충족시키기 위해, 반도체 산업은 더 엄격한 공정 윈도우(window) 요구조건들을 충족시키는 다수의 새로운 공정들을 발전시켰지만, 이러한 공정들은 종종 완료하기 위해 오랜 시간이 걸린다. 예를 들어, 높은 종횡비(high aspect ratio)의 표면, 65 nm 또는 더 작은 상호연결 피쳐 상에 구리 확산 배리어 층을 등각으로 형성하기 위해, ALD 공정을 사용하는 것이 필요할 수 있다. ALD는 CVD와 비교되는 우수한 스텝 커버리지(step coverage)를 입증하는 CVD의 변형이다. ALD는 전계발광 디스플레이(electroluminescent display)들을 제작하는데 본래 사용되었던 원자 층 에피택시(atomic layer epitaxy(ALE))에 기초한다. ALD는 기판 표면 상에 반응성 전구체 분자들의 포화 단층을 증착하기 위해 화학흡착(chemisorption)을 사용한다. 이것은 증착 챔버 내로 적합한 반응성 전구체들의 펄싱(pulsing)을 주기적으로 교번시킴으로써 달성된다. 반응성 전구체의 각각의 주입은, 기판 표면 상에 고른 재료 층을 형성하도록 이전에 증착된 층들에 새로한 원자 층을 제공하기 위해 불활성 가스 정화(purge)에 의해 통상적으로 분리된다. 반응성 전구체 및 불활성 정화 가스들의 사이클들은 바람직한 두께로 재료 층을 형성하기 위해 반복된다. ALD 기술들의 가장 큰 단점은 증착 속도가 통상적인 CVD 기술들보다 적어도 10배 만큼 매우 낮다는 점이다. 예를 들어, 일부 ALD 공정들은 기판의 표면 상에 고품질 층을 증착하기 위해 약 10 내지 약 200 분의 챔버 처리 시간을 요구할 수 있다. 더 양호한 장치 성능을 위해 이러한 ALD 및 에피택시(epitaxy) 공정들을 선택함에 있어서, 종래의 단일 기판 공정 챔버에서 장치를 제작하기 위한 비용은 매우 낮은 기판 공정 처리량으로 인해 증가될 것이다. 그러므로, 이러한 공정들을 실시할 때, 연속적인 기판 공정 방법이 경제적으로 실현가능하기 위해 요구된다.
새로운 세대의 ALD 공정 툴들은 웨이퍼 전반에 걸쳐 그리고 웨이퍼들 사이에 조성 및 두께 균일성을 충족하기 위해 웨이퍼와 증착 소스(인젝터) 사이의 간극의 엄격한 제어를 요구한다. 공정은 웨이퍼와 증착 소스 사이의 다양한 틈새에서 그리고 넓은 범위의 온도들에서 수행될 수 있다. 웨이퍼 면적 전반에 걸친 거리의 균일성을 감시하는 것이 중요할 수 있으며, 상기 거리는 직경이 1 내지 2 미터 정도일 수 있다.
저온 처리 동안, 상측 인젝터 조립체는 1 기압에서 약 1.3 mm 보다 더 큰 과도한 압력을 가진다. 이러한 편향은 너무 커서, 증착되는 필름들의 비-균일성을 초래한다. 챔버 리드와 챔버 몸체 사이의 온도 차들의 영향을 최소화시키는 저압 처리 챔버의 장치 및 방법들에 대한 본 분야의 요구가 존재한다.
본 발명의 하나 또는 그 초과의 실시예들은 챔버 몸체, 서셉터 조립체, 인젝터 조립체 및 챔버 리드를 포함하는 처리 챔버들에 관한 것이다. 챔버 몸체는 바닥 벽 및 측벽을 포함한다. 서셉터 조립체는 중심 축선 주변에서 복수의 기판들을 지지하고 회전시키기 위해 챔버 몸체 내에 있고 상측 표면을 가진다. 인젝터 조립체는 서셉터 조립체 위에 위치되며 후방 표면 및 서셉터 조립체의 상측 표면을 향하고 공정 용적을 형성하는 전방 표면을 가진다. 인젝터 조립체의 외측 주변 에지는 챔버 몸체의 측벽 상에 인젝터 조립체를 지지한다. 챔버 리드는 상측 벽 및 측벽을 포함한다. 측벽은 챔버 몸체의 측벽에 연결가능하다. 인젝터 조립체의 상측 표면 그리고 챔버 리드의 상측 벽 및 측벽은 리드 용적을 형성한다.
챔버 몸체의 측벽이 리지를 가지는 일부 실시예들에서, 인젝터 조립체의 외측 주변 에지는 리지에 의해 지지된다. 하나 또는 그 초과의 실시예들에서, 인젝터 조립체는 전방 표면으로부터 연장하는 외측 주변 에지에서 복수의 페그들을 더 포함하며, 복수의 페그들은 인젝터 조립체를 지지하기 위해 측벽의 리지 상에 위치된다. 일부 실시예들에서, 페그들은 인젝터 조립체와 일치하는 열 팽창 계수를 갖는 재료를 포함한다. 하나 또는 그 초과의 실시예들에서, 인젝터 조립체와 서셉터 조립체 사이의 간극은 실질적으로 최대 약 550℃의 온도 동안에 동일하게 유지된다.
일부 실시예들에서, 공정 용적 및 리드 용적은 유체 연통하여서 둘 모두가 처리 동안 대략 동일한 압력을 가지게 된다. 하나 또는 그 초과의 실시예들은 공정 용적 내의 압력을 낮추기 위해 공정 용적과 연통하는 진공 소스를 더 포함한다.
일부 실시예들에서, 챔버 리드의 측벽은 인젝터 조립체의 후방 표면의 일부분을 커버링하기 위해 측벽으로부터 안쪽으로 연장하는 립을 포함한다. 하나 또는 그 초과의 실시예들에서, 립은 인젝터 조립체의 후방 표면에 대해 유밀(fluid tight) 밀봉을 형성한다. 일부 실시예들은 공정 용적과 연통하는 진공 소스 및 리드 용적과 연통하는 진공 소스를 더 포함한다. 하나 또는 그 초과의 실시예들에서, 진공 소스는 공정 용적 및 리드 용적 모두에 대해 동일하며, 리드 용적 내의 압력은 공정 용적으로부터 별로도 제어될 수 있다.
일부 실시예들에서, 인젝터 조립체는 약 1 미터보다 더 큰 직경을 갖는 디스크형이다. 하나 또는 그 초과의 실시예들에서, 서셉터 조립체는 복수의 기판들을 지지하기 위한 복수의 오목부들을 더 포함한다. 일부 실시예들에서, 각각의 오목부들은 기판을 지지할 수 있는 크기를 가져서, 기판의 상측 표면은 실질적으로 서셉터 조립체의 상측 표면과 동일평면 상에 있다.
일부 실시예들에서, 챔버 리드의 상측 벽은 인젝터 조립체의 후방 표면 위에 약 5 mm 내지 약 0.5 미터의 범위로 이격된다.
하나 또는 그 초과의 실시예들에서, 인젝터 조립체는 인젝터 조립체의 내측 주변 영역으로부터 인젝터 조립체의 외측 주변 에지를 향하여 반경 방향으로 연장하는 복수의 가스 포트들을 포함하며, 각각의 가스 포트는 외측 주변 영역이 내측 주변 영역보다 더 넓고 서셉터 조립체의 상측 표면을 향하는 복수의 가스 스트림들을 제공하기 위해 인젝터 조립체의 전방 표면에 개구를 가진다.
일부 실시예들에서, 공정 용적이 약 1 mTorr 내지 약 30 Torr의 범위 내의 압력을 가질 때, 인젝터 조립체는 약 0.2 mm 미만으로 편향한다.
본 발명의 추가적인 실시예들은 챔버 몸체, 서셉터 조립체, 인젝터 조립체 및 챔버 리드를 포함하는 처리 챔버들에 관한 것이다. 챔버 몸체는 바닥 벽 및 리지를 포함하는 측벽을 포함한다. 서셉터 조립체는 중심 축선 주변에서 복수의 기판들을 지지하고 회전시키기 위해 챔버 몸체 내에 있다. 서셉터 조립체는 복수의 기판들을 지지하기 위해 복수의 오목부들을 포함하는 상측 표면을 가진다. 인젝터 조립체는 서셉터 조립체 위에 위치되고 서셉터 조립체의 상측 표면을 향하고 공정 용적을 형성하는 후방 표면 및 전방 표면을 가진다. 인젝터 조립체의 외측 주변 에지는 챔버 몸체의 측벽의 리지에 인젝터 조립체를 지지한다. 인젝터 조립체는 전방 표면에 개구를 가지는 복수의 반경 방향으로 배치되는 가스 포트들을 포함하며, 각각의 가스 포트들은 내측 주변 영역으로부터 외측 주변 에지를 향하여 연장하고 내측 영역보다 외측 에지가 더 넓다. 챔버 리드는 상측 벽 및 측벽을 포함한다. 측벽은 챔버 몸체 측벽에 연결가능하며, 인젝터 조립체의 상측 표면 그리고 챔버 리드의 상측 벽 및 측벽은 리드 용적을 형성한다.
일부 실시예들에서, 인젝터 조립체는 전방 표면으로부터 연장하는 외측 주변 에지에 복수의 페그들을 더 포함하며, 복수의 페그들은 인젝터 조립체를 지지하기 위해 측벽의 리지 상에 위치된다. 하나 또는 그 초과의 실시예들에서, 페그들은 인젝터 조립체와 일치하는 열 팽창 계수를 갖는 재료를 포함한다.
본 발명의 예시적인 실시예들이 얻어지고 상세하게 이해될 수 있는 방식으로, 위에 간단히 요약된 본 발명의 더 구체적인 설명이 첨부 도면들에 예시되는 본 발명의 실시예들을 참조하여 이루어질 것이다. 특정한 주지된 공정들은 본 발명을 모호하게 하지 않도록 본 발명에서 논의되지 않는다는 것이 이해될 것이다.
도 1은 본 발명의 하나 또는 그 초과의 실시예에 따른 처리 챔버에 대한 부분 사시도를 도시한다.
도 2는 본 발명의 하나 또는 그 초과의 실시예에 따른 인젝터 조립체 및 서셉터 조립체에 대한 부분도를 도시한다.
도 3은 본 발명의 하나 또는 그 초과의 실시예에 따른 인젝터 조립체의 세그먼트에 대한 부분 정면도를 도시한다.
도 4는 본 발명의 하나 또는 그 초과의 실시예에 따른 서셉터 조립체의 부분에 대한 사시도를 도시한다.
도 5는 본 발명의 하나 또는 그 초과의 실시예에 따른 처리 챔버에 대한 부분 횡단면 사시도를 도시한다.
이해를 용이하게 하기 위해, 가능하다면 도면들에 대해 공통인 동일한 요소들을 지칭하기 위해 동일한 참조 번호들이 사용되었다. 일 실시예들의 요소들 및 특징들이 추가적인 상세한 설명 없이 다른 실시예들에 유리하게 포함될 수 있다는 것이 고려된다. 그러나, 본 발명이 다른 동일하게 효과적인 실시예들을 허용할 수 있기 때문에, 첨부 도면들은 단지 본 발명의 예시적인 실시예들만을 예시하고 따라서 본 발명의 범주에 대해 제한하는 것으로 고려되지 않는다는 것을 유의해야 한다.
본 발명의 실시예들은 인젝터 조립체 전반에 걸친 압력을 감소시키기 위해 추가되는 상측 돔 조립체(upper dome assembly)를 갖는 처리 챔버들에 관한 것이다. 인젝터 조립체 위의 상측 부분은 하측 챔버와 동일한 압력으로 유지될 수 있거나, 또는 그 압력은 독립적으로 제어될 수 있다. 또한, 일부 실시예들에서, 페그(peg)들이 인젝터 조립체와 서셉터 사이의 간극을 유지하기 위해 인젝터의 몸체의 열적 팽창과 일치하도록 인젝터 조립체에 대해 추가된다. 인젝터들이 하향으로 팽창할 때, 후방 판(backing plate) 및 페그들은 서셉터와 인젝터 사이의 동일한 간극을 유지하는 동일한 크기만큼 상향으로 팽창한다.
도 1은 챔버 몸체(110) 및 챔버 리드(150)를 포함하는 처리 챔버(100)의 실시예에 대한 부분 사시도를 도시한다. 챔버 몸체는 바닥 벽(112) 및 측벽(114)를 포함한다. 포트(116)은 측벽(114)내 있을 수 있고 다양한 가스들로 챔버 몸체를 펌핑하거나 정화할 수 있는 가스 캐비넷(180)에 연결될 수 있다.
가스 분배 조립체로서 또한 지칭되는 인젝터 조립체(120)는 챔버 내에 위치된다. 인젝터 조립체(120)는 서섹터 조립체(140) 위에 있다. 인젝터 조립체(120)는 서셉터 조립체(140) 및 후방 표면(122)을 향하는 전방 표면(121)을 가진다. 인젝터 조립체(120)의 외측 주변 영역(123)은 챔버 몸체(110)의 측벽(114)의 상측 부분 상에 전체 인젝터 조립체(120)를 지지한다. 인젝터 조립체(120)의 좌측면이 프로파일링된 에지로 도시되는 반면에, 상기 인젝터 조립체의 우측면은 직선 에지 없이 도시된다. 에지 또는 다른 에지 프로파일들이 사용될 수 있다. 일부 실시예들에서, 도 1에 도시되는 것처럼, 측벽(114)은 리지(ledge)(118)를 포함한다. 리지(118)는 벽 내의 오목한 영역에 의해 형성될 수 있거나(도 1 참조), 상기 리지는 측벽으로부터 챔버 내부 안쪽으로 연장할 수 있다.
도면들에 도시되는 처리 챔버(100)는 서셉터 조립체(140)가 복수의 웨이퍼(60)들을 유지할 수 있는 캐러셀형 챔버이다. 도 2에 도시되는 것처럼, 인젝터 조립체(120)는 복수의 별도의 인젝터 유닛(121)들을 포함할 수 있으며, 웨이퍼가 인젝터 유닛 아래로 이동될 때 각각의 인젝터 유닛(121)은 웨이퍼 상에 필름을 증착시킬 수 있다. 두 개의 파이형(pie-shaped) 인젝터 유닛(121)이 서셉터 조립체(140)의 대략 반대편인 측면들 상에 그리고 그 위에 위치된 것으로 도시된다. 인젝터 유닛(121)의 이러한 수는 단지 예시적인 목적들을 위해 그리고 서셉터 조립체(140) 및 인젝터 조립체(120)의 적층 특성에 대한 이해를 제공하기 위해 도시된다. 다소간의 인젝터 유닛(121)이 포함될 수 있고 일부 실시예에서 인젝터 조립체(120)가 복수의 파이형 유닛들로 구성되지 않는 단일 부품이라는 것이 이해될 것이다. 일부 실시예들에서, 서셉터 조립체(140)의 형상에 일치하는 형상을 형성하기 위해 충분한 수의 파이형 인젝터 유닛(121)이 존재한다.
도 3을 참조하면, 인젝터 조립체(120)는 인젝터 조립체(120)의 내측 주변 영역(124)로부터 그 외측 주변 영역(123)을 향하여 반경 방향으로 연장하는 복수의 가스 포트들을 포함한다. 각각의 가스 포트는 내측 주변 영역(124)보다 외측 주변 영역(123)이 더 넓고 서셉터 조립체(140)의 상측 표면을 향하는 복수의 가스 스트림들을 제공하기 위해 인젝터 조립체(120)의 전방 표면(121)에 개구를 가진다.
도 3에 도시되는 인젝터 조립체(120)는 큰 원형(또는 디스크형) 인젝터 조립체(120)의 일부분이거나 디스크형 인젝터 조립체(120)를 형성하기 위해 다른 것들과 결합될 수 있는 개별적인 파이형 세그먼트이다. 본 명세서 및 첨부된 특허청구범위에 사용되는 것처럼, 용어 "파이형"은 일반적으로 원형 섹터인 몸체를 설명하는데 사용된다. 예를 들어, 파이형 세그먼트는 원형 또는 디스크형 물체의 4분의 1일 수 있다. 파이형 세그먼트의 내측 에지는 뾰족하게 될 수 있거나 평탄한 에지로 꼭대기가 잘리거나 도 3에 도시되는 섹터와 같이 라운딩될 수 있다. 전체 인젝터 조립체(120)는 상당히 클 수 있고 예를 들어 직경이 약 1 미터보다 더 넓거나 직경이 약 1.5 미터보다 더 넓을 수 있다. 일부 실시예들에서, 인젝터 조립체(120)는 직경이 약 1 내지 2 미터의 범위이다.
도 3을 계속 참조하면, 작동 시, 기판은 아치 형상 경로(32)에서 이러한 가스 분배 조립체(120)를 가로질러 통과될 것이다. 통과 시, 기판의 각각의 지점이 진공 포트(V), 제 1 반응 가스 포트(A), 진공 포트(V), 정화 포트(P), 진공 포트(V) 및 제 2 반응 가스 포트(B)에 노출될 것이다. 도시되는 패턴은 기판의 모든 부품들을 두 개의 반응 가스들에 대해 노출할 것이고 기상 반응들을 방지하기 위해 가스들의 분리를 유지할 것이다.
각각의 개별적인 가스 포트들은 가스 분배 조립체(120)의 내측 주변 영역(124)에 근처에 더 좁은 폭을 외측 주변 영역(123)에 근처에 더 큰 폭을 가진다. 개별적인 포트들의 형상 또는 종횡비는 가스 분배 조립체(120)의 세그먼트의 형상 또는 종횡비에 비례하거나 이와 상이할 수 있다. 일부 실시예들에서, 경로(32)를 따라서 가스 분배 조립체(120)를 가로질러 통과하는 웨이퍼의 각각의 지점이 각각의 가스 포트 하에서 대략 동일한 잔류 시간을 가지도록 개별적인 포트들이 성형된다. 기판들의 경로는 가스 포트들에 대해 수직할 수 있다. 일부 실시예들에서, 각각의 가스 분배 조립체들은 기판들에 의해 횡단되는 경로에 실질적으로 수직한 방향으로 연장하는 복수의 긴 가스 포트들을 포함한다. 본 명세서 및 첨부된 특허청구범위에 사용되는 것처럼, 용어 "실질적으로 수직한"은 일반적인 이동의 방향이 가스 포트들의 축선에 대해 대략 수직하다는 것을 의미한다. 파이형 가스 포트에 대해, 가스 포트의 축선은 포트의 길이를 따라 연장하는 포트의 너비의 중간 지점으로서 정의되는 선으로 고려될 수 있다.
서셉터 조립체(140)는 챔버 몸체(110) 내의 가스 분배 조립체(120) 아래에 위치된다. 도 1에 도시되는 서셉터 조립체(140)는 디스크형 구성요소이다. 도 4는 서셉터 조립체(140)의 일부분에 대한 정사각형 절취부를 도시한다. 도 1 및 도 4를 참조하면, 서셉터 조립체(140)는 상측 표면(141), 상측 표면(141) 내의 하나 이상의 오목부(142) 및 바닥 표면(143)을 포함한다. 오목부(142)는 처리될 웨이퍼(60)들의 형상 및 크기에 따른 임의의 적합한 형상 및 크기일 수 있다. 도 1에 도시되는 실시예에서, 오목부(142)는 웨이퍼의 바닥부를 지지하기 위한 평탄한 바닥부를 가지지만, 오목부의 바닥은 변할 수 있다는 것이 이해될 것이다. 일부 실시예들에서, 오목부는 오목부의 외측 주변 에지 주변에 계단형 영역들을 가지며, 상기 계단형 영역들은 웨이퍼의 외측 주변 에지를 지지할 수 있는 크기를 가진다. 계단들에 의해 지지되는 웨이퍼의 외측 주변 에지의 크기는 예를 들어 웨이퍼의 두께 및 웨이퍼의 후방 측면 상에 이미 존재하는 피쳐들의 존재에 따라 변할 수 있다.
일부 실시예들에서, 서셉터 조립체(140)의 상측 표면(141) 내의 오목부(142)는, 오목부(142) 내에 지지되는 웨이퍼(60)가 서셉터(140)의 상측 표면(141)과 실질적으로 동일평면 상에 있는 상측 표면(61)을 가질수 있는 정도의 크기이다. 본 명세서 및 첨부된 특허청구범위에 사용되는 것처럼, 용어 "실질적으로 동일평면 상에 있는"은 웨이퍼의 상측 표면 및 서셉터 조립체의 상측 표면이 ±0.2 mm 이내의 동일평면 상에 있는 것을 의미한다. 일부 실시예들에서, 상기 상측 표면들은 ± 0.15 mm, ± 0.10 mm 또는 ± 0.05 mm 이내의 동일평면 상에 있다.
도 1의 서셉터 조립체(140)는 서셉터 조립체(140)를 상승시키고, 하강시키고 회전시킬 수 있는 지지 포스트(160)를 포함한다. 서셉터 조립체는 지지 포스트(160)의 중심 내에 히터, 또는 가스 라인들, 또는 전기 구성요소들을 포함할 수 있다. 지지 포스트(160)는 서셉터 조립체(160)와 인젝터 조립체(120) 사이의 간극을 증가시키거나 감소시키는 주요 수단일 수 있으며, 상기 지지 포스트는 인젝터 조립체(120)와 서셉터 조립체(140) 사이의 간극을 설정하기 위한 적합한 위치로 서셉터 조립체(140)를 이동시킨다. 상기 간극은 공정 용적(170)으로서 또한 지칭된다. 이것은 웨이퍼들이 반응 가스들에 대해 노출되고 처리되는 영역이다.
일부 실시예들에서, 간극 거리는 약 0.1 mm 내지 약 5.0 mm의 범위에 있거나, 약 0.1 mm 내지 약 3.0 mm의 범위에 있거나, 약 0.1 mm 내지 약 2.0 mm의 범위에 있거나, 약 0.2 mm 내지 약 1.8 mm의 범위에 있거나, 약 0.3 mm 내지 약 1.7 mm의 범위에 있거나, 약 0.4 mm 내지 약 1.6 mm의 범위에 있거나, 약 0.5 mm 내지 약 1.5 mm의 범위에 있거나, 약 0.6 mm 내지 약 1.4 mm의 범위에 있거나, 약 0.7 mm 내지 약 1.3 mm의 범위에 있거나, 약 0.8 mm 내지 약 1.2 mm의 범위에 있거나, 약 0.9 mm 내지 약 1.1 mm의 범위에 있거나, 약 1 mm 이다.
캐러셀(예를 들어, 서셉터 조립체(140))의 회전은 연속적이거나 불연속적일 수 있다. 연속적인 처리에서, 웨이퍼들은 일정하게 회전하여서 상기 웨이퍼들이 차례로 (또한 인젝터들로 불리는) 각각의 가스 포트에 노출된다. 불연속적인 처리에서, 웨이퍼들은 반복적으로 이동되고 정지될 수 있다. 예를 들어, 캐러셀은 회전할 수 있어서 웨이퍼들이 인젝터의 전방 영역으로부터 인젝터의 후방 영역으로 이동하게 된다. 인젝터들 사이의 멈춤은 각각의 층 증착 사이의 추가적인 처리 단계들(예를 들어, 플라즈마에 대한 노출)을 위한 시간을 제공할 수 있다.
도 1을 다시 참조하면, 처리 챔버(100)는 챔버 리드(150)를 포함한다. 리드는 상측 벽(151) 및 챔버 몸체 측벽(114)에 연결될 수 있는 측벽(152)을 포함한다. 챔버 리드(150)가 챔버 몸체 상에 있을 때, 리드 용적(154)은 리드 상측 벽(151), 리드 측벽(152) 및 인젝터 조립체(120)의 후방 표면(122)에 의해 형성된다. 리드 용적(154)의 치수들은 리드 벽들의 높이, 및 리드 그리고 인젝터 조립체의 직경에 따라 변할 수 있다. 일부 실시예들에서, 리드 용적의 크기는 정화될 필요가 있을 수 있는 챔버 내에 너무 많은 용적을 가지는 것을 피하도록 최소화된다.
리드(150)는 리드 용적(154)를 아래로 정화하거나 펌핑하는데 사용될 수 있는 가스 캐비넷(181)에 연결되는 포트(155)를 포함할 수 있다. 처리 조건들 하에 있을 때 인젝터 조립체의 편향을 피하기 위해, 가스 캐비넷(181)을 사용하여 리드 용적(154) 내의 압력을 하강시키는 것이 바람직할 수 있다. 가스 캐비넷(180) 및 가스 캐비넷(181)은 챔버 부품들에 대한 상이한 연결부들을 갖는 동일한 캐비넷일 수 있다. 리드 용적(154) 내의 압력은 공정 용적(170) 내의 압력과 동일할 필요는 없지만, 상기 압력은 인젝터 조립체 위의 영역과 인젝터 조립체 아래의 영역 사이에 달리 형성될 수 있는 차압을 완화시키도록 하강될 수 있다. 일부 실시예들에서, 챔버 리드(150)의 상측 벽(151)은 인젝터 조립체(120)의 후방 표면(122) 위에 약 5 mm 내지 0.5 미터의 범위로 이격된다.
도 5를 참조하면, 인젝터 조립체(120)의 일부 실시예들은 풋(foot)들로서의 역할을 할 수 있는 복수의 페그(127)들을 포함한다. 페그(127)들은 인젝터 조립체(120)의 외측 주변 영역(123)에 위치되고 전방 표면(121)으로부터 연장한다. 인젝터 조립체는 이러한 페그들 상에 놓일 수 있도록 챔버 내에 위치될 수 있으며, 페그들은 측벽(114)의 리지(118) 상에 위치될 수 있다. 페그(127)들은 전도성 또는 절연성인, 임의의 적합한 재료로 만들어질 수 있다. 일부 실시예들에서, 페그(127)들은 인젝터 조립체(120)와 일치하는 열 팽창 계수를 갖는 재료를 포함한다. 이러한 실시예들에서, 인젝터 조립체(120)가 하향으로 팽창할 때, 후방 판 (인젝터 조립체의 후방 측면) 및 페그(127)들은 서셉터 조립체(140)와 인젝터 조립체(120) 사이의 동일한 간극을 유지하는 동일한 크기만큼 상향으로 팽창한다. 일부 실시예들에서, 인젝터 조립체와 서셉터 조립체의 간극은 최대 약 550℃의 온도 동안 동일한 상태를 실질적으로 유지한다.
인젝터 조립체가 페그(127)에 설정될 때, 인젝터 조립체(120)의 에지들 주변에 간극이 존재할 수 있다는 것을 도 5로부터 알 수 있다. 이것은 공정 용적과 리드 용적 사이에 유체 연통을 허용할 수 있어서, 단일 펌핑 시스템이 둘 모두의 용적들 내의 압력을 동시에 낮출 것이다.
리드(150)는 측벽(152)로부터 안쪽으로 연장하는, 도 1에 도시되는 립(lip)(153)을 또한 포함할 수 있다. 리드(150)는 인젝터 조립체(120)의 후방 표면(122)의 일부분을 커버링할 수 있다. 일부 실시예들에서, 립(153)은 인젝터 조립체(120)의 후방 표면에 대해 유밀 밀봉(fluid tight seal)을 형성하여서, 공정 용적 및 리드 용적은 서로로부터 격리된 상태를 유지된다.
전술된 것이 본 발명의 실시예들에 관한 것이지만, 본 발명의 다른 및 추가의 실시예가 본 발명의 기본 범위로부터 벗어나지 않고 발명될 수 있으며, 본 발명의 범위는 아래의 청구범위에 의해 결정된다.

Claims (15)

  1. 처리 챔버로서,
    바닥 벽 및 리지(ledge)를 포함하는 측벽을 포함하는 챔버 몸체;
    중심 축선 주변에서 복수의 기판들을 지지하고 회전시키기 위한 챔버 몸체 내의 서셉터 조립체;
    서셉터 조립체 위에 위치되며, 후방 표면 및 서셉터 조립체의 상측 표면을 향하고 공정 용적을 형성하는 전방 표면을 가지는 인젝터 조립체; 및
    상측 벽 및 상기 챔버 몸체의 측벽에 연결가능한 측벽을 포함하는 챔버 리드(chamber lid)를 포함하며,
    상기 서셉터 조립체는 상측 표면을 가지며,
    상기 인젝터 조립체의 외측 주변 에지는 상기 챔버 몸체의 측벽의 리지에 의해 지지되며,
    상기 인젝터 조립체는 상기 인젝터 조립체의 외측 주변 에지의 상기 인젝터 조립체의 전방 표면으로부터 연장하는 복수의 페그들(pegs)을 포함하고, 상기 복수의 페그들은 상기 인젝터 조립체를 지지하기 위해 상기 측벽의 리지 상에 위치되며,
    상기 인젝터 조립체의 후방 표면 그리고 상기 챔버 리드의 상측 벽 및 측벽은 리드 용적을 형성하는,
    처리 챔버.
  2. 처리 챔버로서,
    바닥 벽 및 리지를 포함하는 측벽을 포함하는 챔버 몸체;
    중심 축선 주변에서 복수의 기판들을 지지하고 회전시키기 위한 챔버 몸체 내의 서셉터 조립체;
    서셉터 조립체 위에 위치되며, 후방 표면 및 서셉터 조립체의 상측 표면을 향하고 공정 용적을 형성하는 전방 표면을 가지는 인젝터 조립체; 및
    상측 벽 및 상기 챔버 몸체의 측벽에 연결가능한 측벽을 포함하는 챔버 리드를 포함하며,
    상기 서셉터 조립체는 복수의 기판들을 지지하기 위해 복수의 오목부들을 포함하는 상측 표면을 가지며,
    상기 인젝터 조립체의 외측 주변 에지는 상기 챔버 몸체의 측벽의 리지에 의해 지지되며,
    상기 인젝터 조립체는 상기 인젝터 조립체의 외측 주변 에지의 상기 인젝터 조립체의 전방 표면으로부터 연장하는 복수의 페그들을 포함하고, 상기 복수의 페그들은 인젝터 조립체를 지지하기 위해 측벽의 리지 상에 위치되며,
    상기 인젝터 조립체는 전방 표면에 개구를 가지는 복수의 반경방향으로 배치된 가스 포트들을 포함하며,
    상기 가스 포트들 각각은 내측 주변 영역으로부터 외측 주변 에지를 향하여 연장하고 내측 영역보다 외측 에지가 더 넓으며,
    상기 인젝터 조립체의 후방 표면 그리고 챔버 리드의 상측 벽 및 측벽은 리드 용적을 형성하는,
    처리 챔버.
  3. 제 1 항 또는 제 2 항에 있어서,
    페그들은 인젝터 조립체와 매칭하는(matching) 열 팽창 계수를 갖는 재료를 포함하는,
    처리 챔버.
  4. 제 3 항에 있어서,
    인젝터 조립체와 서셉터 조립체 사이의 간극은 최대 550℃의 온도 동안 실질적으로 동일하게 유지하는,
    처리 챔버.
  5. 제 1 항 또는 제 2 항에 있어서,
    공정 용적 및 리드 용적은 유체 연통하여서 둘 모두가 공정 동안 동일한 압력을 가지게 되는,
    처리 챔버.
  6. 제 5 항에 있어서,
    공정 용적 내의 압력을 낮추기 위해 공정 용적과 연통하는 진공 소스를 더 포함하는,
    처리 챔버.
  7. 제 1 항 또는 제 2 항에 있어서,
    챔버 리드의 측벽은 인젝터 조립체의 후방 표면의 일부분을 커버링하기 위해 측벽으로부터 안쪽으로 연장하는 립(lip)을 포함하는,
    처리 챔버.
  8. 제 7 항에 있어서,
    립은 인젝터 조립체의 후방 표면에 대해 유밀 밀봉(fluid tight seal)을 형성하는,
    처리 챔버.
  9. 제 7 항에 있어서,
    공정 용적과 연통하는 진공 소스 및 리드 용적과 연통하는 진공 소스를 더 포함하는,
    처리 챔버.
  10. 제 9 항에 있어서,
    진공 소스는 공정 용적 및 리드 용적 모두에 대해 동일하며, 리드 용적 내의 압력은 공정 용적과는 별도로 제어될 수 있는,
    처리 챔버.
  11. 제 1 항 또는 제 2 항에 있어서,
    인젝터 조립체는 1 미터보다 더 큰 직경을 갖는 디스크형인,
    처리 챔버.
  12. 제 1 항 또는 제 2 항에 있어서,
    챔버 리드의 상측 벽이 인젝터 조립체의 후방 표면 위에 5 mm 내지 0.5 미터의 범위로 이격되는,
    처리 챔버.
  13. 제 1 항 또는 제 2 항에 있어서,
    공정 용적이 1 mTorr 내지 30 Torr의 범위 내의 압력을 가질 때, 인젝터 조립체는 0.2 mm 미만으로 편향하는,
    처리 챔버.
  14. 삭제
  15. 삭제
KR1020157028687A 2013-03-15 2014-03-14 캐러셀 처리 챔버들을 위한 강성 플레이트를 갖는 대기 리드 KR102223829B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201361798127P 2013-03-15 2013-03-15
US61/798,127 2013-03-15
PCT/US2014/027196 WO2014152311A1 (en) 2013-03-15 2014-03-14 Atmospheric lid with rigid plate for carousel processing chambers

Publications (2)

Publication Number Publication Date
KR20150131200A KR20150131200A (ko) 2015-11-24
KR102223829B1 true KR102223829B1 (ko) 2021-03-04

Family

ID=51581182

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020157028687A KR102223829B1 (ko) 2013-03-15 2014-03-14 캐러셀 처리 챔버들을 위한 강성 플레이트를 갖는 대기 리드

Country Status (5)

Country Link
US (1) US10508340B2 (ko)
KR (1) KR102223829B1 (ko)
CN (1) CN105051860A (ko)
TW (1) TWI627305B (ko)
WO (1) WO2014152311A1 (ko)

Families Citing this family (266)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
CN105555998A (zh) * 2013-06-27 2016-05-04 皮考逊公司 在原子层沉积反应器中形成衬底卷材轨迹
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP6640781B2 (ja) * 2017-03-23 2020-02-05 キオクシア株式会社 半導体製造装置
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
KR102481410B1 (ko) * 2017-07-31 2022-12-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
TWI754179B (zh) * 2018-10-29 2022-02-01 美商應用材料股份有限公司 具有改善溫度均勻性的空間晶圓處理
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
JP2021172884A (ja) 2020-04-24 2021-11-01 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001156004A (ja) 1999-11-30 2001-06-08 Tokyo Electron Ltd プラズマ処理装置
KR100589703B1 (ko) * 2004-09-21 2006-06-19 (주)아이씨디 플라즈마 처리장치

Family Cites Families (89)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4384938A (en) * 1982-05-03 1983-05-24 International Business Machines Corporation Reactive ion etching chamber
US4600464A (en) * 1985-05-01 1986-07-15 International Business Machines Corporation Plasma etching reactor with reduced plasma potential
US6129808A (en) * 1998-03-31 2000-10-10 Lam Research Corporation Low contamination high density plasma etch chambers and methods for making the same
US6499425B1 (en) * 1999-01-22 2002-12-31 Micron Technology, Inc. Quasi-remote plasma processing method and apparatus
TW464919B (en) * 1999-03-30 2001-11-21 Tokyo Electron Ltd Plasma processing apparatus, maintenance method thereof and setting method thereof
US6350317B1 (en) * 1999-12-30 2002-02-26 Lam Research Corporation Linear drive system for use in a plasma processing system
KR101004222B1 (ko) * 2001-02-09 2010-12-24 도쿄엘렉트론가부시키가이샤 성막 장치
KR100450068B1 (ko) * 2001-11-23 2004-09-24 주성엔지니어링(주) Cvd 장치의 멀티섹터 평판형 샤워헤드
DE10392519T5 (de) * 2002-04-19 2005-08-04 Mattson Technology Inc., Fremont System zur Abscheidung eines Films auf einem Substrat unter Verwendung eines Gas-Precursors mit niedrigem Dampfdruck
US20040247787A1 (en) * 2002-04-19 2004-12-09 Mackie Neil M. Effluent pressure control for use in a processing system
US7160577B2 (en) * 2002-05-02 2007-01-09 Micron Technology, Inc. Methods for atomic-layer deposition of aluminum oxides in integrated circuits
US6827789B2 (en) * 2002-07-01 2004-12-07 Semigear, Inc. Isolation chamber arrangement for serial processing of semiconductor wafers for the electronic industry
US6869641B2 (en) * 2002-07-03 2005-03-22 Unaxis Balzers Ltd. Method and apparatus for ALD on a rotary susceptor
US6972055B2 (en) * 2003-03-28 2005-12-06 Finens Corporation Continuous flow deposition system
KR100490049B1 (ko) * 2003-04-14 2005-05-17 삼성전자주식회사 일체형 디퓨저 프레임을 가지는 cvd 장치
KR100965758B1 (ko) * 2003-05-22 2010-06-24 주성엔지니어링(주) 액정표시장치용 플라즈마 강화 화학기상증착 장치의샤워헤드 어셈블리
US8152922B2 (en) * 2003-08-29 2012-04-10 Asm America, Inc. Gas mixer and manifold assembly for ALD reactor
CN1669796B (zh) * 2004-02-23 2012-05-23 周星工程股份有限公司 用于制造显示基板的装置及装配在其中的喷头组合
DE102004020768A1 (de) * 2004-04-16 2005-11-17 Centrotherm Photovoltaics Gmbh + Co. Kg Plasmareaktor mit hoher Produktivität
DE102004056170A1 (de) * 2004-08-06 2006-03-16 Aixtron Ag Vorrichtung und Verfahren zur chemischen Gasphasenabscheidung mit hohem Durchsatz
KR101016921B1 (ko) * 2004-08-20 2011-02-28 주성엔지니어링(주) 샤워헤드
US7429410B2 (en) * 2004-09-20 2008-09-30 Applied Materials, Inc. Diffuser gravity support
US20060073276A1 (en) * 2004-10-04 2006-04-06 Eric Antonissen Multi-zone atomic layer deposition apparatus and method
US7238623B2 (en) * 2004-10-06 2007-07-03 Texas Instruments Incorporated Versatile system for self-aligning deposition equipment
KR100628888B1 (ko) * 2004-12-27 2006-09-26 삼성전자주식회사 샤워 헤드 온도 조절 장치 및 이를 갖는 막 형성 장치
JP4866836B2 (ja) * 2005-02-23 2012-02-01 京セラ株式会社 接合体とウェハ保持部材及びその取付構造並びにウェハの処理方法
US7651568B2 (en) * 2005-03-28 2010-01-26 Tokyo Electron Limited Plasma enhanced atomic layer deposition system
JP4628900B2 (ja) * 2005-08-24 2011-02-09 株式会社日立ハイテクノロジーズ プラズマ処理装置
JP5044931B2 (ja) * 2005-10-31 2012-10-10 東京エレクトロン株式会社 ガス供給装置及び基板処理装置
US8173228B2 (en) * 2006-01-27 2012-05-08 Applied Materials, Inc. Particle reduction on surfaces of chemical vapor deposition processing apparatus
JP4911984B2 (ja) * 2006-02-08 2012-04-04 東京エレクトロン株式会社 ガス供給装置,基板処理装置,ガス供給方法及びシャワーヘッド
US20070215036A1 (en) * 2006-03-15 2007-09-20 Hyung-Sang Park Method and apparatus of time and space co-divided atomic layer deposition
US20070218702A1 (en) * 2006-03-15 2007-09-20 Asm Japan K.K. Semiconductor-processing apparatus with rotating susceptor
JP5045000B2 (ja) * 2006-06-20 2012-10-10 東京エレクトロン株式会社 成膜装置、ガス供給装置、成膜方法及び記憶媒体
US20080016684A1 (en) * 2006-07-06 2008-01-24 General Electric Company Corrosion resistant wafer processing apparatus and method for making thereof
US20080226842A1 (en) * 2006-09-29 2008-09-18 Tokyo Electron Limited Lazy Susan Tool Layout for Light-Activated ALD
US8334015B2 (en) * 2007-09-05 2012-12-18 Intermolecular, Inc. Vapor based combinatorial processing
US20090071403A1 (en) * 2007-09-19 2009-03-19 Soo Young Choi Pecvd process chamber with cooled backing plate
US20090101069A1 (en) * 2007-10-12 2009-04-23 Suhail Anwar Rf return plates for backing plate support
KR100892249B1 (ko) * 2007-11-21 2009-04-09 주식회사 디엠에스 플라즈마 반응장치
JP5188849B2 (ja) * 2008-03-14 2013-04-24 Sppテクノロジーズ株式会社 プラズマ処理装置
JP5179389B2 (ja) * 2008-03-19 2013-04-10 東京エレクトロン株式会社 シャワーヘッド及び基板処理装置
KR20090102257A (ko) * 2008-03-25 2009-09-30 (주)타이닉스 유도결합형 플라즈마 에칭장치
KR20100010659A (ko) * 2008-07-23 2010-02-02 주성엔지니어링(주) 기판처리장치
US8808456B2 (en) * 2008-08-29 2014-08-19 Tokyo Electron Limited Film deposition apparatus and substrate process apparatus
JP5107185B2 (ja) * 2008-09-04 2012-12-26 東京エレクトロン株式会社 成膜装置、基板処理装置、成膜方法及びこの成膜方法を実行させるためのプログラムを記録した記録媒体
JP5276387B2 (ja) * 2008-09-04 2013-08-28 東京エレクトロン株式会社 成膜装置、基板処理装置、成膜方法及びこの成膜方法を実行させるためのプログラムを記録した記録媒体
WO2010051233A2 (en) * 2008-10-31 2010-05-06 Applied Materials, Inc. Adjustable gas distribution apparatus
JP5062143B2 (ja) * 2008-11-10 2012-10-31 東京エレクトロン株式会社 成膜装置
US8225640B2 (en) * 2008-12-11 2012-07-24 Delphi Technologies, Inc. Soot sensor and method for sensing soot
JP5160393B2 (ja) 2008-12-16 2013-03-13 東京エレクトロン株式会社 プラズマ処理方法,プラズマ処理装置,プラズマ処理装置の水分量検出方法
KR101190750B1 (ko) * 2009-02-19 2012-10-12 엘지전자 주식회사 실리콘 박막 제조방법 및 제조장치
TWI513851B (zh) * 2009-05-13 2015-12-21 Applied Materials Inc 陽極處理之噴頭
JP5287592B2 (ja) * 2009-08-11 2013-09-11 東京エレクトロン株式会社 成膜装置
US20110146577A1 (en) * 2009-12-22 2011-06-23 Applied Materials, Inc. Showerhead with insulated corner regions
JP5327147B2 (ja) * 2009-12-25 2013-10-30 東京エレクトロン株式会社 プラズマ処理装置
JP5497423B2 (ja) * 2009-12-25 2014-05-21 東京エレクトロン株式会社 成膜装置
JP5392069B2 (ja) * 2009-12-25 2014-01-22 東京エレクトロン株式会社 成膜装置
US9850576B2 (en) * 2010-02-15 2017-12-26 Applied Materials, Inc. Anti-arc zero field plate
US8728956B2 (en) * 2010-04-15 2014-05-20 Novellus Systems, Inc. Plasma activated conformal film deposition
US9068262B2 (en) * 2010-05-21 2015-06-30 Applied Materials, Inc. Tightly fitted ceramic insulator on large area electrode
KR101693673B1 (ko) * 2010-06-23 2017-01-09 주성엔지니어링(주) 가스분배수단 및 이를 포함한 기판처리장치
US8721791B2 (en) * 2010-07-28 2014-05-13 Applied Materials, Inc. Showerhead support structure for improved gas flow
JP5625624B2 (ja) * 2010-08-27 2014-11-19 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
US8524612B2 (en) * 2010-09-23 2013-09-03 Novellus Systems, Inc. Plasma-activated deposition of conformal films
JP5723130B2 (ja) * 2010-09-28 2015-05-27 東京エレクトロン株式会社 プラズマ処理装置
JP5572515B2 (ja) * 2010-10-15 2014-08-13 東京エレクトロン株式会社 成膜装置および成膜方法
USD654882S1 (en) * 2010-10-21 2012-02-28 Tokyo Electron Limited Gas-separating plate for reactor for manufacturing semiconductor
USD654883S1 (en) * 2010-10-21 2012-02-28 Tokyo Electron Limited Top plate for reactor for manufacturing semiconductor
USD654884S1 (en) * 2010-10-21 2012-02-28 Tokyo Electron Limited Top plate for reactor for manufacturing semiconductor
USD655259S1 (en) * 2010-10-21 2012-03-06 Tokyo Electron Limited Top plate for reactor for manufacturing semiconductor
USD655257S1 (en) * 2010-10-21 2012-03-06 Tokyo Electron Limited Top plate for reactor for manufacturing semiconductor
USD655261S1 (en) * 2010-10-21 2012-03-06 Tokyo Electron Limited Gas-separating plate for reactor for manufacturing semiconductor
USD655260S1 (en) * 2010-10-21 2012-03-06 Tokyo Electron Limited Gas-separating plate for reactor for manufacturing semiconductor
KR101306315B1 (ko) * 2011-01-11 2013-09-09 주식회사 디엠에스 화학기상증착 장치
US20120225207A1 (en) * 2011-03-01 2012-09-06 Applied Materials, Inc. Apparatus and Process for Atomic Layer Deposition
US9175391B2 (en) * 2011-05-26 2015-11-03 Intermolecular, Inc. Apparatus and method for combinatorial gas distribution through a multi-zoned showerhead
US20130004681A1 (en) * 2011-06-30 2013-01-03 Applied Materials, Inc. Mini blocker plate with standoff spacers
JP5644719B2 (ja) * 2011-08-24 2014-12-24 東京エレクトロン株式会社 成膜装置、基板処理装置及びプラズマ発生装置
US9982346B2 (en) * 2011-08-31 2018-05-29 Alta Devices, Inc. Movable liner assembly for a deposition zone in a CVD reactor
JP5712874B2 (ja) * 2011-09-05 2015-05-07 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
JP5765154B2 (ja) * 2011-09-12 2015-08-19 東京エレクトロン株式会社 基板処理装置及び成膜装置
JP5712879B2 (ja) * 2011-09-22 2015-05-07 東京エレクトロン株式会社 成膜装置及び基板処理装置
JP5884500B2 (ja) * 2012-01-18 2016-03-15 東京エレクトロン株式会社 成膜装置
JP5803706B2 (ja) * 2012-02-02 2015-11-04 東京エレクトロン株式会社 成膜装置
JP5803714B2 (ja) * 2012-02-09 2015-11-04 東京エレクトロン株式会社 成膜装置
JP5857896B2 (ja) * 2012-07-06 2016-02-10 東京エレクトロン株式会社 成膜装置の運転方法及び成膜装置
US20140141619A1 (en) * 2012-11-19 2014-05-22 Tokyo Electron Limited Capacitively coupled plasma equipment with uniform plasma density
TW201437423A (zh) * 2013-02-21 2014-10-01 Applied Materials Inc 用於注射器至基板的空隙控制之裝置及方法

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001156004A (ja) 1999-11-30 2001-06-08 Tokyo Electron Ltd プラズマ処理装置
KR100589703B1 (ko) * 2004-09-21 2006-06-19 (주)아이씨디 플라즈마 처리장치

Also Published As

Publication number Publication date
US10508340B2 (en) 2019-12-17
TWI627305B (zh) 2018-06-21
TW201439369A (zh) 2014-10-16
US20160024655A1 (en) 2016-01-28
WO2014152311A1 (en) 2014-09-25
CN105051860A (zh) 2015-11-11
KR20150131200A (ko) 2015-11-24

Similar Documents

Publication Publication Date Title
KR102223829B1 (ko) 캐러셀 처리 챔버들을 위한 강성 플레이트를 갖는 대기 리드
US11742189B2 (en) Multi-zone reactor, system including the reactor, and method of using the same
TWI700745B (zh) 用於選擇性乾式蝕刻的方法及設備
TWI722132B (zh) 用於間隔墊應用之氮化矽薄膜的選擇性沉積
US9711330B2 (en) RF multi-feed structure to improve plasma uniformity
US9721757B2 (en) Elongated capacitively coupled plasma source for high temperature low pressure environments
KR102434975B1 (ko) 용량성 커플링된 플라즈마 소스 아래의 워크피스의 균일한 조명을 위한 홀 패턴
KR102486400B1 (ko) 공간적인 원자 층 증착에 의한 자기-정렬 이중 패터닝
US9252024B2 (en) Deposition chambers with UV treatment and methods of use
JP6867382B2 (ja) 共形及び間隙充填型のアモルファスシリコン薄膜の堆積
TWI715572B (zh) 用於沉積低k及低濕式蝕刻率介電薄膜的方法
KR20230035707A (ko) 공간적인 원자 층 증착에서의 가스 분리 제어
TW201520363A (zh) 用於批次處理的傾斜板及其使用方法
US9869024B2 (en) Methods and apparatus for depositing a cobalt layer using a carousel batch deposition reactor
TWI723997B (zh) 用於批次處理之注射器及使用方法
KR20190014645A (ko) 박막증착장치

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant