TW567584B - Process for producing oxide thin films - Google Patents

Process for producing oxide thin films Download PDF

Info

Publication number
TW567584B
TW567584B TW090108955A TW90108955A TW567584B TW 567584 B TW567584 B TW 567584B TW 090108955 A TW090108955 A TW 090108955A TW 90108955 A TW90108955 A TW 90108955A TW 567584 B TW567584 B TW 567584B
Authority
TW
Taiwan
Prior art keywords
source material
scope
patent application
film
pulse
Prior art date
Application number
TW090108955A
Other languages
English (en)
Inventor
Matti Putkonen
Original Assignee
Asm Microchemistry Oy
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Asm Microchemistry Oy filed Critical Asm Microchemistry Oy
Application granted granted Critical
Publication of TW567584B publication Critical patent/TW567584B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02189Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing zirconium, e.g. ZrO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02192Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing at least one rare earth metal element, e.g. oxides of lanthanides, scandium or yttrium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02194Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing more than one metal element
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28211Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation in a gaseous ambient using an oxygen or a water vapour, e.g. RTO, possibly through a layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3141Deposition using atomic layer deposition techniques [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31641Deposition of Zirconium oxides, e.g. ZrO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01MPROCESSES OR MEANS, e.g. BATTERIES, FOR THE DIRECT CONVERSION OF CHEMICAL ENERGY INTO ELECTRICAL ENERGY
    • H01M8/00Fuel cells; Manufacture thereof
    • H01M8/10Fuel cells with solid electrolytes
    • H01M8/12Fuel cells with solid electrolytes operating at high temperature, e.g. with stabilised ZrO2 electrolyte
    • H01M8/124Fuel cells with solid electrolytes operating at high temperature, e.g. with stabilised ZrO2 electrolyte characterised by the process of manufacturing or by the material of the electrolyte
    • H01M8/1246Fuel cells with solid electrolytes operating at high temperature, e.g. with stabilised ZrO2 electrolyte characterised by the process of manufacturing or by the material of the electrolyte the electrolyte consisting of oxides
    • H01M8/1253Fuel cells with solid electrolytes operating at high temperature, e.g. with stabilised ZrO2 electrolyte characterised by the process of manufacturing or by the material of the electrolyte the electrolyte consisting of oxides the electrolyte containing zirconium oxide
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02EREDUCTION OF GREENHOUSE GAS [GHG] EMISSIONS, RELATED TO ENERGY GENERATION, TRANSMISSION OR DISTRIBUTION
    • Y02E60/00Enabling technologies; Technologies with a potential or indirect contribution to GHG emissions mitigation
    • Y02E60/30Hydrogen technology
    • Y02E60/50Fuel cells
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P70/00Climate change mitigation technologies in the production process for final industrial or consumer products
    • Y02P70/50Manufacturing or production processes characterised by the final manufactured product

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Sustainable Development (AREA)
  • Sustainable Energy (AREA)
  • Electrochemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Description

567584 A7 B7 五、發明説明(1 ) 發明領域 ' (請先閱讀背面之注意事項再填寫本頁) 本發明有關一種藉A L D方法製造之氧化物薄膜。本 發明尤其有關一種經釔安定化之氧化鉻(γ s Z )薄膜。 相關技藝描述 微電子組件不斷地縮小導致目前使用於金屬氧化物半 導體場效電晶體(Μ〇S F E T )中作爲閘極氧化物之 S i〇2需使用較高電容率氧化物取代。此因爲了達成所需 之電容,該S i〇2層應製得較薄,故穿隧電流會增加到影 響組件功能的程度。此種問題可使用介電常數高於S i〇2 之介電材料得到解決。例如,動態隨機存取記憶體( D R A Μ )電容器之電容需在尺寸迅速縮小的同時保持接 近常數,因此需使用電容率高於此等材料且產生較高電容 密度之材料取代先前使用之S 1〇2及S i 3 Ν 4。 經濟部智慧財產局員工消費合作社印製 有數種具有充分高之介電常數的材料,但除了高電容 率以外,此等介電薄膜需特別具有低漏流密度及高介體破 壞電場。此等性質中同時達到兩項之前提係爲致密且無缺 陷之薄膜結構。亦重要的是該材料穩定地與矽接觸,且可 曝露於高處理高溫下,而基本上不變。尤其是閘極氧化物 之應用中,重要的是在介於矽與具有高介電常數之金屬氧 化物之間的界面中,具有極少之電活性狀態。記憶體應用 中,重要的是該電容器之介電體結構穩定,因爲用以活化 植入離子之溫度極高。 氧化鉻Z r 0 2係爲具有高熔點及良好化學安定性之絕 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -4 - 567584 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明説明(2 ) 緣材料。Z r 0 2可藉著添加其他氧化物而進一步安定化, 添加其他氧化物之目的係消除Z r 0 2之相變化。一般,該 單斜晶形式係穩定至1 1 0 0 °C,而四方晶到2 2 8 5 °C ,再高就屬立方晶系爲穩定狀態。穩定化一般係藉添加氧 化釔(Y 2〇3 )而進行,但亦可使用M g〇、C a〇、 Ce〇2、1112〇3、0(12〇3及厶12〇3。以往係製造 Y S Z薄膜,例如使用金屬-有機化學氣相沉積( Μ 0 C V D ) ( Garcia, G·等人 Preparation of YSZ layers by MOCVD: Influence of experimental parameters on the morphology of the film, J. Crystal Growth 1 56 ( 1 995), 426 )及 e —束蒸發技術(比較 Matth6e,Th. et al·,Orientation relationships of epitaxial oxide buffer layers on silicon ( 100) for high-temperature superconducting YBa2Cu3〇?-x films,Appl. Phys. Lett. 6 1 ( 1 992),1 240 )製得。 原子層沉積(A L D )可用以製造二元氧化物薄膜。 ALD - —原來稱爲原子層磊晶(ALE) —一係爲習用 CVD之變化方式。該方法名稱最近由AL E變成ALD ,以避免在討論多晶形及非晶形薄膜時產生混淆。使用於 A L D 之設備係爲 ASM Microchemistry 〇y, Espoo,Finland 所製商標A L C V D τ M者。該A L D方法係基於連續之自 身飽和化表面反應。該方法係詳述於美國專利第 4, 0 5 8, 43〇號及第5, 711, 811號中。使 用惰性載體及淸洗氣體之長晶優點係使該系統更爲快速。 使用A L D式方法製造較複雜之複合物時,所有組件 本紙張尺度適用中國國家標準(CNS ) A4規格(210X 297公慶) ^_ (請先閱讀背面之注意事項再填寫本頁) 訂 -5- 567584 A 7 B7 五、發明説明(3 ) (請先閱讀背面之注意事項再填寫本頁) 皆無法在相同反應溫度範圍內具有一個用以控制長晶之 ALD程序限幅。Μδΐ sS等人發現ALD式長晶可在生 長二元組份時得到,即使未發現真實之A L D限幅亦然, 但該薄膜之長晶速率係視溫度而定(M01s3,H.等人,Adv. Mat. 〇pt. Ei. 4 ( 1 994),3 8 9 )。使用此種來源材料及反應 溫度以製造固體溶液及經摻雜薄膜時,在需要準確之濃度 控制時發現困難。而且若小値溫度變化對於長晶方法具有 影響,則該方法變得更難以放大。 Μ δ 1 s 3 寺人(Μ ό 1 s S,Η ·等人,A d v · M a t.〇 p t · E1. 4 (1994),389 )揭示一種藉ALE方法生長Y2〇3之方法。 其使用 Y(thd)3(thd = 2, 2, 6, 6 —四甲基 一 3, 5 -庚烷二酮)作爲釔源材料且使用臭氧一氧混合 物作爲氧源材料,溫度範圍4 〇 〇 一 5 〇 〇 t。如前文所— 述,未發現A L E限幅,因爲長晶速率穩定地隨著溫度增 高而自0 · 3埃/周期增加至1 · 8埃/周期。
Ritala 等人(Rhala,M.及1^1^〇13,以.,八??1.3訂[
Sci. 75 (1994),333 )揭示一種藉A LD式方法生長 經濟部智慧財產局員工消費合作社印製 Z r〇2之方法。Z r C 1 4係作爲鉻源材料,而水係作爲 氧源材料。該程序中之溫度係爲5 〇 〇 °C,而長晶速率係 爲0 · 5 3埃/周期。 發明槪要 本發明之目的係解決先前技藝之問題,且提出一種新 穎之製造經釔安定化之氧化鉻(Y S Z )薄膜的方法。 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -6- 567584 Α7 Β7 五、發明説明(4 ) 此項及其他目的與其優點係藉著下文描述及申請之本 發明達成。 (請先閲讀背面之注意事項再填寫本頁) 本發明係基於氧化釔及氧化鉻可藉著A L D式方法長 晶之發現,故該薄膜生長係依據A L D原理,而形成經釔 安定化之氧化锆薄膜。 詳言之,用以製造YSZ薄膜之方法之特徵爲申請專 利範圍第1項之特徵部分所陳述者。 使用本發明達成數項重要之優點。 經§乙女疋化之氧化錯薄膜的長晶速率局,例如, A L D薄膜之長晶速率約較基於Z r〇2及Y 2〇3之長晶 速率預測者高約2 5百分比。 使用於本發明之溫度較先前技藝方法低,降低製造方 法之成本。 本發明方法之薄膜生長具有良好之薄膜性質。因此, 所得之氧化物薄膜即使在不均勻表面上,仍具有優越之服 貼性。該方法亦對薄膜生長提供優越且自動之自身控制性 〇 經濟部智慧財產局員工消費合作社印製 經A L D生長而經釔安定化之氧化鉻薄膜可作爲例如 電子零件及光學零件中之絕緣體。例如,於場致發光顯示 器(F E D )中,以使用具有光滑表面之絕緣氧化物爲佳 。使用Y S Z薄膜作爲氣體感測器及燃料電池中之固體電 解質亦佳。尤其適於使用Y S Z薄膜作爲微電子裝置中之 閘極氧化物,且作爲動態隨機存取記憶體(D R A Μ )中 之電容器。 本紙張尺度適用中國國家標準(CNS ) Α4規格(210Χ 297公釐) 567584 A7 B7 五、發明説明(5 ) 其次,針對以下詳述且參照附圖詳細地描述本發明。 圖式簡單說明 (請先閱讀背面之注意事項再填寫本頁) 圖1以長晶溫度之函數表示Y 2〇3之長晶速率。 圖2以來源材料之脈衝時間之函數表示Y 2〇3之長晶 速率。 圖3以反應周期數之函數表示Y 2 0 3薄膜之毫微米厚 度。 ,: 圖4以長晶溫度之函數表示Z r〇2 g表晶速率。 圖5以脈衝時間之函數表示Z r〇2之長晶速率。 圖6以反應周期數之函數表示Z r〇2薄膜之厚度。 圖7表示於3 0 0°C及4 5 Ot下生長之Z r〇2薄膜 的X —射線繞射(X r D )圖型。 圖8表示Z r〇2、¥32及¥2〇3薄膜之脈衝順序 〇 圖9以薄膜中之Y2〇3含量之函數表示γ S Z薄膜之 長晶速率。 經濟部智慧財產局員工消費合作社印製 圖1 0表示於(1 00)矽基材上生長之YSZ薄膜 (厚度9 0毫微米)之XRD圖型。 圖1 1以Υ2〇3濃度之函數表示YSZ薄膜之XRD 圖型中(2 0 〇)平面之之d -値(平面間隔)變化。 圖1 2以Y2〇3濃度之函數表示YS Z薄膜中之氯濃 度。 圖13表示(1〇〇)矽基材(a) 、YSZ薄膜( 本紙張尺度適用中國國家標準(CNS ) A4規格(210X 297公釐) -8 - 567584 A7 B7 五、發明説明(6 ) 10重量百分比之Y20 3,厚度120毫微米,!3)之 1 R〜光譜及扣除後之光譜(c ) 〇 (請先閱讀背面之注意事項再填寫本頁) 圖1 4表不Y2〇3濃度於中〜I R —區中的波數相依 性。 圖1 5表示使用不同分析方法測量之Y / Z r比例。 發明詳述 疋我 本發明中,” A L D式方法”意指其中材料自氣相或 蒸發來源化學品長晶於表面上之過程,係基於依序及交替 之自身飽和化表面反應之方法。A L D之原理係揭示於例 如 US 4 0 5 8 43〇及 5 711 811 中。 ”反應空間”用以表示其中條件可調整,以藉ALD -長晶之反應器或反應槽。 ” A L D限幅”用以表示根據A L D原理生長薄膜之 溫度範圍。根據A L D原理生長薄膜之一表示,係該長晶 速率於該溫度範圍內基本上保持定値。 經濟部智慧財產局員工消費合作社印製 ”薄膜”用以表示經由真空、氣相或液相,以個別離 子、原子或分子形式,自該來源傳送至該基材之元素或化 合物所生長之薄膜。該薄膜之厚度係視應用而定,大幅變 化,例如,由一分子層變成8 0 0毫微米,或最多達1微 米,或甚至更厚。 ^ 長晶方法 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -9- 567584 A7 B7 五、發明説明(7 ) 根據本發明,該氧化物薄膜係藉A L D方法製得。因 此,反應槽中所放置之基材係進行至少兩種氣相試劑之依 序、交替重複表面反應,以於其上層生長薄膜。 (請先閱讀背面之注意事項再填寫本頁) 反應空間中之條件經調整,使得不發生氣相反應,即 氣體試劑之間的反應,而僅發生表面反應,即吸附於基材 表面上之物質與氣體試劑之間的反應。因此,氧來源材料 分子與吸附於表面上之金屬來源化合物層進行反應。此種 長晶係依循A L D原理。 根據本發明方法,該金屬來源材料與該氧來源材料之 氣相脈衝係交替且依序地進料至該反應空間中,而與裝置 於該反應空間內的基材表面接觸。該基材之,,表面”原始 上包括實際基材之表面,其視情況預先經處理,例如,與 化學物質接觸,以修飾其表面性質。在該薄膜長晶過程中— ,先前金屬氧化物層形成表面,以供後續金屬氧化物層使 用。該試劑較佳係借助惰性陽離子性氣體諸如氮進料至該 反應器中。 經濟部智慧財產局Μ工消費合作社印製 較佳且使該方法較佳之方式係該金屬來源脈衝及氧來 源材料脈衝係藉惰性氣體脈衝彼此分離,亦稱爲氣體沖洗 ,以沖除反應空間中先前化學物質未反應之殘留物及反應 產物。該惰性氣體沖洗一般包括非活性氣體,諸如氮,或 局貴氣體諸如氬。 因此,一脈衝施加序列(亦稱爲”周期”或”反應周 期”)較佳係包括以下步驟 -借助惰性氣體將金屬來源化學物質之氣相脈衝進料 财關家縣(⑽)Μ規格(210X297公釐) -10- 567584 A7 B7 五、發明説明(8 ) 至該反應空間內; -使用惰性氣體沖洗該反應空間; . (請先閱讀背面之注意事項再填寫本頁) 將氧來源材料之氣相脈衝進料至該反應空間內;及 -使用惰性氣體沖洗該反應空間。 該沖洗時間係選擇長至足以防止氣相反應,且防止金 屬氧化物薄膜生長速率高於該氧化物每個周期之最佳 A L D生長速率。 該沉積可於常壓下進行,但較佳係於減壓下操作該方 法。反應器中之壓力一般係爲〇·〇1—20毫巴,以 〇.1 一 5毫巴爲佳。 該基材溫度需低至足以保持薄膜原子間之鍵結緊密, 而防止氣體或蒸發試劑之熱分解。另一方面,該基材溫度 需高至足以使來源材料保持於氣相,即需避免該氣體或蒸、-發試劑冷凝。此外,該溫度需高至足以提供表面反應之活 化能。於基材上生長氧化锆時,基材溫度一般係2 5 0 -5〇〇°C,以275 — 45〇°C爲佳,尤其是275 — 經濟部智慧財產局員工消費合作社印製 3 2 5 °C。用以於基材上生長Y 2〇3之溫度範圍一般係爲 2〇〇一 4〇〇°C,以250 — 35〇°C爲佳。該YSZ 薄膜一般係於25〇一 400 °C生長,以275 — 35〇 °C爲佳,尤其是275 — 325 t:。 _ 此等情況下,鍵結於該表面上之試劑的量係由該表面 決定。此種現象稱爲”自身飽和化”。基材表面上之最大’ 覆蓋性係於吸附單層金屬來源化學分子時得到。重複施加 脈衝之序列,直至生長了具有預定厚度之氧化物薄膜。 本紙張尺度適用中國國家標準(CNS ) A4規格(210X 297公釐) -11 - 567584 Μ Β7_ 五、發明説明(9 ) (請先閲讀背面之注意事項再填寫本頁) 來源溫<度較佳係設定於低於基材溫度。係此基於若來 源化學蒸汽之分壓超過基材溫度下之冷凝極限,則喪失該 薄膜受控地逐層生長之事實。 自身飽和化反應之時間量大多由經濟因素諸如來自反 應器之產物通量所限制。使用相對少之施加脈衝周期製得 極薄之薄膜,某些情況下,此可增加來源化學脈衝時間, 因此,採用蒸汽壓低於一般物質之來源化學物質。 該基材可有各式各樣之種類,例如片形或粉狀。實例 包括矽、二氧化矽、經塗佈之矽、銅金屬、及各種氮化物 ,諸如金屬氮化物。 根據本發明生長之Y S Z薄膜一般係經(1 〇 〇 )定 向。 經濟部智慧財產局員工消費合作社印製 當來源材料中之一或多種含有氯時,包含锆及/或釔-之薄膜中會發現氯殘留物。本發明所製得之Y s Z薄膜中 ,該薄膜中C1濃度一般係爲〇.05-0.25重量百 分比。意外地發現就本發明而言,當所形成之薄膜中的釔 濃度低時,即低於2 0重量百分比時,尤其是低於1 5重 量百分比時,所形成之薄膜的氯含量係低於基本上由 Z r〇2所構成之薄膜。 、 前述脈衝施加序列中,金屬來源化學物質或爲鉻來源 材料或爲釔來源材料。因此,本發明長晶方法中,氧化釔 及氧化鉻係生長於基材上。 · 根據本發明較佳具體實例,形成經釔安定化之氧化鉻 薄膜。因此,在該薄膜生長期間,至少一個前述施加脈衝 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -12- 567584 A7 B7 五、發明説明(1〇) (請先閱讀背面之注意事項再填寫本頁) 周期係使用釔來源化學物質作爲金屬來源化學物質而進行 ,而至少一個前述施加脈衝周期係使用銷來源化學物質作 爲金屬來源化學物質進行。 釔來源化學物質及鉻來源化學物質之間的脈衝施加比 可經選擇,以得到薄膜所需之性質。一般,脈衝施加比Y :Z r係由1 : 1 0至1 〇 ·· 1,以1 : 5至5 ·· 1爲佳 ,而1 : 3至3 : 1更佳,脈衝施加比約1 : 1最佳。 圖8出示用以生長Z r〇2、YS Z及Y2〇3薄膜之 脈衝施加序列。圖8 ( b )中,說明Y S Ζ薄膜脈衝施加 比Y : Z r = 1 : 2時之脈衝施加序列。 圖9表示Y S Z薄膜之長晶速率,與針對個別氧化物 計算之値比較,以薄膜中Y 2〇3重量百分比之函數表示。 Y S Z長晶速率進行比較之値係藉著於各個脈衝施加比 Y2〇3 : Z r〇3下一起添加Y2〇3及Z r〇2之長晶速 率而計算,而此計算値於圖中係以1 〇 〇百分比表示。因 此,該圖顯示Y 2〇3 : Z r〇2脈衝施加比對於長晶速率 及Y S Z薄膜之釔濃度的影響。 經濟部智慧財產局員工消費合作社印製 根據本發明之一具體實例,第一脈衝施加周期中於基 材上生長Y S Z薄膜係使用釔來源化學物質作爲金屬來源 化學物質。 根據本發明另一具體實例,第一脈衝施加周期中於基 材上生長Y S Z薄膜係使用鉻來源材料作爲金屬來源化學 物質進行。 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -13- 567584 A7 B7 五、發明説明(11) 來源材料 ' 釔及鉻之氣體或揮發性化合物係於本發明方法中作爲 金屬來源材料。 (請先閱讀背面之注意事項再填寫本頁) 因爲各金屬化合物之性質變動,故需考慮使用於本發 明方法中之各金屬化合物的適用性。該化合物之性質請參 照例如 Ν· N. Greenwood and A. Earnshaw,Chemistry of _Elements, 2nd edition, Pergamon Press,1997。 該金屬來源材料需選擇,以滿足充分蒸汽壓、於基材 溫度下之充分熱安定性及化合物之充分反應性的要求。 充分之蒸汽壓意指接近基材表面之處的氣相中,需有 足夠之來源化學物質分子,以於表面上進行快速充分之自 身飽和化反應。 實際上,充分熱安定性意指該來源化學物質本身必需-不會於該基材上形成擾亂長晶之可冷凝相,或經由熱分解 而於基材表面上留下有害程度之雜質。因此,一目標係避 免基材上無法控制之分子冷凝。 其他選擇標準包括高純度化學物質之可用性,及操作 簡易度、合理之預防措施。 經濟部智慧財產局員工消費合作社印製 此外,需考慮由配體交換反應所產生之副產物的&胃 。重要的是該反應產物基本上係爲氣體。意指該配體交換. 反應中可能形成之副產物係氣體,足以借助惰性氣體自@ 應空間移除,意指其不會在薄膜中成爲雜質。 1 .釔來源材料 本紙張尺度適用中國國家標準(CNS ) A4規格(210 X 297公釐) -14- 567584 A7 __B7 五、發明説明(12) ί乙來源材料一般係選自具有通式(I )或(Π )之材 料: (請先閱讀背面之注意事項再填寫本頁) Υ X 3 ( I ) γ X 3 Β ( Π ) 其中 X係選自下列者之群: 1 )具有通式(m)而自氧配位之二酮(即/3 —二酮 酸酯) 'r,Vyr’ 0 0 (III) 其中 R >及R 〃一般係相同,選自例如直鏈或分枝鏈C i 一 -Cl。^!;基,尤其是直鍵或分枝鍵Cl 一 C6院基,最佳係爲 ~ c Η 3 > - C (CH3) 3、— CF3 及—C ( C F 3 ) 3 ϋ )環戊二烯基, iii )具有式(W )之環戊二烯基衍生物: 經濟部智慧財產局員工消費合作社印製 C 5 Η 5 - y R m y ( IV ) 其中 R…選自例如直鏈或分枝鏈C i 一 C i 〇烷基,以C i 一 C6烷基爲佳,尤其是甲基(—CH3)、乙基、丙基、丁 基、戊基、及具有長碳鏈之院基、院氧基、芳基、胺基、 氰基及甲矽烷基,且 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -15- 567584 A7 ___ B7 五、發明説明(13) y係爲整數1一5,且 (請先閲讀背面之注意事項再填寫本頁) B係爲中性加合配體,其鍵結於來自一或多個原子之 中心原子。一般B係爲烴、含氧之烴(諸如醚)、含氮之 烴(諸如聯吡啶、二氮雜菲、胺或多胺)。 根據本發明之一具體實例,使用Y ( c 〇 t ) C p Μ c o t =環辛四烯基且c ρ* =五甲基環戊二烯基)作爲釔 來源材料。 根據本發明較佳具體實例,使用Y ( t h d )3 ( t h d 二2, 2, 6, 6 —四甲基一 3, 5 —庚烷二酮)作爲釔 來源材料。 2 ·錯來源材料 鉻來源材料一般係選自鉻鹵化物及包含至少一個碳原-子之銷化合物。 該鉻來源材料一般係選自具有通式(V )者 R 2 Z r X 2 ( V ) 其中 經濟部智慧財產局員工消費合作社印製 基 烯 二 戊 環 自 選。 係物 R 生 11—? 行 之 Η 式 通 有 具 其 及
IV
)2 _ ρ η C , I 基 Α 烷 I 之 p a c 2 -Η (c 連c 橋式 況通 情有 視具 係 、 R 基 體甲 配爲 係 A 中 其 如 、 諸 r 烴:B 之群、 代之1 取體 C 經配、 或下 F 佳自基 爲選鹵 3 係 } 或 X i 2 以 Η 本紙張尺度適用中國國家標準(CNS ) A4規格(21GX297公釐) -16- 567584 A7 ______B7_ 五、發明説明(14) ϋ)氫( — H)、直鏈或分枝鏈Cl 一 Cio院基,以 Cl—C6院基爲佳,尤其是甲基(―CH3)、乙基、丙 基、丁基或較長之烴鏈, (請先閲讀背面之注意事項再填寫本頁) 迅)甲氧基(一 OCH3)或其他直鏈(例如 —OC3H7)或分枝鏈烷氧基, iv )胺類(一 N R 2 ),及 v )乙酸酯(一〇c〇R,例如一〇C〇C F 3 )。 根據本發明之一具體實例,X -配體係爲前述化合物 之組合物。因此,鉻來源材料視情況係爲
Cp2Zr (〇只,")乂(:121或〇0221'(:111)。 以下X與R之較佳組合亦可使用於本發明: X = R = C 1或B r,即化合物係爲四鹵化物, X二R = 0 R ” ,即化合物係爲烷醇鉻, x=R=Cp,即化合物係爲四環戊二烯鉻,及/或 X=R=二酮酸酯,由氧配位,具有通式(冚)。 本發明所使用之锆來源材料以四氯化锆(z r c 1 4 ) 或二氯化二環戊二烯基鉻(Cp2ZrCl2)。 經濟部智慧財產局員工消費合作社印製
3 .氧來源材I 氧來源材料可爲任何可使用於A L E技術中之氧化合 物。較佳氧來源材料係包括水、氧及過氧化氫,及過氧化 氫之水溶液。臭氧(〇3 )係爲特佳之氧來源材料,亦爲與 氧(〇2 )之混合物形式。由文獻已知,若使用臭氧作爲氧 之先質’則自形成氧化物得到較致密之材料層,而改善氧 本紙張尺度適用中國國家標準(CNS ) A4規格(21〇χ 297公釐) -17· 567584 A7 ___ B7 五、發明説明(15) 化物薄膜之電容率。 亦可使用以下化合物之一或多種作爲氧之先質: 一氮之氧化物,諸如N2〇、N〇及N〇2, 一鹵化物一氧化合物,例如二氧化氯(C丨〇2 )及過 氯酸(H C 1〇4 ), 一過酸(一〇一〇一Η ),例如過苄酸( C6H5C〇〇〇H)及過乙酸(CH3C〇〇〇H), 一醇鹽, 一醇類,5者如甲醇(C Η 3〇Η ),及乙g亨( c Η 3 c Η 2 〇 Η ),及 一各種基團,例如氧基團(〇.‘)及經基(·〇Η) 〇 根據本發明較佳具體實例,γ s Ζ薄膜係藉A L D式-方法使用Y ( t h d ) 3作爲釔來源材料、二氯化二環戊二 烯基鉻(Cp2Z r C 12)作爲鉻來源材料且臭氧或〇3 與〇2之混合物作爲氧來源材料而生長。 根據另一較佳具體實例,γ S Z薄膜係藉A l D式方 法使用Y ( t h d ) 3作爲釔來源材料、臭氧或〇3與〇2 之混合物作爲氧來源材料、且四氯化鉻(Z r c 1 4 )作爲 錯來源材料且水作爲氧來源材料而生長。 以下貫施例係用以進一步說明本發明。 實施例 貫I双條件及分析設備 本紙張尺度適用中國國家標準(CNS ) A4規格(210X 297公釐) (請先閱讀背面之注意事項再填寫本頁) 訂 經濟部智慧財產局員工消費合作社印製 -18- 567584 A7 B7 五、發明説明(16) 實施例中,使用Y ( t h d ) 3及二氯化二環戊二烯基 锆(C P2Z r C 1 2) ( Stream Chemicals )作爲金屬來 (請先閲讀背面之注意事項再填寫本頁) 源材料。Y ( t h d ) 3 係根據 Eisentraut 及 Sievers ( Eisentraut, K. J. and Sievers, R.E., J. Am. Chem. Soc. 87 (1965),5254 )之教不而製備。來源材料係使用熱解重量 分析(TG/DTA,Seiko SSC 5200 )於1毫巴壓力下進行分 析。 該薄膜係於MC — 1 2 0及F — 1 2 0反應器(ASM Microchemistry 〇y,Espoo, Finland )中生長,使用 N 2 ( 5 · 0,Aga)作爲載體氣體。使用臭氧生成器(Flsher 502)自〇2 (5 . 0,Ag a)產生之臭氧作爲氧化劑。 使用(1 0 0 )取向之矽(Okmetic〇y,Finland )及石灰 玻璃作爲基材。個別氧化釔及氧化鉻之生長係以溫度之函-數檢測,而該來源材料之適用性係藉著使用〇 . 5 - 4秒 範圍內之脈衝施加時間進行實驗而確認。 經濟部智慧財產局員工消費合作社印製 所生長之Y2〇3、Z r〇2及Y S Z薄膜的結晶度及 取向係藉X -射線繞射(XRD,Philips MPD 1 8 80,C u K «)分析。該Y及Z r含量及可能雜質係由X -射線螢光 (XPF,Philips PW 1 480 )使用 UniQuant 4.0 軟體且藉掃描 式電子顯微鏡使用能量分散式X —射線分析(S E Μ -E D X )以STRATA軟體測定。Y S Ζ薄膜亦藉X -射線 光子光譜(XPS,AXIS 165,Kratos Analytical )使用單色 A 1 Κ α輻射分析。同時測定來自區域C 1 s 、〇 ls、Zr 3d及Y 3d之寬幅掃描光譜及HiRes (高 本紙張尺度適用中國國家標準(CNS ) A4規格(210X 297公釐) -19- 567584 A7 B7 __ 五、發明説明(17) 、 解析度)光譜。所測量之試樣的面積約爲1毫米2,而測量 係自數個點進行。 (請先閱讀背面之注意事項再填寫本頁) 薄膜厚度或使用Hitachi U-2000 UV-Vis光譜儀及光學 適配方法測量,如 Y1 i 1 a m m i Μ.及 R a n t a a h ο,T ·於 T h i n S ο 1 i d Films 232 ( 1 993),56所揭示,或藉顯微光波干涉儀( Υ2〇3 薄膜)(Sloan Dektak SL3030,Veeco Instruments )使用H C 1溶液蝕刻,於適當之步驟使用光阻( A Z 1 3 5 0 Η,Η 〇 e c h s t )作爲罩幕而測定。 該薄膜亦藉 Nicolet Magna-IR 750 FT-IR 使用 D T G S 偵測器及DRIFTS輔助設備(Spectra Tech Inc )分析。在 約0 · 5 x 0 . 5厘米2 ( 1 0 0 )矽基材上製備之試樣測 定中一 I R -區光譜,使南畢2厘米解析度及6 4條掃 描線之信號平均値。該背景使用該裝置之擴散校準鏡( 、
Spectra Tech no: 7004-0 1 5 )測量。具有天然氧化物之矽晶 圓的光譜自試樣光譜扣除。來自水及C〇2殘留物於I R光 譜中產生之干擾係以乾燥空氣沖洗而消除。所測量之光譜 的平坦化係視需要進行。 經濟部智慧財產局員工消費合作社印製 實施例1 氧化釔(Υ2〇3)薄膜之製備及分析 Υ2〇3薄膜係藉ALD方法於2 5 0 — 3 5 0 °C溫度 下生長。Y2〇3薄膜之生長速率係爲〇 . 2 3埃/周期。 就自Y ( t hd) 3生長之Υ2〇3薄膜而言,發現 A L D限幅,於溫度範圍2 5 0 - 3 5 0 °C中長晶速率基 本紙張尺度適用中國國家標準(CNS ) A4規格(210X 297公釐) -20- 567584 Α7 Β7 五、發明説明(18) (請先閱讀背面之注意事項再填寫本頁) 本上保持固定。來源材料溫度係爲1 2 〇 t,脈衝施加時 間在Y ( t h d ) 3爲0 · 8秒,而〇3爲3 · 0秒,每個 來源材料脈衝之後的沖洗皆持續1 . 〇秒。亦表示於圖1 ,其中每個周期中,以埃表示之Y 2〇3長晶速率係以長晶 溫度之函數表不。 圖2表示每個周期中,以埃表示之γ2〇3長晶速率, 以來源材料之脈衝時間的函數表示。該圖顯示當 Y ( t h d ) 3之脈衝施加時間約〇 · 5秒(此實驗中,該 〇3脈衝係保持於1 · 5秒)或更長,而〇3之脈衝施加時 間係約1 · 〇秒或更長(此實驗期間,該γ ( t h d ) 3脈 衝係保持於Ο . 8秒)時,長晶速率基本上保持定値之方 法。釔來源材料之溫度係約1 2 0 t,長晶溫度係3 0 0 °C。每次來源材料脈衝之後的沖洗係由〇 . 8至2 . 0秒> ,隨著增加之脈衝時間而增長。 圖3中,Y2〇3薄膜以毫微米表示之厚度係以反應周 期數之函數表示。薄膜係於3 0 0 t下沉積,來源材料 Y (thd) 3之溫度係爲120°C°Y (thd) 3之脈 經濟部智慧財產局員工消費合作社印製 衝時間係爲0 · 8秒,而〇3係爲3 · 0秒。每次來源材料 脈衝之後的沖洗皆持續1 · 0秒。由圖3發現薄膜之厚度 與長晶周期數成線性相依。 於2 5 0 — 3 5 0°C之ALD限幅中生長之Y2〇3薄 膜係爲(1 0 0 )取向。於高溫下生長之薄膜中,亦偵測' 得(1 1 1 )及(4 4〇)取向。於高於4〇〇°C之溫度 下之長晶產生類似於先前技藝所得之結果(Μόΐβ,H.等人 本紙張尺度適用中國國家標準(CNS ) Α4規格(210Χ297公釐) -21 - 567584 A7 B7 五、發明説明(19) ,Adv· Mat· Opt· E1· 4 ( 1 994),3 8 9 )。該薄膜之取向或結 晶度未因該來源材料之脈衝施加時間而改變。 實施例2 氧化鉻(Z r〇2)薄膜之製備及分析 氧化錶薄膜係使用C p 2 Z r C 1 2作爲銷來源材料而 製得。該來源材料之溫度係爲1 4 0 °C。該Z r〇2薄膜可 根據ALD原理於275 — 325 t及於400 — 45〇 °C之溫度下生長。第一個範圍內,得到〇 · 4 8埃/周期 之長晶速率,第二範圍內,長晶速率係爲〇 · 5 3埃/周 期。 於圖4中亦可發現以長晶溫度之函數表示之z r〇2薄 膜之長晶速率。此實驗中,該來源材料C p 2 Z r C 1 2之 溫度係爲1 4 0 — 1 5 0 °C。C p 2 Z r C 1 2之脈衝施加 時間個別係爲0 · 8秒及3 · 0秒。而每次來源材料脈衝 之後的沖洗係持續1 . 0秒。 該來源材料之脈衝施加時間於部分實驗中改變。 1 · 0秒之C p 2 Z r C 1 2脈衝使該基材表面飽和。需有 1 · 5秒之〇3脈衝以完成該反應周期。圖5表示每個周期 以脈衝時間之函數表示之Z r〇2長晶速率,以埃表示。該 長晶溫度係爲3 0 0 °C,而來源材料c p 2 Z r C 1 2之溫 度係爲1 4 0 — 1 5 0 °C。沖洗時間係爲1 · 〇秒。該圖 顯示當C p 2 Z r C 1 2之脈衝時間約〇 · 7秒或更長時( 此等實驗中,〇3之脈衝時間係爲3 . 〇秒)且當〇3之脈 本紙張尺度適用中國國家標準(CNS ) Α4規格(210Χ 297公釐) .ί.------·!裳— r請先閱讀背面之注意事項再填寫本頁} 訂 經濟部智慈財產局員工消費合作社印製 -22- 567584 A7 B7 五、發明説明(20) 衝施加時間係爲1 . 5秒或更長時(此等實驗中,該 C p 2 Z r C 1 2之脈衝施加時間係爲〇 · 8秒),長晶速 率基本上保持定値的方法。 圖6中,以毫微米表示之Z r〇2薄膜厚度係以反應周 期數之函數表示。該薄膜係於3 0 0 °C下沉積,來源材料 C pzZ r C 1 2之溫度係爲1 4 0 — 1 5 0°C。而脈衝時 間在C p 2 Z r C 1 2爲〇 · 8秒,而〇3爲3 · 0秒。每 個來源材料脈衝之後的沖洗係持續1 . 〇秒。由圖6發現 薄膜厚度與長晶周期數有線性相依性。 使用X R F分析Z I*〇2薄膜中所含之C 1殘留物。於 250-27下生長於矽或玻璃基材上之薄膜中,含 有約0 · 1重量百分比之C 1 。於300 - 325 °C下生 長之薄膜具有約0 · 0 6 - 0 · 0 7重量百分比之氯含量- 。於高於3 2 5 °C下生長之薄膜,未測得氯,即,氯含量 位於偵測極限之下,即約0 · 0 2重量百分比或更低。 使用XRD分析在不同溫度下生長之Z r〇2薄膜。於 低於3 0 0 °C溫度下生長於矽或玻璃基材上之Z I*〇2薄膜 幾乎爲非晶形。2 7 5 °C下生長之薄膜中僅有極弱之尖峰 ,可解釋爲單斜晶Z r〇2之反射。於3 0 0 t下生長之薄 膜中,該尖峰可確認爲單斜晶或立方晶Z r〇2相之反射。_ 當長晶溫度高達到4 5 0 °C時,單斜晶(—1 1 1 )反射 性更強。而於3 0 0 °C及4 5 0 °C下生長於矽基材上之薄 膜的XRD圖型係出示於圖7中。在3 0 0°C下生長之薄 膜的圖型係下方者。於3 0 〇°C及4 5 0°C下生長之薄膜 本紙張尺度適用中國國家標準(CNS ) A4規格(X 297公釐) ----·裝-- (請先閲讀背面之注意事項再填寫本頁) 訂 經濟部智慧財產局員工消費合作社印製 -23- 567584 A7 _B7__ 五、發明説明(21 ) 的厚度個別係爲1 2 0及9 0毫微米。用以確認該相之縮 寫如下:Μ =單斜晶,C =立方晶。該確認係根據 . J CPDS 卡 36 — 420 ( Joint Committee on Power Diffraction Standards (JCPDS), 1 990 )。 實施例3 經釔安定化之氧化銷薄膜的製備及分析 Y S Z薄膜係於3 0 0 °C溫度下使用不同脈衝施加程 序生長。各個脈衝施加程序中,由Y ( t h d ) 3 -脈衝/ 沖洗/ 0 3 -脈衝/沖洗所構成之脈衝施加序列次數係隨著 脈衝/沖洗所構 由C p 2 Z r C 1 2 —脈衝/沖洗/〇 成之脈衝施加序列次數有關。 該薄膜之品質或長晶速率與先沉積於該基材表面上之 金屬來源材料的選擇無關。 該經釔安定化之氧化鉻的長晶速率係視Y / Z Γ脈衝 施加比而定。若Y s z之長晶速率與個別氧化物之總和長 晶試劑比較,貝脈衝施加比1 : 1下,長晶速率較 經濟部智慈財產局員工消費合作社印製 請 先 閱 讀 背 之 注 意 事 項 再 填 馬 本 頁 自個別氧化物之/長晶速率計算之値高約2 5百分比。當釔 脈衝施加序列之次數增加時,即當該薄膜中之纟乙含量增加 時,長晶速率接近計算値。此亦可由圖9得到結論。 在3 0 0 °C下生長之Y S Z薄膜係爲立方晶系,主要 爲(1 0 0 )取向,但如圖1 0所示,亦偵測到(1 1 1 )、(220)及(311)反射。圖1〇揭示厚度爲 9〇毫微米之YS Z薄膜的XRD圖型。該薄膜係於 本紙張尺度適用中國國家標準(CNS ) A4規格(210 Χ 297公釐) -24- 567584 A7 B7 五、發明説明(22) (請先閱讀背面之注意事項再填寫本頁) 3〇0°C下生長於(1 0 〇 )矽基材上。該Y/Z r脈衝 施加比例係爲1 : 1。該相根據J C P D S -卡3〇一 1 4 6 8確認。X R D圖型中之尖峰位置以釔之濃度爲函 數而變化,因爲單元晶胞之尺寸改變。Y。 : 5 Z r。. 8 5 〇1.93之(2〇〇)反射之】〇?〇3反射値(卡30— 1468)係爲d=2·571埃。圖11顯示YSZ薄 膜之XRD圖型中(200)尖峰隨Y2〇3/Z r〇2比 例改變而變化之方式。圖1 1中之虛線係爲經由文獻所得 之純氧化物d値繪製之參考線。 於3 0 0 °C下長晶之Y S Z薄膜的氯含量使X R F分 析。於低Y濃度下,該薄膜中C 1含量似乎稍低於基本上 由Z r〇2所構成之薄膜。薄膜中γ2〇3爲20 — 50重 量百分比範圍內時,薄膜中釔含量之增加導致摻入薄膜中-之C 1含量增加。此亦可由圖看出。氯(〇 · 23重 量百分比)於該Y S Ζ薄膜中之最高濃度係於該氧化釔濃 度爲5 0重量百分比時測得。 經濟部智慧財產局員工消費合作社印製 該Y S Ζ薄膜於中_ I R —區中測得之I R —光譜僅 於不同波數下顯示來自矽基材之尖峰。來自Y S Ζ薄膜之 實際尖峰可藉著扣除該S i -基材之I R -光譜而測得( 比較圖1 3 )。該扣除程序中,於1 1 0 0厘米—1來自 . S 1 -〇鍵結之尖峰未完全消失。圖1 4顯示在釔濃度降 低時,如何在所分析之薄膜中偵測朝向較高波數之不同位 移之方法。Y 2〇3吸收之參考値係爲6 1 3厘米_ 1。 使用X -射線光電子光譜(X P S )分析一系列所生 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -25- 567584 A7 B7 五、發明説明(23) 長之Y2〇3、Z r〇2及YSZ薄膜。該試樣中之Υ203 含量係爲0、3、10、30或1〇〇重量百分比。於表 面上測得少量碳及氧(C〇2 )。此係在空氣中操作之s式樣 的典型。自該表面測量之光譜用以計算表面上之原子組成 ,及原子比Y / Z I*,與X —射線螢光(X R F )測量値 所得之結果比較。此種比較係出現於圖1 5中,其中自 X R F結果所計算之Y 2〇3 / Z r〇2比例係位於X —軸 ,而X P S結果所得之Y / Z r比例係位於y -軸。基於 X R S - H i R e s測量値繪線。 (請先閲讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 本紙張尺度適用中國國家標準(CNS ) A4規格(210 X 297公釐) -26-

Claims (1)

  1. 六、申請專利範圍 1 · 一種藉A L D式方法於基材上製造氧化物薄膜之 方法’其中將以下物質之交替氣相脈衝 -至少一種金屬來源材料,及 -至少一種氧來源材料,可與沉積於該基材表面上之 金屬來源材料形成氧化物 進料至反應空間內,與該基材接觸, 其h徵爲纟乙來源材料及錯來源材料係交替地作 來源材料,以於基材上形成經釔安定化之氧化锆( )薄膜。 2 ·如申請專利範圍第1項之方法,其中該γ 爲金屬 Y S Z S Z薄 0 t:爲 請 先 閣 讀 背 面 之 注 意 事 項 再j 裝 膜係於2 5〇一 5 0 0 °C下生長,以2 7 5 — 4 5 佳,尤其是約2 7 5 - 3 2 5 T:。 3 ·如申請專利範圍第1項之方法,其中金屬來源材 料之脈衝施加周期係由以下步驟組成 -借助惰性載體氣體將金屬來源材料之氣相脈衝進料 至該反應空間內; -使用惰性氣體沖洗該反應空間; 將氧來源材料之氣相脈衝進料至該反應空間內; 訂 經濟部智慧財產局員工消費合作社印製 及 -使用惰性氣體沖洗該反應空間 4 ·如申請專利範圍第1 項中任一項之方法,其 來源材 中該第一脈衝施加周期係使用釔來源材料作爲金屬 料而進行。 5 ·如申請專利範圍第1 - 3項中任一項之方 中該第一脈衝施加周期係使用锆來源材料作爲金屬 法,其 來源材 本紙張尺度適用中國國家標準(CNS ) A4規格(210 X 297公釐) -27- 567584 A8 B8 C8 D8 六、申請專利範圍 料而進行。 6 ·如申請專利範圍第1 一 3項中任一項之方法,其 中Y 2〇3脈衝施加周期相對於Z r〇2脈衝施加周期之脈 衝施加比係由1 : 1 〇至1 〇 : 1 ,以1 : 5至5 : 1爲 佳,由1 : 3至3 : 1更佳,而約1 ·· 1最佳。 7 ·如申請專利範圍第1 一 3項中任一項之方法,其 中該釔來源材料係選自具有通式(I )或(Π )之材料: Y X a ( I ) Y X 3 B ( Π ) 其中 X係選自下列者之群:由氧配位之二酮(即/3 —二酮 酸酯)、環戊二烯基及環戊二烯基衍生物且B係爲中性加 合配體,其鍵結於來自一或多個原子之中心原子。 8 ·如申請專利範圍第7項之方法,其中b係選自烴 類、含氧烴類諸如醚、及含氮烴類諸如聯吡啶、二氮雜菲 、胺或多胺。 9 ·如申請專利範圍第7項之方法,其中該二酮係具 有通式(m ): (III) 其中 R >及R 〃個別選自直鏈或分枝鏈C i 一 C i q烷基, 尤其是直鏈或分枝鏈Ci — C6烷基,最佳係爲—CH3、 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) 請 先 閲 讀 背 面 之 注 意 事 項 寫 本 頁 經濟部智慧財產局員工消費合作社印製
    -28- 567584 A8 B8 C8 D8 六、申請專利範圍 -C (CH3) 3、—CF3&_c (CF3) 3。 1 ο .如申請專利範圍第7項之方法,其中該環戊二 嫌基衍生物係具有通式(IV ): C 5 Η 5 - y R '·’ y 其中 IV ) 經濟部智慧財產局員工消費合作社印製 R’"選自直鏈或分枝鏈Ci—CiQ烷基,以Ci—C6 烷基爲佳,尤其是甲基(一ch3)、乙基、丙基、丁基、 戊基、及具有長碳鏈之烷基、烷氧基、芳基、胺基、氰基 及甲矽烷基,且· y係爲整數1 — 5。 1 1 ·如申請專科範圍第1 一 3項中任一項之方法, 其中該锆來源材料係選自具有通式(V )之化合物: R 2 Z r X 2 (V) 其中 R係爲環戊二烯基(C 5 Η 5 )及環戊二烯基之衍生物 且X係選自鹵基、氫、直鏈或分枝鏈Ci 一 ClQ烷基,以 Cl — C6烷基爲佳,尤其是甲基(一 CH3)、乙基、丙 基、丁基、甲氧基(一 OCH3)及其他直鏈(例如 一〇C3H?)或分枝鏈院氧基、胺類(―NR2),及乙 酸酯(一〇C〇R ,例如一〇C〇C F 3 )。 1 2 .如申請專利範圍第1 二烯基之衍生物係具有通式(IV ) C 5 Η 5 - y R M,y 其中 項之方法,其中該環戊 IV 請 先 閱 讀 背 面 之 注 意 事 項 本紙張尺度適用中國國家標準(CNS ) A4規格(210X 297公釐) -29- 567584 A8 B8 C8 D8 六、申請專利範圍 R…選自直鏈或分枝鏈Ci— CiQ烷基,以Ci—Ce 火兀基爲佳,尤其是甲基(一 ch3)、乙基、丙基、丁基、 戊基、烷氧基、芳基、胺基、氰基及甲矽烷基,且 y係爲整數1 一 5。 1 3 ·如申請專利範圍第1 1項之方法,其中配體R 係橋連,因此具有結構—R — a — R -,其中A係爲甲基 、具有通式(CH2) η之烷基,η = 2 — 6,以2或3爲 佳’或經取代之烴諸如C ( C Η 3 ) 2。 項中任一項之方法, 4 ·如申請專利範圍第1 =中該氧來源材料係選自水、氧、過氧化氫、過氧化氫水 溶液、臭氧、氮之氧化物、鹵化物一氧化合物、過酸(一 〇〜Η)、醇類、醇鹽、各種含氧之基團及其混合物 〇 · 1 5 .如申請專利範圍第χ _ 3項中任一項之方法, 其中使用Y ( t h d ) 3作爲釔來源材料’二氯化二環戊二 稀基銷(c P2Z r c ! 2)作爲錯來源材料,而〇3或〇3 與0 2之混合物作爲氧來源材料。 經濟部智慧財產局員工消費合作社印製
TW090108955A 2000-04-14 2001-04-13 Process for producing oxide thin films TW567584B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
FI20000898A FI117979B (fi) 2000-04-14 2000-04-14 Menetelmä oksidiohutkalvojen valmistamiseksi

Publications (1)

Publication Number Publication Date
TW567584B true TW567584B (en) 2003-12-21

Family

ID=8558212

Family Applications (1)

Application Number Title Priority Date Filing Date
TW090108955A TW567584B (en) 2000-04-14 2001-04-13 Process for producing oxide thin films

Country Status (4)

Country Link
US (5) US6548424B2 (zh)
JP (1) JP2001355070A (zh)
FI (1) FI117979B (zh)
TW (1) TW567584B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI707977B (zh) * 2018-04-06 2020-10-21 美商應用材料股份有限公司 區域控制稀土氧化物ald及cvd塗佈

Families Citing this family (524)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6974766B1 (en) 1998-10-01 2005-12-13 Applied Materials, Inc. In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
US7554829B2 (en) 1999-07-30 2009-06-30 Micron Technology, Inc. Transmission lines for CMOS integrated circuits
FI117979B (fi) 2000-04-14 2007-05-15 Asm Int Menetelmä oksidiohutkalvojen valmistamiseksi
US6620723B1 (en) * 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US7101795B1 (en) 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US6936538B2 (en) * 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US7217615B1 (en) * 2000-08-31 2007-05-15 Micron Technology, Inc. Capacitor fabrication methods including forming a conductive layer
US20020036780A1 (en) * 2000-09-27 2002-03-28 Hiroaki Nakamura Image processing apparatus
US6596643B2 (en) * 2001-05-07 2003-07-22 Applied Materials, Inc. CVD TiSiN barrier for copper integration
JP2002343790A (ja) * 2001-05-21 2002-11-29 Nec Corp 金属化合物薄膜の気相堆積方法及び半導体装置の製造方法
US7037574B2 (en) 2001-05-23 2006-05-02 Veeco Instruments, Inc. Atomic layer deposition for fabricating thin films
US6849545B2 (en) * 2001-06-20 2005-02-01 Applied Materials, Inc. System and method to form a composite film stack utilizing sequential deposition techniques
US20090004850A1 (en) 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
JP4921652B2 (ja) * 2001-08-03 2012-04-25 エイエスエム インターナショナル エヌ.ヴェー. イットリウム酸化物およびランタン酸化物薄膜を堆積する方法
US8026161B2 (en) 2001-08-30 2011-09-27 Micron Technology, Inc. Highly reliable amorphous high-K gate oxide ZrO2
US7049226B2 (en) * 2001-09-26 2006-05-23 Applied Materials, Inc. Integration of ALD tantalum nitride for copper metallization
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7081271B2 (en) * 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US6900122B2 (en) 2001-12-20 2005-05-31 Micron Technology, Inc. Low-temperature grown high-quality ultra-thin praseodymium gate dielectrics
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6998014B2 (en) * 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6833161B2 (en) 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
KR100468847B1 (ko) * 2002-04-02 2005-01-29 삼성전자주식회사 알콜을 이용한 금속산화물 박막의 화학기상증착법
US6846516B2 (en) * 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
US6720027B2 (en) * 2002-04-08 2004-04-13 Applied Materials, Inc. Cyclical deposition of a variable content titanium silicon nitride layer
US7279432B2 (en) * 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US7105065B2 (en) * 2002-04-25 2006-09-12 Micron Technology, Inc. Metal layer forming methods and capacitor electrode forming methods
US7160577B2 (en) 2002-05-02 2007-01-09 Micron Technology, Inc. Methods for atomic-layer deposition of aluminum oxides in integrated circuits
US6722918B2 (en) * 2002-05-06 2004-04-20 Lyall Assemblies, Inc. Rail electrical connector system
US7041335B2 (en) * 2002-06-04 2006-05-09 Applied Materials, Inc. Titanium tantalum nitride silicide layer
US7205218B2 (en) * 2002-06-05 2007-04-17 Micron Technology, Inc. Method including forming gate dielectrics having multiple lanthanide oxide layers
US7221586B2 (en) 2002-07-08 2007-05-22 Micron Technology, Inc. Memory utilizing oxide nanolaminates
US6838125B2 (en) * 2002-07-10 2005-01-04 Applied Materials, Inc. Method of film deposition using activated precursor gases
US20040013803A1 (en) * 2002-07-16 2004-01-22 Applied Materials, Inc. Formation of titanium nitride films using a cyclical deposition process
US6915592B2 (en) * 2002-07-29 2005-07-12 Applied Materials, Inc. Method and apparatus for generating gas to a processing chamber
US6921702B2 (en) 2002-07-30 2005-07-26 Micron Technology Inc. Atomic layer deposited nanolaminates of HfO2/ZrO2 films as gate dielectrics
US6984592B2 (en) * 2002-08-28 2006-01-10 Micron Technology, Inc. Systems and methods for forming metal-doped alumina
US7087481B2 (en) * 2002-08-28 2006-08-08 Micron Technology, Inc. Systems and methods for forming metal oxides using metal compounds containing aminosilane ligands
US7253122B2 (en) * 2002-08-28 2007-08-07 Micron Technology, Inc. Systems and methods for forming metal oxides using metal diketonates and/or ketoimines
US7030042B2 (en) 2002-08-28 2006-04-18 Micron Technology, Inc. Systems and methods for forming tantalum oxide layers and tantalum precursor compounds
US6784049B2 (en) * 2002-08-28 2004-08-31 Micron Technology, Inc. Method for forming refractory metal oxide layers with tetramethyldisiloxane
US7084078B2 (en) 2002-08-29 2006-08-01 Micron Technology, Inc. Atomic layer deposited lanthanide doped TiOx dielectric films
US6821563B2 (en) 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
JP4046588B2 (ja) * 2002-10-10 2008-02-13 Necエレクトロニクス株式会社 キャパシタの製造方法
US6905737B2 (en) * 2002-10-11 2005-06-14 Applied Materials, Inc. Method of delivering activated species for rapid cyclical deposition
US7101813B2 (en) 2002-12-04 2006-09-05 Micron Technology Inc. Atomic layer deposited Zr-Sn-Ti-O films
WO2004064147A2 (en) * 2003-01-07 2004-07-29 Applied Materials, Inc. Integration of ald/cvd barriers with porous low k materials
US7192892B2 (en) * 2003-03-04 2007-03-20 Micron Technology, Inc. Atomic layer deposited dielectric layers
US7135369B2 (en) 2003-03-31 2006-11-14 Micron Technology, Inc. Atomic layer deposited ZrAlxOy dielectric layers including Zr4AlO9
US20040197474A1 (en) * 2003-04-01 2004-10-07 Vrtis Raymond Nicholas Method for enhancing deposition rate of chemical vapor deposition films
US7183186B2 (en) 2003-04-22 2007-02-27 Micro Technology, Inc. Atomic layer deposited ZrTiO4 films
US20040212025A1 (en) * 2003-04-28 2004-10-28 Wilman Tsai High k oxide
WO2004113585A2 (en) * 2003-06-18 2004-12-29 Applied Materials, Inc. Atomic layer deposition of barrier materials
US7192824B2 (en) 2003-06-24 2007-03-20 Micron Technology, Inc. Lanthanide oxide / hafnium oxide dielectric layers
US20050056219A1 (en) * 2003-09-16 2005-03-17 Tokyo Electron Limited Formation of a metal-containing film by sequential gas exposure in a batch type processing system
US7071118B2 (en) * 2003-11-12 2006-07-04 Veeco Instruments, Inc. Method and apparatus for fabricating a conformal thin film on a substrate
US7476461B2 (en) * 2003-12-02 2009-01-13 Nanodynamics Energy, Inc. Methods for the electrochemical optimization of solid oxide fuel cell electrodes
JP2005191482A (ja) * 2003-12-26 2005-07-14 Semiconductor Leading Edge Technologies Inc 半導体装置及びその製造方法
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US8323754B2 (en) 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US20060062917A1 (en) * 2004-05-21 2006-03-23 Shankar Muthukrishnan Vapor deposition of hafnium silicate materials with tris(dimethylamino)silane
US20060008696A1 (en) * 2004-06-30 2006-01-12 Suk-Won Cha Nanotubular solid oxide fuel cell
US7081421B2 (en) 2004-08-26 2006-07-25 Micron Technology, Inc. Lanthanide oxide dielectric layer
US7494939B2 (en) 2004-08-31 2009-02-24 Micron Technology, Inc. Methods for forming a lanthanum-metal oxide dielectric layer
US7588988B2 (en) 2004-08-31 2009-09-15 Micron Technology, Inc. Method of forming apparatus having oxide films formed using atomic layer deposition
US7429402B2 (en) * 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
US7235501B2 (en) 2004-12-13 2007-06-26 Micron Technology, Inc. Lanthanum hafnium oxide dielectrics
US7560395B2 (en) 2005-01-05 2009-07-14 Micron Technology, Inc. Atomic layer deposited hafnium tantalum oxide dielectrics
US7508648B2 (en) 2005-02-08 2009-03-24 Micron Technology, Inc. Atomic layer deposition of Dy doped HfO2 films as gate dielectrics
US7498247B2 (en) 2005-02-23 2009-03-03 Micron Technology, Inc. Atomic layer deposition of Hf3N4/HfO2 films as gate dielectrics
US20060216548A1 (en) * 2005-03-22 2006-09-28 Ming Mao Nanolaminate thin films and method for forming the same using atomic layer deposition
US7390756B2 (en) 2005-04-28 2008-06-24 Micron Technology, Inc. Atomic layer deposited zirconium silicon oxide films
US20060272577A1 (en) * 2005-06-03 2006-12-07 Ming Mao Method and apparatus for decreasing deposition time of a thin film
US7767363B2 (en) * 2005-06-24 2010-08-03 Micron Technology, Inc. Methods for photo-processing photo-imageable material
US7927948B2 (en) 2005-07-20 2011-04-19 Micron Technology, Inc. Devices with nanocrystals and methods of formation
US8110469B2 (en) 2005-08-30 2012-02-07 Micron Technology, Inc. Graded dielectric layers
US7544596B2 (en) * 2005-08-30 2009-06-09 Micron Technology, Inc. Atomic layer deposition of GdScO3 films as gate dielectrics
US7495743B2 (en) * 2005-09-30 2009-02-24 International Business Machines Corporation Immersion optical lithography system having protective optical coating
US7582562B2 (en) * 2005-10-06 2009-09-01 Micron Technology, Inc. Atomic layer deposition methods
TWI332532B (en) 2005-11-04 2010-11-01 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
US7658802B2 (en) * 2005-11-22 2010-02-09 Applied Materials, Inc. Apparatus and a method for cleaning a dielectric film
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
EP2029790A1 (en) * 2006-06-02 2009-03-04 L'AIR LIQUIDE, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Method of forming high-k dielectric films based on novel titanium, zirconium, and hafnium precursors and their use for semiconductor manufacturing
US7521379B2 (en) * 2006-10-09 2009-04-21 Applied Materials, Inc. Deposition and densification process for titanium nitride barrier layers
US8795771B2 (en) 2006-10-27 2014-08-05 Sean T. Barry ALD of metal-containing films using cyclopentadienyl compounds
GB0702759D0 (en) * 2007-02-13 2007-03-21 Unversity Of Aveiro Non aqueous thin film formation
US10242888B2 (en) 2007-04-27 2019-03-26 Applied Materials, Inc. Semiconductor processing apparatus with a ceramic-comprising surface which exhibits fracture toughness and halogen plasma resistance
US10622194B2 (en) 2007-04-27 2020-04-14 Applied Materials, Inc. Bulk sintered solid solution ceramic which exhibits fracture toughness and halogen plasma resistance
US20090035946A1 (en) * 2007-07-31 2009-02-05 Asm International N.V. In situ deposition of different metal-containing films using cyclopentadienyl metal precursors
US8067793B2 (en) 2007-09-27 2011-11-29 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device including storage capacitor with yttrium oxide capacitor dielectric
US7964515B2 (en) * 2007-12-21 2011-06-21 Tokyo Electron Limited Method of forming high-dielectric constant films for semiconductor devices
US7767572B2 (en) * 2008-02-21 2010-08-03 Applied Materials, Inc. Methods of forming a barrier layer in an interconnect structure
CN101959897A (zh) 2008-02-27 2011-01-26 乔治洛德方法研究和开发液化空气有限公司 使用原子层沉积(ald)法在基底上形成含钛层的方法
US7618893B2 (en) * 2008-03-04 2009-11-17 Applied Materials, Inc. Methods of forming a layer for barrier applications in an interconnect structure
US7816278B2 (en) * 2008-03-28 2010-10-19 Tokyo Electron Limited In-situ hybrid deposition of high dielectric constant films using atomic layer deposition and chemical vapor deposition
US8383525B2 (en) * 2008-04-25 2013-02-26 Asm America, Inc. Plasma-enhanced deposition process for forming a metal oxide thin film and related structures
KR101451716B1 (ko) * 2008-08-11 2014-10-16 도쿄엘렉트론가부시키가이샤 성막 방법 및 성막 장치
US8491967B2 (en) 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
US20100062149A1 (en) 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
FR2935843B1 (fr) * 2008-09-11 2011-02-11 Commissariat Energie Atomique Electrolyte pour pile sofc et son procede de fabrication.
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US20100136313A1 (en) * 2008-12-01 2010-06-03 Asm Japan K.K. Process for forming high resistivity thin metallic film
US20100151676A1 (en) * 2008-12-16 2010-06-17 Applied Materials, Inc. Densification process for titanium nitride layer for submicron applications
WO2010103893A1 (ja) 2009-03-13 2010-09-16 株式会社Adeka 金属含有薄膜の製造方法における残存水分子除去プロセス及びパージソルベント
EP2237357B1 (en) * 2009-03-23 2013-10-23 Sumitomo Metal Mining Co., Ltd. Ionic electrolyte membrane structure, method for its production and solid oxide fuel cell making use of ionic electrolyte membrane structure
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US20100290945A1 (en) * 2009-05-13 2010-11-18 Ce Ma Solution based zirconium precursors for atomic layer deposition
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
AU2011264922B2 (en) 2010-06-08 2015-11-26 President And Fellows Of Harvard College Low-temperature synthesis of silica
TWI529808B (zh) 2010-06-10 2016-04-11 Asm國際股份有限公司 使膜選擇性沈積於基板上的方法
US8642473B2 (en) 2011-03-04 2014-02-04 Applied Materials, Inc. Methods for contact clean
US20120251922A1 (en) 2011-03-28 2012-10-04 WATT Fuel Cell Corp Electrode for a solid oxide fuel cell and method for its manufacture
US8912096B2 (en) 2011-04-28 2014-12-16 Applied Materials, Inc. Methods for precleaning a substrate prior to metal silicide fabrication process
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
KR101288375B1 (ko) * 2011-06-13 2013-07-22 주식회사 엑스에프씨 원자막 증착법으로 형성된 이트리아-안정화 지르코니아 기능층을 포함하는 세리아계 전해질 및 이를 포함하는 고체 산화물 연료전지
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US11527774B2 (en) 2011-06-29 2022-12-13 Space Charge, LLC Electrochemical energy storage devices
US9853325B2 (en) * 2011-06-29 2017-12-26 Space Charge, LLC Rugged, gel-free, lithium-free, high energy density solid-state electrochemical energy storage devices
US10601074B2 (en) 2011-06-29 2020-03-24 Space Charge, LLC Rugged, gel-free, lithium-free, high energy density solid-state electrochemical energy storage devices
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9218961B2 (en) 2011-09-19 2015-12-22 Applied Materials, Inc. Methods of forming a metal containing layer on a substrate with high uniformity and good profile control
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US8927423B2 (en) 2011-12-16 2015-01-06 Applied Materials, Inc. Methods for annealing a contact metal layer to form a metal silicidation layer
US8586479B2 (en) 2012-01-23 2013-11-19 Applied Materials, Inc. Methods for forming a contact metal layer in semiconductor devices
US9034199B2 (en) 2012-02-21 2015-05-19 Applied Materials, Inc. Ceramic article with reduced surface defect density and process for producing a ceramic article
US9212099B2 (en) 2012-02-22 2015-12-15 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
US9330939B2 (en) 2012-03-28 2016-05-03 Applied Materials, Inc. Method of enabling seamless cobalt gap-fill
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
KR101466967B1 (ko) * 2012-06-13 2014-12-15 한국과학기술연구원 내식성이 향상된 다성분계 열용사용 코팅물질, 그 제조방법 및 코팅방법
KR101438891B1 (ko) * 2012-07-03 2014-09-05 현대자동차주식회사 연료전지용 애노드의 제조방법
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9865434B2 (en) 2013-06-05 2018-01-09 Applied Materials, Inc. Rare-earth oxide based erosion resistant coatings for semiconductor application
US9850568B2 (en) 2013-06-20 2017-12-26 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9711334B2 (en) 2013-07-19 2017-07-18 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based thin film coatings on process rings
US9583369B2 (en) 2013-07-20 2017-02-28 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9543163B2 (en) 2013-08-20 2017-01-10 Applied Materials, Inc. Methods for forming features in a material layer utilizing a combination of a main etching and a cyclical etching process
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
WO2015047731A1 (en) 2013-09-27 2015-04-02 Applied Materials, Inc. Method of enabling seamless cobalt gap-fill
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
JP6616070B2 (ja) * 2013-12-01 2019-12-04 ユージェヌス インコーポレイテッド 誘電性複合体構造の作製方法及び装置
US9725799B2 (en) 2013-12-06 2017-08-08 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
TWI686499B (zh) 2014-02-04 2020-03-01 荷蘭商Asm Ip控股公司 金屬、金屬氧化物與介電質的選擇性沉積
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
KR102251989B1 (ko) 2014-03-10 2021-05-14 삼성전자주식회사 유기 금속 전구체 및 이를 이용한 박막 형성 방법
US9508561B2 (en) 2014-03-11 2016-11-29 Applied Materials, Inc. Methods for forming interconnection structures in an integrated cluster system for semicondcutor applications
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US9869013B2 (en) 2014-04-25 2018-01-16 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9528185B2 (en) 2014-08-22 2016-12-27 Applied Materials, Inc. Plasma uniformity control by arrays of unit cell plasmas
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9499571B2 (en) 2014-12-23 2016-11-22 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Germanium- and zirconium-containing compositions for vapor deposition of zirconium-containing films
US9663547B2 (en) 2014-12-23 2017-05-30 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Silicon- and Zirconium-containing compositions for vapor deposition of Zirconium-containing films
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
KR102147190B1 (ko) 2015-03-20 2020-08-25 에스케이하이닉스 주식회사 막형성조성물 및 그를 이용한 박막 제조 방법
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US10566185B2 (en) 2015-08-05 2020-02-18 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10121699B2 (en) 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10343186B2 (en) 2015-10-09 2019-07-09 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10814349B2 (en) 2015-10-09 2020-10-27 Asm Ip Holding B.V. Vapor phase deposition of organic films
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9981286B2 (en) 2016-03-08 2018-05-29 Asm Ip Holding B.V. Selective formation of metal silicides
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10204782B2 (en) 2016-04-18 2019-02-12 Imec Vzw Combined anneal and selective deposition process
US10551741B2 (en) 2016-04-18 2020-02-04 Asm Ip Holding B.V. Method of forming a directed self-assembled layer on a substrate
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US11326253B2 (en) * 2016-04-27 2022-05-10 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10283349B2 (en) 2016-05-27 2019-05-07 Taiwan Semiconductor Manufacturing Co., Ltd. Single-crystal rare earth oxide grown on III-V compound
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US10014212B2 (en) 2016-06-08 2018-07-03 Asm Ip Holding B.V. Selective deposition of metallic films
US9803277B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Reaction chamber passivation and selective deposition of metallic films
US9850573B1 (en) 2016-06-23 2017-12-26 Applied Materials, Inc. Non-line of sight deposition of erbium based plasma resistant ceramic coating
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US20180016678A1 (en) 2016-07-15 2018-01-18 Applied Materials, Inc. Multi-layer coating with diffusion barrier layer and erosion resistant layer
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10106568B2 (en) 2016-10-28 2018-10-23 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Hafnium-containing film forming compositions for vapor deposition of hafnium-containing films
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10186400B2 (en) 2017-01-20 2019-01-22 Applied Materials, Inc. Multi-layer plasma resistant coating by atomic layer deposition
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US11094535B2 (en) 2017-02-14 2021-08-17 Asm Ip Holding B.V. Selective passivation and selective deposition
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10975469B2 (en) 2017-03-17 2021-04-13 Applied Materials, Inc. Plasma resistant coating of porous body by atomic layer deposition
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10755900B2 (en) 2017-05-10 2020-08-25 Applied Materials, Inc. Multi-layer plasma erosion protection for chamber components
US10443125B2 (en) 2017-05-10 2019-10-15 Applied Materials, Inc. Flourination process to create sacrificial oxy-flouride layer
CN115233183A (zh) 2017-05-16 2022-10-25 Asm Ip 控股有限公司 电介质上氧化物的选择性peald
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10760159B2 (en) * 2017-07-13 2020-09-01 Applied Materials, Inc. Methods and apparatus for depositing yttrium-containing films
US10900120B2 (en) 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
JP6947914B2 (ja) 2017-08-18 2021-10-13 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高圧高温下のアニールチャンバ
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US11279656B2 (en) 2017-10-27 2022-03-22 Applied Materials, Inc. Nanopowders, nanoceramic materials and methods of making and use thereof
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
CN117936420A (zh) 2017-11-11 2024-04-26 微材料有限责任公司 用于高压处理腔室的气体输送系统
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
JP2021503714A (ja) 2017-11-17 2021-02-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高圧処理システムのためのコンデンサシステム
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
EP3762989A4 (en) 2018-03-07 2021-12-15 Space Charge, LLC THIN FILM SOLID STATE ENERGY STORAGE DEVICES
US11014853B2 (en) 2018-03-07 2021-05-25 Applied Materials, Inc. Y2O3—ZrO2 erosion resistant material for chamber components in plasma environments
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
KR20230079236A (ko) 2018-03-09 2023-06-05 어플라이드 머티어리얼스, 인코포레이티드 금속 함유 재료들을 위한 고압 어닐링 프로세스
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
JP7146690B2 (ja) 2018-05-02 2022-10-04 エーエスエム アイピー ホールディング ビー.ブイ. 堆積および除去を使用した選択的層形成
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11667575B2 (en) 2018-07-18 2023-06-06 Applied Materials, Inc. Erosion resistant metal oxide coatings
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
JP2020056104A (ja) 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11180847B2 (en) 2018-12-06 2021-11-23 Applied Materials, Inc. Atomic layer deposition coatings for high temperature ceramic components
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
US10858741B2 (en) 2019-03-11 2020-12-08 Applied Materials, Inc. Plasma resistant multi-layer architecture for high aspect ratio parts
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11965238B2 (en) 2019-04-12 2024-04-23 Asm Ip Holding B.V. Selective deposition of metal oxides on metal surfaces
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
US20210035767A1 (en) * 2019-07-29 2021-02-04 Applied Materials, Inc. Methods for repairing a recess of a chamber component
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11976357B2 (en) 2019-09-09 2024-05-07 Applied Materials, Inc. Methods for forming a protective coating on processing chamber surfaces or components
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11139163B2 (en) 2019-10-31 2021-10-05 Asm Ip Holding B.V. Selective deposition of SiOC thin films
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
TW202204658A (zh) 2020-03-30 2022-02-01 荷蘭商Asm Ip私人控股有限公司 在兩不同表面上同時選擇性沉積兩不同材料
TW202140832A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氧化矽在金屬表面上之選擇性沉積
TW202140833A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 相對於金屬表面在介電表面上之氧化矽的選擇性沉積
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
KR20230069155A (ko) 2020-09-17 2023-05-18 가부시키가이샤 아데카 원자층 퇴적법용 박막 형성 원료 및 박막의 제조 방법
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11702744B2 (en) * 2021-02-17 2023-07-18 Applied Materials, Inc. Metal oxyfluoride film formation methods
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (68)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SE393967B (sv) 1974-11-29 1977-05-31 Sateko Oy Forfarande och for utforande av stroleggning mellan lagren i ett virkespaket
JPH0645891B2 (ja) * 1985-12-18 1994-06-15 キヤノン株式会社 堆積膜形成法
JPH0618174B2 (ja) 1986-07-08 1994-03-09 シャープ株式会社 半導体基板
DE3887846T2 (de) * 1987-06-16 1994-05-19 Kawasaki Steel Co Verfahren zur bildung von dünnfilmen aus supraleitenden oxyden.
JPS6427131A (en) 1987-07-21 1989-01-30 Nissin Electric Co Ltd Manufacture of superconductive thin film
JPH0519148Y2 (zh) * 1987-08-10 1993-05-20
US4927670A (en) * 1988-06-22 1990-05-22 Georgia Tech Research Corporation Chemical vapor deposition of mixed metal oxide coatings
JPH02210718A (ja) * 1989-02-10 1990-08-22 Toshiba Corp 酸化物超伝導体の気相成長方法
JPH0824191B2 (ja) 1989-03-17 1996-03-06 富士通株式会社 薄膜トランジスタ
US5173474A (en) * 1990-04-18 1992-12-22 Xerox Corporation Silicon substrate having an epitaxial superconducting layer thereon and method of making same
US5820664A (en) * 1990-07-06 1998-10-13 Advanced Technology Materials, Inc. Precursor compositions for chemical vapor deposition, and ligand exchange resistant metal-organic precursor solutions comprising same
FI84960C (fi) * 1990-07-18 1992-02-10 Planar Int Oy Lysaemnesskikt foer elektroluminescensdisplay.
JP2799134B2 (ja) * 1992-09-22 1998-09-17 三菱電機株式会社 チタン酸バリウムストロンチウム系誘電体薄膜用cvd原料およびメモリー用キャパシタ
FI92897C (fi) * 1993-07-20 1995-01-10 Planar International Oy Ltd Menetelmä kerrosrakenteen valmistamiseksi elektroluminenssikomponentteja varten
US5420320A (en) * 1994-06-08 1995-05-30 Phillips Petroleum Company Method for preparing cyclopentadienyl-type ligands and metallocene compounds
FI97731C (fi) 1994-11-28 1997-02-10 Mikrokemia Oy Menetelmä ja laite ohutkalvojen valmistamiseksi
US6342277B1 (en) * 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5923056A (en) * 1996-10-10 1999-07-13 Lucent Technologies Inc. Electronic components with doped metal oxide dielectric materials and a process for making electronic components with doped metal oxide dielectric materials
US5912068A (en) * 1996-12-05 1999-06-15 The Regents Of The University Of California Epitaxial oxides on amorphous SiO2 on single crystal silicon
GB9709639D0 (en) * 1997-05-14 1997-07-02 Inorgtech Ltd Chemical vapour deposition precursors
FI105313B (fi) 1998-06-03 2000-07-14 Planar Systems Oy Menetelmä ohutkalvo-elektroluminesenssirakenteiden kasvattamiseksi
FI108375B (fi) 1998-09-11 2002-01-15 Asm Microchemistry Oy Menetelmõ eristõvien oksidiohutkalvojen valmistamiseksi
US20060219157A1 (en) * 2001-06-28 2006-10-05 Antti Rahtu Oxide films containing titanium
US6265222B1 (en) * 1999-01-15 2001-07-24 Dimeo, Jr. Frank Micro-machined thin film hydrogen gas sensor, and method of making and using the same
US6238734B1 (en) * 1999-07-08 2001-05-29 Air Products And Chemicals, Inc. Liquid precursor mixtures for deposition of multicomponent metal containing materials
US6503561B1 (en) * 1999-07-08 2003-01-07 Air Products And Chemicals, Inc. Liquid precursor mixtures for deposition of multicomponent metal containing materials
US6297539B1 (en) * 1999-07-19 2001-10-02 Sharp Laboratories Of America, Inc. Doped zirconia, or zirconia-like, dielectric film transistor structure and deposition method for same
US6060755A (en) * 1999-07-19 2000-05-09 Sharp Laboratories Of America, Inc. Aluminum-doped zirconium dielectric film transistor structure and deposition method for same
FI117942B (fi) * 1999-10-14 2007-04-30 Asm Int Menetelmä oksidiohutkalvojen kasvattamiseksi
US6475276B1 (en) * 1999-10-15 2002-11-05 Asm Microchemistry Oy Production of elemental thin films using a boron-containing reducing agent
US6203613B1 (en) * 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
US6780704B1 (en) 1999-12-03 2004-08-24 Asm International Nv Conformal thin films over textured capacitor electrodes
US6407435B1 (en) 2000-02-11 2002-06-18 Sharp Laboratories Of America, Inc. Multilayer dielectric stack and method
US6537613B1 (en) * 2000-04-10 2003-03-25 Air Products And Chemicals, Inc. Process for metal metalloid oxides and nitrides with compositional gradients
FI117979B (fi) * 2000-04-14 2007-05-15 Asm Int Menetelmä oksidiohutkalvojen valmistamiseksi
US6984591B1 (en) * 2000-04-20 2006-01-10 International Business Machines Corporation Precursor source mixtures
EP1292970B1 (en) * 2000-06-08 2011-09-28 Genitech Inc. Thin film forming method
JP5290488B2 (ja) * 2000-09-28 2013-09-18 プレジデント アンド フェロウズ オブ ハーバード カレッジ 酸化物、ケイ酸塩及びリン酸塩の気相成長
US6884719B2 (en) * 2001-03-20 2005-04-26 Mattson Technology, Inc. Method for depositing a coating having a relatively high dielectric constant onto a substrate
JP4921652B2 (ja) * 2001-08-03 2012-04-25 エイエスエム インターナショナル エヌ.ヴェー. イットリウム酸化物およびランタン酸化物薄膜を堆積する方法
KR100760291B1 (ko) * 2001-11-08 2007-09-19 에이에스엠지니텍코리아 주식회사 박막 형성 방법
US7300038B2 (en) * 2002-07-23 2007-11-27 Advanced Technology Materials, Inc. Method and apparatus to help promote contact of gas with vaporized material
US6797337B2 (en) * 2002-08-19 2004-09-28 Micron Technology, Inc. Method for delivering precursors
US6858524B2 (en) * 2002-12-03 2005-02-22 Asm International, Nv Method of depositing barrier layer for metal gates
US7198820B2 (en) * 2003-02-06 2007-04-03 Planar Systems, Inc. Deposition of carbon- and transition metal-containing thin films
TW200506093A (en) * 2003-04-21 2005-02-16 Aviza Tech Inc System and method for forming multi-component films
JP4696454B2 (ja) * 2003-04-24 2011-06-08 東ソー株式会社 新規有機イリジウム化合物、その製造方法、及び膜の製造方法
US6844271B2 (en) * 2003-05-23 2005-01-18 Air Products And Chemicals, Inc. Process of CVD of Hf and Zr containing oxynitride films
US7238821B2 (en) * 2003-10-06 2007-07-03 Praxair Technology, Inc. Method for large scale production of organometallic compounds
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
KR20070037492A (ko) * 2004-06-15 2007-04-04 에비자 테크놀로지, 인크. 다성분 유전체 필름을 형성하기 위한 시스템 및 방법
US7250367B2 (en) * 2004-09-01 2007-07-31 Micron Technology, Inc. Deposition methods using heteroleptic precursors
JP4632765B2 (ja) * 2004-10-21 2011-02-16 株式会社Adeka アルコキシド化合物、薄膜形成用原料及び薄膜の製造方法
US20060088660A1 (en) * 2004-10-26 2006-04-27 Putkonen Matti I Methods of depositing lead containing oxides films
US7820557B2 (en) * 2005-03-31 2010-10-26 Tokyo Electron Limited Method for nitriding substrate and method for forming insulating film
US7514119B2 (en) * 2005-04-29 2009-04-07 Linde, Inc. Method and apparatus for using solution based precursors for atomic layer deposition
US7432139B2 (en) * 2005-06-29 2008-10-07 Amberwave Systems Corp. Methods for forming dielectrics and metal electrodes
US8993055B2 (en) * 2005-10-27 2015-03-31 Asm International N.V. Enhanced thin film deposition
GB2432363B (en) * 2005-11-16 2010-06-23 Epichem Ltd Hafnocene and zirconocene precursors, and use thereof in atomic layer deposition
US7713584B2 (en) * 2005-12-22 2010-05-11 Asm International N.V. Process for producing oxide films
EP2029790A1 (en) * 2006-06-02 2009-03-04 L'AIR LIQUIDE, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Method of forming high-k dielectric films based on novel titanium, zirconium, and hafnium precursors and their use for semiconductor manufacturing
US7531458B2 (en) * 2006-07-31 2009-05-12 Rohm And Haas Electronics Materials Llp Organometallic compounds
US8795771B2 (en) * 2006-10-27 2014-08-05 Sean T. Barry ALD of metal-containing films using cyclopentadienyl compounds
US20080173917A1 (en) * 2007-01-19 2008-07-24 Matthias Patz Selective deposition method
DE102007002962B3 (de) * 2007-01-19 2008-07-31 Qimonda Ag Verfahren zum Herstellen einer dielektrischen Schicht und zum Herstellen eines Kondensators
WO2008128141A2 (en) * 2007-04-12 2008-10-23 Advanced Technology Materials, Inc. Zirconium, hafnuim, titanium, and silicon precursors for ald/cvd
US20090035946A1 (en) * 2007-07-31 2009-02-05 Asm International N.V. In situ deposition of different metal-containing films using cyclopentadienyl metal precursors
US8383525B2 (en) 2008-04-25 2013-02-26 Asm America, Inc. Plasma-enhanced deposition process for forming a metal oxide thin film and related structures

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI707977B (zh) * 2018-04-06 2020-10-21 美商應用材料股份有限公司 區域控制稀土氧化物ald及cvd塗佈
TWI753572B (zh) * 2018-04-06 2022-01-21 美商應用材料股份有限公司 區域控制稀土氧化物ald及cvd塗佈

Also Published As

Publication number Publication date
US20030215996A1 (en) 2003-11-20
JP2001355070A (ja) 2001-12-25
US6777353B2 (en) 2004-08-17
FI117979B (fi) 2007-05-15
FI20000898A (fi) 2001-10-15
US7351658B2 (en) 2008-04-01
US20020042165A1 (en) 2002-04-11
US20080014762A1 (en) 2008-01-17
US20100266751A1 (en) 2010-10-21
FI20000898A0 (fi) 2000-04-14
US20050020092A1 (en) 2005-01-27
US6548424B2 (en) 2003-04-15
US7998883B2 (en) 2011-08-16
US7754621B2 (en) 2010-07-13

Similar Documents

Publication Publication Date Title
TW567584B (en) Process for producing oxide thin films
US7576378B2 (en) Systems and methods for forming metal oxides using metal diketonates and/or ketoimines
JP4355656B2 (ja) 金属有機アミンと金属有機酸化物を用いて金属酸化物を形成するシステムおよび方法
US7902099B2 (en) Dielectric layers and memory cells including metal-doped alumina
Niinistö et al. Atomic layer deposition of high‐k oxides of the group 4 metals for memory applications
US9184061B2 (en) Systems and methods for forming zirconium and/or hafnium-containing layers
US7410918B2 (en) Systems and methods for forming metal oxides using alcohols
US7482284B2 (en) Deposition methods for forming silicon oxide layers
US20080064209A1 (en) Systems and methods for forming metal-containing layers using vapor deposition processes
US20230089523A1 (en) Inherently ferroelectric hf-zr containing films

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MK4A Expiration of patent term of an invention patent