KR100591507B1 - 나노층 박막의 원자층 증착 - Google Patents

나노층 박막의 원자층 증착 Download PDF

Info

Publication number
KR100591507B1
KR100591507B1 KR1020040013665A KR20040013665A KR100591507B1 KR 100591507 B1 KR100591507 B1 KR 100591507B1 KR 1020040013665 A KR1020040013665 A KR 1020040013665A KR 20040013665 A KR20040013665 A KR 20040013665A KR 100591507 B1 KR100591507 B1 KR 100591507B1
Authority
KR
South Korea
Prior art keywords
metal
precursor
containing precursor
hafnium
oxide
Prior art date
Application number
KR1020040013665A
Other languages
English (en)
Other versions
KR20040077565A (ko
Inventor
콘리존에프주니어
오노요시
솔란키라젠드라
Original Assignee
샤프 가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 샤프 가부시키가이샤 filed Critical 샤프 가부시키가이샤
Publication of KR20040077565A publication Critical patent/KR20040077565A/ko
Application granted granted Critical
Publication of KR100591507B1 publication Critical patent/KR100591507B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45529Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making a layer stack of alternating different compositions or gradient compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28185Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation with a treatment, e.g. annealing, after the formation of the gate insulator and before the formation of the definitive gate conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28202Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation in a nitrogen-containing ambient, e.g. nitride deposition, growth, oxynitridation, NH3 nitridation, N2O oxidation, thermal nitridation, RTN, plasma nitridation, RPN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3141Deposition using atomic layer deposition techniques [ALD]
    • H01L21/3142Deposition using atomic layer deposition techniques [ALD] of nano-laminates, e.g. alternating layers of Al203-Hf02
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/66583Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with initial gate mask or masking layer complementary to the prospective gate location, e.g. with dummy source and drain contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02194Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing more than one metal element
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31616Deposition of Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31645Deposition of Hafnium oxides, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4908Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET for thin film semiconductor, e.g. gate of TFT
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Nanotechnology (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)
  • Semiconductor Memories (AREA)

Abstract

산화물 나노층 박막을 증착시키는 원자층 증착 방법을 제공한다. 이 방법은 제 1 전구체 내의 질산염 리간드를 제 2 전구체에 대한 산화제로 채택하여, 산화물 나노층을 형성한다. 질산화 하프늄 전구체 및 알루미늄 전구체를 이용함으로써, 이 방법은 수소-종단 실리콘 표면 상에의, 게이트 유전체 또는 커패시터 유전체 응용에 대한, 높은 k 산화 하프늄 / 산화 알루미늄 나노층 유전체의 증착에 적합하다.
나노층 박막, 원자층 증착, 질산염, 산화제, 수소-종단

Description

나노층 박막의 원자층 증착 {ATOMIC LAYER DEPOSITION OF NANOLAMINATE FILM}
도 1 은 종래의 나노층 박막 증착 공정의 흐름도.
도 2 는 산화제로 질산염 전구체를 사용하여 나노층 박막을 증착하는 공정의 흐름도.
도 3 은 산화제로 질산화 하프늄 전구체를 사용하여, 나노층 박막을 증착하는 공정의 흐름도.
도 4 는 질산화 하프늄 전구체 및 알루미늄 전구체를 사용하는 반도체 공정에 사용하기 위해, 산화 하프늄 / 산화 알루미늄 나노층 박막을 증착하는 공정의 흐름도.
도 5a - 5d 는 반도체 게이트 유전체 응용에서의, 산화 하프늄 / 산화 알루미늄 나노층 박막 증착 공정의 단계들을 도시한 도면.
도 6 은 본 발명의 방법을 이용하여 증착된, 4 nm 산화 하프늄 / 산화 알루미늄 나노층 박막의 커패시턴스 대 바이어스 전압의 선도.
도 7 은 동일한 4 nm 산화 하프늄 / 산화 알루미늄 나노층 박막에 대한, 누설 전류 대 바이어스 전압의 선도.
* 도면의 주요 부호에 대한 설명
140: 수소-종단 실리콘 표면 141: 실리콘 기판
142: 필드 산화물 143: 산화 하프늄 층
144: 산화 알루미늄 층 143': 산화 하프늄의 또다른 층
144': 산화 알루미늄의 또다른 층
발명의 분야
본 발명은, 질산화 금속-함유 전구체 (precursor) 를 또다른 금속-함유 전구체를 위한 산화제로 이용하여, 산화 금속 나노층 박막 (nanolaminate film) 을 증착시키는 원자층 증착 (ALD; atomic layer deposition) 방법에 관한 것이다. 본 발명은, 야금술 및 생물의학 응용을 위한 전기-광학 구조, 광학 코팅, 및 패시베이션 코팅 (passivation coating) 을 포함한 다양한 응용에서 사용될 수 있다. 특히, 본 발명은 집적 회로 제조에 관한 것이로, 구체적으로는 반도체 트랜지스터용의 게이트 유전체 (gate dielectric) 및 메모리 회로에 대한 커패시터 유전체에 관한 것이다.
발명의 배경
집적 회로에서 반도체 장치 내의 유전체 물질은 전계 효과 트랜지스터 (FET; field effect transistor) 용의 게이트 유전체 및 동적 랜덤 액세스 메모리 (dynamic random access memory) 용의 커패시터 유전체로 분류된다. 이러한 유 전체의 크기 (dimensions) 는 반도체 장치의 성능과 직접적으로 관련된다. 더 빠른 응답 및 더 복잡한 기능을 달성하기 위해, 오늘날의 집적회로 제조는 두께뿐만 아니라 측면 크기의, 모든 방향에 있어 더 작아지고 있다.
DRAM 장치의 성능은 커패시터에 저장된 전하와 관련되고, 이는 커패시터의 면적 및 유전 상수 k 에 정비례하고 커패시터의 두께에는 반비례한다. 커패시터의 크기가 줄어듬에 따라, 고 성능 DRAM 장치에 적합한 커패시턴스 전하를 유지하기 위해, 커패시터 유전체로서 높은 k 유전체가 매우 바람직하다.
반도체 장치의 속도는, 전압을 인가한 후 FET 내의 게이트 유전체의 응답에 정비례한다. 게이트 유전체의 응답은 유전 상수 k 에 정비례하고 유전체의 두께 t 에 반비례한다. 따라서, 얇고 높은 k 의 유전체에 대한 필요성이 게이트 유전체로서 매우 요망되고 있다.
게이트 유전체의 주 재료는 약 4 의 유전 상수를 갖는 산화 실리콘 (SiO2) 이다. 장치 크기가 계속적으로 줄어듬에 따라, 산화 실리콘 게이트 유전체의 두께는 1.5 ∼ 2 nm 의 터널링 한계 (tunneling limit) 까지 도달했다. 일반적으로, 1.5 nm 보다 적은 산화 실리콘 박막은, 직접 터널링 전류 (direct tunneling currents) 로부터의 높은 누설로 인한 과도한 전력 소비로 인해, FET 장치에서 게이트 유전체로서 주로 사용할 수 없다. 또한, 붕소 페너트레이션 (boron penetration), 전하 주입 손상 (charge injection damage) 과 같은, 이러한 초 박 산화 실리콘 박막과 관련한 제조 및 신뢰성의 염려가 존재한다.
산화 실리콘에 대한, 가능한 대체물로서, 여러가지 높고 일정한 유전체 재료 (높은 k 유전체) 를 연구하였으나, 낮은 누설 전류, 실리콘 기판과의 양호한 계면의 형성, 제조 공정에서의 낮은 열 한계 (low thermal budget) 및 디바이스 하이 채널 이동도 (device high channel mobility) 와 같은 여러가지 엄격한 요구사항 때문에, 아직까지 적당한 대체물을 발견하지 못하고 있다. 잠재적인 후보는 산화 티타늄 (TiO2), 산화 탄탈륨 (9 내지 27 사이의 k 값을 갖는 Ta2O5), 산화 알루미늄 (약 9 의 k 값을 갖는 Al2O3), 산화 지르코늄 (10 내지 25 사이의 k 값을 갖는 ZrO2), 산화 하프늄 (10 내지 25 사이의 k 값을 갖는 HfO2) 과 다층 (multilayer), 멀티콤포넌트 및 나노층과 같은 다양한 조합물과 혼합물이다.
현재의, 게이트 유전체 응용을 위한 높은 k 유전체 재료로서 선도적인 후보는 산화 지르코늄 및 산화 하프늄이다. 이들 재료의 벌크 유전 상수의 크기는 약 20 내지 25 로서, 약 5 내지 6 nm 인 이러한 재료는 두께가 1 nm 인 산화 실리콘과 등가임을 의미한다.
ALD 를 통하여 증착된 지르코늄 산화 박막은, 높은 유전 상수 및 낮은 누설을 포함하는, 양호한 절연 특성을 나타낸다. 그러나, 큰 문제는 수소-종단 표면 상에 부드럽게 직접 증착하지 못하며, 대신 균일한 시작 (uniform initiation) 을 위하여 산화 실리콘의 박층 (thin layer) 을 요구하는 것이다. 이의 예가, Electrochemical and Solid-State Letters, 5 (5), C57-59 (2002) 에 실린 Conley 등의 "Atomic layer deposition of hafnium oxide using anhydrous hafnium nitrate" 에 개시되어 있다. 얇은 산화 실리콘 계면 층이 존재한다면, 1 nm 의 등가 두께인 산화 실리콘을 얻는 것은 매우 어렵기 때문에, 그 존재는 바람직하지 않다. 수소-종단 실리콘 표면은, 실리콘의 댕글링 본드 (dangling bonds) 가 수소로 종단되어 있는, 어떠한 고유의 (native) 산화 실리콘도 없는, 실리콘 표면이다. 수소-종단 실리콘 표면은 표준 산업 반도체 클리닝 공정의 결과물이다. 이러한 표준 클리닝 공정은 통상적으로 실리콘 웨이퍼를 HF 용액에 급속 침적 (quick immersions) 하여, 수소 패시베이션 (hydrogen passivation) 으로도 알려진 수소로 종단된 실리콘 표면을 생성한다.
산화 하프늄에 대한 연구는, 적합한 증착 조건하에서, 수소-종단된 실리콘 표면 상에, 매끄럽고 균일한 아몰퍼스 하프늄 산화 박막을 직접 증착할 수 있음을 알려준다. 10.5 의 유전 상수 및 2.1 nm 의 커패시턴스 등가 두께를, 수소-종단 실리콘 표면 상에 5.7 nm 두께의 산화 하프늄을 직접 증착하여 달성하였다. 이는, 더 연구하면 등가 두께를 1 nm 이하로 줄일 수 있다는 것을 알려주기 때문에, 매우 바람직한 특성이다. 그러나, 증착된 하프늄 산화 박막은 여전히 여러가지 문제점을 갖고 있다. 한 문제점은, 반도체 장치의 제조 공정에서 더 낮은 온도 한계 (lower thermal budget) 를 초래하는 낮은 결정화 온도이다. 또다른 문제점은 산화 하프늄과 실리콘 기판의 계면의 품질이 산화 실리콘과 실리콘 기판의 계면에 비해 상대적으로 열악하다는 것이다. 이것은 반도체 장치 구조로 집적될 때 낮은 채널 이동도를 초래한다.
얇은 교번 절연체 층 (alternating layers of insulators) 은, 그 특성이 조 정될 수 있는 혼성 박막 (즉, 나노층) 을 형성할 수 있음을 나타내고 있다. 2000 년 2 월 15 일에 Journal of Applied Physics, Vol. 87, No 4 에 실린 Zhang 등의 "high permittivity thin film nanolaminates" 의 종래 연구는, Ta2O5-HfO 2, ZrO2-HfO2, 및 Ta2O5-ZrO2 의 나노층은 나노층의 두께에 의존하여 다른 특성을 나타냄을 알려준다.
또한, 종래 연구는, 알루미늄을 도핑하여, 높은 k 유전체 박막의 특성을 변경할 수 있음을 알려준다. 예를 들어, Ma 등의, 발명의 명칭이 "Aluminum-doped zirconium dielectric film transistor structure and deposition method for same" 인, 미국 특허 번호 제 6,060,755 호는, 산화 지르코늄과 같은 높은 유전 상수 재료에 알루미늄과 같은 3 가 금속의 도핑이 결정화 온도를 증가시켜, 결과물인 박막이 높은 온도 처리 조건 하에서 아몰퍼스 존재한다는 내용을 개시하고 있다. 알루미늄으로 도핑된 지르코늄 산화 박막은 더 나은 균일도를 갖지만 조금 더 낮은 유전 상수를 가지는 아몰퍼스 박막을 형성한다.
공정의 측면에서, 현대 반도체 장치 구조의 기본적인 증착 공정의 하나는 화학 증기 증착 (CVD; Chemical Vapor Deposition) 이다. CVD 에서, 전구체 (precursor) 가스 또는 증기의 혼합물은 상승하는 온도에서 웨이퍼 표면 위로 흐른다. 그 후, 증착이 발생하는 고온 표면에서, 반응이 일어난다. 웨이퍼 표면의 온도는 전구체의 증착 반응과 큰 웨이퍼 표면에 대한 증착의 균일도에 영향을 미치기 때문에, CVD 증착에 있어서 중요한 요소이다. CVD 는 통상적으로 400 내지 800 ℃ 범위의 고온을 요구한다. 더 낮은 온도에서의 CVD 는 균일도와 불순물의 면에서 낮은 품질의 박막을 형성하는 경향이 있다. 증착 온도를 낮추기 위해서, 전구체를 플라즈마 강화 화학 증기 증착 (PECVD; plasma enhanced chemical vapor deposition) 공정에서 플라즈마 에너지에 의해 여기 (excite) 시킬 수 있다. CVD 공정의 전구체와 공정 조건은, 입자 형성을 유도하는 가스 상 반응 (gas phase reaction) 을 피하도록 주의깊게 선택한다. 또한, CVD 박막의 균일도는 공정 조건의 함수이므로, 일반적으로 초박막에서는 좋지 않다.
원자층 침작 (ALD 또는 ALCVD) 으로 알려진 또다른 증착 기술은 가스 상 반응 및 박막 균일도의 면에서 CVD 기술에 비해 주목할만한 향상을 갖는다. ALD 에서는, 전구체 증기를, 전구체, 퍼지 가스 (purge gas), 반응물 (reactant), 기판 상으로의 전구체 흡착을 통한 퍼지 가스 및 그 후 반응물과 반응시키는, 교번 (alternating) 시퀀스로 공정 챔버에 주입한다. ALD 공정에는 다양한 변형이 있으나, 모든 기본적인 ALD 공정은 두 가지의 명백한 특성, 즉 전구체의 교번 주입 (alternating injection of precursors) 및 전구체 흡착의 포화 (the saturation of the precursor adsorption) 를 포함한다.
ALD 공정에 있어서, 전구체를 챔버로 운반하여 기판 표면상으로 흡착한다. 흡착 온도는 CVD 공정의 반응 온도보다 더 낮고, 흡착된 양은 웨이퍼 표면 온도에 다소 덜 민감하다. 그 후, 전구체를 차단 (shut off) 하고, 퍼지 가스를 챔버로 운반하여 챔버 부피 내에 있는 남아있는 모든 전구체를 퍼징한다. 그 후 반응물을 챔버로 운반하여, 흡착된 전구체와 반응시켜 원하는 박막을 형성한다. 그 후 또다른 퍼지 가스를 챔버로 운반하여 챔버 용적 내에 남아 있는 모든 반응물 증기를 퍼징한다. 증기 스트림으로 전구체와 반응물을 교번 (alternating) 시킴으로써, 가스 상 반응의 가능성은 최소화하고, CVD 기술에서는 이용할 수 없는, 사용가능 전구체의 범위를 넓힐 수 있다. 또한, 흡착 메커니즘으로 인하여, 일단 표면이 포화되면 추가 전구체 및 반응물은 더 이상 흡착되거나 반응하지 않고 단지 소모되기 때문에, 증착된 박막이 매우 균일하다.
ALD 의 전구체 요건은, 증착 메커니즘이 다르기 때문에, CVD 의 전구체 요건과는 다르다. ALD 전구체는, 단지 전구체의 하나의 단원자층 (monolayer) 만을 기판 상에 흡착하는 자기-제한 효과 (self-limiting effect) 를 가지고 있어야 한다. 이러한 자기-제한 효과 때문에, 단지 하나의 단원자층 또는 부-단원자층 (sub-monolayer) 만을 매 사이클마다 증착하며, 심지어 과도한 전구체 또는 추가 시간이 제공되더라도, 추가 전구체를 성장 층 (grown layer) 상에 증착하지 않는다. ALD 용으로 디자인되는 전구체는, 자기-제한 모드에서 흡착된 표면 상의 본딩 사이트 (bonding sites) 에 쉽게 흡착해야 한다. 일단 흡착하면, 전구체는 반응물과 반응하여 원하는 박막을 형성해야 한다. CVD 에서는, 전구체와 반응물을 함께 기판에 도달시켜, 전구체와 반응물 간의 반응에 의해 연속적으로 박막 증착한다. CVD 공정에서의 증착 속도 (deposition rate) 는 전구체와 반응물의 유량 (flow rate) 및 기판 온도에 비례한다. CVD 에서, 전구체와 반응물은, 원하는 박막을 형성하기 위해, 증착된 표면에서 동시에 반응하여야 한다.
따라서, ALD 전구체로는, 여러가지 유용한 CVD 전구체를 사용할 수 없으며, 그 역도 마찬가지이다. ALD 방법에 대한 전구체를 선택하는 것은 사소하거나 명백한 것이 아니다.
질산염 (NO3) 리간드는 강력한 산화제 (oxidizing agent) 및 질화제 (nitriding agent) 로서, 많은 화합물과 강하게 반응할 수 있다. Gates 등의 발명의 명칭이 "Atomic layer deposition with nitrate containing precursors" 인 미국 특허 번호 제 6,203,613 호는, 질산화 금속 전구체를 이용함과 동시에 공동-반응물 (co-reactants) 을 산화 (oxiding), 질화 (nitriding), 및 환원 (reducing) 시켜, 산화물, 질화물 및 금속 박막을 각각 증착시키는 ALD 방법을 개시한다.
Gates 등과 유사하게, 또한 다른 공개도, 질산화 지르코늄 전구체와 함께 물 또는 메탄올과 같은 산화제를 이용한 산화 지르코늄 ALD 증착, 및 질산화 하프늄 전구체와 함께 물 또는 메탄올과 같은 산화제를 이용한 산화 하프늄 ALD 증착을 개시하고 있다. 예가, Ono 등의 발명의 명칭이 "Method of using atomic layer deposition to deposit a high dielectric constant material on a substrate" 인, 미국 특허 번호 제 6,420,279 호에 개시되어 있다. 그러나, 우리의 연구는, 질산화 하프늄과 함께 산화제로 증착시킨 산화 하프늄이, 아마도 하프늄 산화제 박막에 산소가 많은 (oxygen-rich) 특성 때문에, 기대한 것보다 더 낮은 유전 상수를 가짐을 나타내고 있다.
산화 하프늄 박막의 품질을 향상하기 위해서는, 산화 하프늄의 산소 함유량을 줄이는 것이 바람직하다.
매끄럽고 균일한 산화 하프늄 층의 개시 (initiation) 를 위해서는, 수소-종단 실리콘 표면 상에 질산화 하프늄을 이용하는 것이 바람직하다.
조성의 변경을 통해 박막의 특성을 개질하기 위해서는, 나노층을 형성하는 것이 바람직하다.
더 나은 계면 품질 및 더 낮은 누설 전류와 같은, 복합 박막 특성의 변경을 위해서는, 산화 알루미늄을 산화 하프늄에 혼입 (incorporate) 하는 것이 바람직하다.
산화 금속의 결정화 온도를 증가시키기 위해서는, 알루미늄 불순물을 혼입하는 것이 바람직하다.
산화 금속 나노층 박막을 형성하는 동안, 제 1 질산화 금속-함유 전구체를 제 2 금속-함유 전구체에 대한 산화제로 사용하는 방법을 제공한다.
본 발명의 한 목적은, 질산염-함유 전구체를 또 다른 전구체에 대한 산화제로 사용하여 산화물 나노층 박막을 증착시키는 원자층 침작 공정을 제공하는 것이다.
본 발명의 또다른 목적은, 질산화 금속-함유 전구체를 또다른 금속-함유 전구체에 대한 산화제로 사용하여 산화 금속 나노층 박막을 증착시키는, 원자층 침작 공정을 제공하는 것이다.
본 발명의 또다른 목적은, 질산화 하프늄-함유 전구체를 알루미늄-함유 전구체에 대한 산화제로 사용하여, 산화 하프늄 / 산화 알루미늄 나노층 박막을 증착시 키기 위한, 원자층 침작 공정을 제공하는 것이다.
본 발명의 또다른 목적은, 질산화 하프늄 전구체를 알루미늄-함유 전구체에 대한 산화제로 사용하여, 반도체 애플리케이션에서 게이트 유전체 또는 커패시터 유전체로서 유용한 산화 하프늄 / 산화 알루미늄 나노층 박막을 증착시키기 위한, 원자층 침작 공정을 제공하는 것이다.
본 발명은 임의의 ALD 공정에서 산화 금속 나노층 (nanolaminate) 을 증착시키기 위해, 질산화 금속-함유 전구체 (metal nitrate-containing precursor) 를 또다른 금속 함유 전구체 (metal-containing precursor) 에 대한 산화제로 작용하도록 이용하는 방법을 개시한다. 본 발명의 금속은 1B 족 (Cu), 2B 족 (Zn), 3B 족 (Y), 4B 족 (Ti, Zr, Hf), 5B 족 (V, Nb, Ta), 6B 족 (Cr, Mo, W), 7B 족 (Mn) , 8B 족 (Co, Ni), 3A 족 (Al, Ga, In), 4A 족 (Ge, Sn), 또는 희토류 (rare earth group; La, Ce, Nd, Gd) 와 같은 여하한 금속 재료일 수 있다. 본 발명에서 나노층이라는 용어는 다른 재료의 박층 또는 동일한 금속의 박층을 포함하는 것으로 넓게 정의된다. 본 발명의 어떤 양태에서, 질산화 금속 함유 전구체로부터의 금속과, 금속-함유 전구체로부터의 금속은, 다른 금속이다. 따라서, 나노층 박막은 다른 재료를, 즉 질산화 금속-함유 전구체로부터의 산화 금속과 금속 함유 전구체로부터의 산화 금속으로 이루어진 다수의 박층을 포함한다. 본 발명의 어떤 양태에서, 질산화 금속-함유 전구체로부터의 금속과, 금속 함유 전구체로부터의 금속은, 동일한 금속이다. 따라서, 나노층 박막은 동일한 산화 금속 재료로 된 다수의 박층을 포함한다. 이러한 산화 금속의 박층들은 예를 들어, 증착 주기마다 하나의 박층을 증착하므로, 증착 시에만 구별이 가능하며, 예를 들어 증착을 완료한 후에는 하나의 박층을 다른 박층과 구별할 수 없어, 증착 후에는 구별이 불가능하다.
전형적인 질산화 금속은 M(NO3)x 의 화학식을 가지며, 여기서 M 은 금속 재료, 그리고 x 는 M 의 원자가이다. 예를 들어, M 이 원자가 4 인 하프늄 (hafnium) 이라면, 질산화 하프늄 화학식은 Hf(NO3)4 이다.
본 발명의 주요 목적은 공정 챔버에 도입되는 산소의 양을 제어하고 공정 흐름을 단순화하기 위해, 질산화 금속 함유 전구체를 산화제로 작용하도록 이용하는 것이다. 따라서, 질산화 금속 함유 전구체 내에 적어도 하나의 질산염 리간드를 함유하기만 하는 것도, 본 발명의 범위에 속한다. 전부는 아니나, 일부의 NO3 리간드는 본 방법의 효능에 영향을 미치지 않고 치환기 R 로 대체할 수 있다. 또한, 질산화 금속-함유 전구체에서 NO3 리간드의 감소에 의해, 공정 챔버에 도입되는 산소의 양을 제어하여 결과물인 나노층 박막의 특성을 조정하는 제어 메커니즘을 제공할 수 있다. 치환된 전구체는 휘발성, 및 열 안정성과 같은, 맞춤형 (tailored) 의 전구체의 성질을 제공할 수 있다.
치환기 R 은 수소 (hydrogen), 메틸 (CH3), 에틸(C2H5) 과 같은 탄화수소 (hydrocarbon), 산소 (oxygen), NO2, N2O5 와 같은 옥시니트레이트 (oxynitrate), 히드록실 (hydroxyl), 아로마틱 (aromatic), 아민 (amine), 알킬 (alkyl), 실릴 (silyl), 알콕사이드 (alkoxide), 디케톤 (diketone), 또는 이들의 혼합물과 같은 다수의 리간드일 수 있다.
제 2 금속-함유 전구체는 산소를 포함할 수도 있고 포함하지 않을 수도 있다. 산소-비함유 전구체의 선택은 산소의 양을 감소시키는 반면, 또다른 산소-함유 전구체의 선택은 더 많은 산소의 혼입을 유도한다. 금속-함유 전구체는, 다음 : 알킬화 금속 (metal alkyls), 할로겐화 금속 (metal halides), 디케톤화 금속 (metal diketonates), 알콕사이드 금속 (metal alkoxides), 수소화 금속 (metal hydrides), 실릴화 금속 (metal silyls), 아미드화 금속 (metal amides), 아세틸아세톤화 금속 (metal acetylacetonates), t-부톡사이드 금속 (metal t-butoxides), 에톡사이드 금속 (metal ethoxides) 및 혼합물 및 그 조합물 중 임의의 것일 수 있다.
제 1 전구체 / 퍼지 (purge) / 제 2 전구체 / 퍼지의 상기 ALD 증착 시퀀스는 원하는 두께에 도달할 때까지 반복할 수 있다. 최종 시퀀스는 제 1 전구체 / 퍼지 / 제 2 전구체 / 퍼지의 전체 시퀀스일 수도 있고, 또는 제 1 전구체 / 퍼지의 절반의 시퀀스 (half sequence) 일 수도 있다. 최종 시퀀스의 선택은 증착 박막의 상부 표면을 다소 변경할 수 있다.
바람직한 실시형태에서는, 제 1 금속은 하프늄 또는 지르코늄 금속이다. 본 발명은 질산화 하프늄-함유 전구체를 금속-함유 전구체에 대한 산화제로 이용하는, 산화 하프늄 / 산화 금속 나노층의 원자층 (atomic layer) 증착 방법을 제공한 다. 본 방법은 질산화 하프늄-함유 전구체를 제공하는 단계, 그 후 질산화 하프늄 전구체를 퍼징하는 단계, 그 후 금속-함유 전구체를 제공하는 단계, 및 그 후 금속-함유 전구체를 퍼징하는 단계를 포함한다. 전구체의 교번 도입 (alternating precursor introduction) 으로, 질산화 하프늄과 금속 함유 전구체 사이의 반응을 생성하여 산화 하프늄과 산화 금속의 나노층을 형성한다.
또다른 바람직한 실시형태에서는, 제 1 금속은 하프늄 또는 지르코늄 금속이며 제 2 금속은 알루미늄이다. 본 발명은 질산화 하프늄-함유 전구체를 알루미늄 함유 전구체에 대한 산화제로 이용하는, 산화 하프늄 / 산화 알루미늄 나노층의 원자층 증착 방법을 제공한다. 본 방법은 질산화 하프늄 함유 전구체를 제공하는 단계, 그 후 질산화 하프늄 전구체를 퍼징하는 단계, 그 후 알루미늄 함유 전구체를 제공하는 단계, 및 그 후 알루미늄 함유 전구체를 퍼징하는 단계를 포함한다. 전구체의 교번 도입으로, 질산화 하프늄과 알루미늄 전구체 사이의 반응을 생성하여, 산화 하프늄과 산화 알루미늄의 나노층을 형성한다.
반도체 애플리케이션 용의 산화 하프늄 / 산화 알루미늄 나노층 박막 증착의 또다른 바람직한 실시형태에서, 본 발명의 제 1 단계는, 질산화 하프늄 전구체에 노출시키기 전에 수소-종단 (hydrogen-terminated) 실리콘 표면을 제공하는 것이다. 질산화 하프늄 전구체와 수소-종단 실리콘 표면의 조합은, 배양 기간 (incubation period) 또는 얇은 산화 실리콘 계면 층을 필요로 하지 않고, 수소-종단 실리콘 표면 상에 직접 산화 하프늄의 증착을 유발할 수 있다. 그 후, 기판을 질산화 하프늄 펄스, 그 후 챔버 퍼지, 및 그 후 알루미늄-함유 전구체, 그 후 또다른 챔버 퍼지의 시퀀스에 노출된다. 시퀀스를 원하는 두께에 도달할 때까지 반복하고, 최종 단계는 알루미늄-함유 전구체 펄스 / 챔버 퍼지 또는 질산화 하프늄 펄스 / 챔버 퍼지 중 하나로 한다. 그 후, 제조 공정은 증착 후 어닐링과 게이트 전극 재료의 증착으로 진행한다.
수분 함량을 최소화하기 위해, 질산화 하프늄 전구체는 무수 (anhydrous) 질산화 하프늄일 수 있다. 알루미늄 함유 전구체는, 염화 알루미늄 또는 요오드화 알루미늄과 같은 할로겐화 알루미늄일 수 있다. 알루미늄 함유 전구체는 트리메틸 알루미늄 (trimethyl aluminum) 또는 트리에틸 알루미늄 (triethyl aluminum) 과 같은 유기 금속 전구체일 수 있다.
산화 하프늄 / 산화 알루미늄 나노층 박막의 증착은, 기판을 200 ℃ 이하로, 바람직하게는 150 ℃ 내지 200 ℃ 로, 특히 170 ℃ 로 가열하는 단계를 더 포함할 수 있다.
챔버 퍼징 단계는 아르곤과 같은 비활성 기체, 또는 질소와 같은 비반응성 기체로 행할 수 있다.
발명의 상세한 설명
도 1 은 산화물 나노층 박막을 증착하는 단계들을 도시하는, 종래 기술 공정의 흐름도를 도시한다. 단계 10 에서, 공정 챔버 내에 기판을 제공한다. 기판 및 챔버를 산화물 계면 층의 성장과 같은 증착 공정에 맞게 조절한다 (단계 10a). 단계 11 내지 14 에서, 2-산화물 나노층 박막의 제 1 산화 금속을 제공 한다. 단계 11 에서, 기판 상에의 전구체의 흡착을 위한, 염화 하프늄 (HfCl4) 과 같은 제 1 금속 전구체를 제공한다. 단계 12 는 질소와 같은 비반응성 기체를 이용하여 공정 챔버로부터 전구체를 제거하는 퍼지 단계를 제공한다. 단계 13 에서, 물, 알코올, 산소 또는 오존과 같은 제 1 산화제를 공정 챔버에 제공한다. 산화제는 흡착된 제 1 금속 전구체와 반응하여, 산화 하프늄과 같은 제 1 산화 금속을 형성한다. 단계 14 는 산화제 및 임의의 부산물을 제거하는, 질소를 이용한 퍼지 단계를 제공한다. 그 후, 단계 15 내지 18 에서 2-산화물 나노층 박막의 제 2 산화 금속을 제공한다. 단계 15 에서, 이전에 증착된 기판 표면 상으로의 전구체의 흡착 또는 반응을 위한, 염화 알루미늄 (AlCl3) 과 같은 제 2 금속 전구체를 제공한다. 단계 16 은 공정 챔버로부터 전구체를 제거하는 질소를 이용한 퍼지 단계를 제공한다. 단계 17 에서, 물, 알코올, 산소, 또는 오존과 같은 제 2 산화제를 공정 챔버에 제공한다. 산화제는 흡착된 제 2 금속 전구체와 반응하여, 산화 알루미늄과 같은 제 2 산화 금속을 형성한다. 단계 18 은 산화제 및 임의의 부산물을 제거하는, 질소를 이용한 퍼지 단계를 제공한다. 단계 19 에서 원하는 두께에 도달할 때까지 단계 11 내지 18 을 반복하며, 그 후 박막 고밀화와 같은 성질 향상을 위해 나노층 박막을 선택적인 어닐링 단계 20 를 처리한다.
도 2 는 본 발명의, 질산염-함유 전구체를 제 2 전구체에 대한 산화제로 사용하는, 산화물 나노층 박막 증착 단계들을 도시하는 흐름도이다. 단계 110 에 서, 공정 챔버 내에 기판을 제공한다. 증착을 시작하기 전에 기판을 적합하게 처리한다. 증착이 일반적으로 저온, 통상적으로 1 토르의 압력에서 이루어지므로, 발생할 수 있는 오염 (contamination) 을 줄이기 위해, 챔버를 약 밀리토르 범위 내에서 바닥 압력 (base pressure) 으로 감압 (pumped down) 시켜야 한다. 기판 온도는 제 1 전구체의 흡착에 맞게 준비되어야 한다. 최적의 흡착을 위한 통상적인 온도는 150 내지 200 ℃ 이다. 챔버 벽 상에의 증착을 막기 위해, 챔버 벽의 설정은 기판 온도보다 훨씬 낮아야 한다. 또한, 전구체의 응축 (condensation) 을 막기 위해, 챔버 벽은 전구체 증기의 온도, 50 ℃ 내지 100 ℃ 와 거의 같은 온도일 필요가 있다. 질산화 하프늄 전구체에 대해 적합한 온도는 약 88 ℃ 이다. 챔버에 대한 특별한 설정은 없다. 이 공정은 원자층 증착 기술, 즉 전구체 펄스의 시퀀스를 이용하기 때문에, 챔버의 부피 내에 전구체의 포화와 전구체의 빠른 퍼지를 촉진하기 위해서, 챔버의 부피는 작은 것이 바람직하다.
또한, 전구체가 준비되어야 한다. 증착 기술은, 공정 챔버에 순차적으로 (sequentially) 운반되는 전구체의 화학적 증기를 이용한다. 따라서, 적당한 증기 압력이 공정 챔버에 가해지게 하기 위해서, 전구체는 적합한 온도로 유지할 필요가 있다. 기체 전구체, 즉 실온에서 기체 상태에 있는 전구체에 대해서는, 실온이 적합하다. 액체 전구체, 즉 실온에서 액체 상태에 있는 전구체에 대해 전구체의 온도 설정은 액체 전구체의 평형 증기압에 의존한다. 알코올 및 아세톤과 같은, 고 휘발성의 액체 전구체는 높은 평형 증기압을 가지므로, 대략 실온에 서 적합한 증기압을 유지하기 위해서는 낮은 온도 설정이 적절하다. 저 휘발성 액체 전구체에 대해서는, 높은 온도 설정이 필요하다. 온도가 높을수록 증기압이 높아지므로, 전구체의 운반이 쉬워진다. 그러나, 온도는, 열분해 등에 의해 전구체가 손상되는 최대 온도보다는 더 낮게 설정할 필요가 있다.
공정 챔버 및 기판의 준비 후에, 다음 단계 111 은 제 1 질산화 금속-함유 전구체의 도입이다. 이 전구체는 제 1 금속과 결합하는, 다수의 질산염 리간드 NO3 를 함유한다. 제 1 금속의 원자가에 따라, 질산염 리간드의 수는 1 질산염 리간드에서 금속의 원자가를 채우는 최대 숫자의 리간드까지 변할 수 있다. 예를 들어, 하프늄의 원자가는 4 이다. 따라서, 질산화 하프늄 함유 전구체는 1 에서 4 까지의 질산염 리간드를 함유할 수 있다. 본 발명의 기본 개념은 질산염 리간드를 산화제로 이용하는 것이므로, 단 하나의 질산염 리간드만이 필수적 (essential) 이다. 전구체 내의 실제 질산염 리간드의 수는 나노층 박막에 혼입되는 산소의 양을 최적화하는 제어 인자 (control factor) 로 이용할 수 있다.
기판 상에의 제 1 질산화 금속-함유 전구체의 흡착이 가능한 적합한 시간이 경과한 후에, 전구체를 공정 챔버로부터 퍼징한다. 흡착 특성은 자기-제한적이므로, 전구체는 기판 표면 상에서 2 이상의 단원자층 (monolayer) 을 흡착할 수 없고 통상적으로 단 하나의 부-단원자층 (sub-monolayer) 을 흡착할 수 있다. 이 단계를 위한 통상적인 시간은 수초 내지 수분이며, 챔버의 디자인, 챔버의 부피, 및 기판 형태의 복잡도에 의존한다. 샤워헤드 운반을 이용하는 챔버 디자인에 서는, 전구체의 이동 거리가 짧고 모든 기판의 영역에 대해 거의 일정하므로, 기판 상에의 전구체의 완전 흡착 시간 공정이 짧다. 이와 대조적으로, 전구체가 한쪽 끝에서 공급되어 챔버의 다른 쪽 끝에서 소진되도록 디자인하면, 전구체의 소진 작용이 흡착 시간을 연장시킬 수 있다. 이와 유사하게, 챔버 용적이 작으면, 챔버 포화가 빠르기 때문에 더 짧은 흡착 시간을 제공할 수 있다. 또한, 기판의 형태는 흡착 시간에 영향을 미친다. 깊은 트렌치 (trenches) 를 갖는 구조에서, 전구체 소진 작용 및 전구체 공급 작용은 흡착 시간을 연장시킬 수 있다.
제 1 질산화 금속-함유 전구체를 기판 상에 흡착시킨 후, 제 2 전구체를 도입하기 위한 준비로서 단계 112 에서, 전구체를 턴 오프 (turned off) 하고, 공정 챔버로부터 퍼징한다. 이 퍼징은 공정 챔버에 존재하는 전구체를 배기하는 펌핑 단계에 의해 행할 수 있다. 펌핑 단계의 특징은 챔버의 압력을 감소시켜 모든 기체를 배기하는 것이다. 또한, 퍼징은, 모든 전구체를 공정 챔버에서 배출하기 위해, 질소와 같은 비반응성 기체 또는 비활성 기체를 사용하는 대체 단계 (replacement step) 에 의해 이루어질 수도 있다. 대체 단계의 특성은 전구체를 턴 오프하고 퍼지 기체를 턴 온 (turn on) 한 상태에서, 챔버의 압력을 유지하는 것이다. 퍼징 단계에서 이 두 단계의 조합이 이용될 수도 있으며, 이는 펌핑 단계 후에 질소 또는 아르곤 대체 단계가 행해짐을 의미한다.
퍼징 시간이 길수록 전구체는 더 완전하게 제거되지만, 처리량이 감소한다. 경제적 이유로 가장 짧은 퍼징 시간이 요구되므로, 최적의 퍼징 시간은 전구체 잔여분의 압력이 전체 공정에 영향을 주지 않을 정도의 시간이며, 전구체를 완전히 제거할 필요는 없다.
챔버에 질산염 함유 전구체가 없어진 후, 단계 113 에서 제 2 금속 함유 전구체가 공정 챔버에 도입된다. 흡착된 재료, 제 1 산화 금속 또는 제 1 전구체로부터의 질산염 리간드 또는 둘 모두는, 제 2 전구체 내의 제 2 금속과 반응하여, 2 산화물 나노층 박막 구조 내에 제 1 산화 금속과 제 2 산화 금속을 형성한다. 도 1 에 도시된 바와 같이, 종래 기술의 통상적인 산화물 나노층 박막 공정은, 산화 금속을 형성하기 위해 물, 산소, 알코올 또는 오존과 같은 산화제를 이용하므로, 본 발명에서의 산화제-가능 (oxidizer-capable) 전구체 / 퍼지 / 전구체 / 퍼지의 단지 4 펄스 대신에, 전구체 / 퍼지 / 산화제 / 퍼지 / 전구체 / 퍼지 / 산화제 / 퍼지의 8 개의 별개 펄스가 필요하다.
나노층의 형성 후에, 단계 114 에서 제 2 전구체가 공정 챔버로부터 퍼지 된다. 또한, 퍼징 단계는 펌핑 단계, 또는 대체 단계, 또는 펌핑 단계와 대체 단계 조합 단계일 수 있다.
상술한 111, 112, 113 그리고 114 의 4 단계는, 단계 115 에서 원하는 두께에 도달할 때까지 반복될 수 있다. 그 후, 결과물인 나노층 박막은, 단계 116 에서, 박막의 품질을 향상시키기 위해 400 ℃ 내지 1000 ℃ 와 같은 고온에서 어닐링될 수 있다.
도 3 은, 질산화 하프늄 전구체를 산화제로 사용하여 나노층 박막을 증착시키는 공정의 흐름도이다. 단계 120 에서, 공정 챔버 내에 기판을 제공한다. 기판 및 공정 챔버는 원자층 증착 공정에 적합하게 준비된다. 다음 단계 121 은, 4 질산염 리간드를 포함하는 질산화 하프늄 전구체, Hf(NO3)4, 의 도입이다. 질산화 하프늄 전구체가 기판 상에 흡착된 후에, 전구체는 턴 오프되며 그 후 단계 122 에서 공정 챔버로부터 퍼징된다. 적합한 조건 하에서, 질산화 하프늄은 산화 하프늄을 형성할 수 있다. 그 후, 단계 123 에서, 금속-함유 전구체가 공정 챔버로 도입된다. 금속-함유 전구체 내의 금속이, 흡착된 재료와 반응하면, 산화 하프늄 / 산화 금속 나노층 박막 구조를 형성한다.
금속-함유 전구체는, 질산화 하프늄과 반응시키기 위해 선택된다. 통상적인 금속-함유 전구체는, 염화 알루미늄 또는 요오드화 알루미늄과 같은 할로겐화 알루미늄이다. 다른 가능한 알루미늄 전구체로는, 트리메틸 알루미늄, 또는 트리에틸 알루미늄과 같은 유기 금속 전구체이다.
산화 하프늄 / 산화 금속 나노층의 형성 후에, 금속-함유 전구체는 단계 124 에서 공정 챔버로부터 퍼징된다. 단계 125 에서, 상술한 4 개의 단계 121, 122, 123 및 124 는 원하는 두께에 도달할 때까지 반복될 수 있다. 그 후, 단계 126 에서, 결과물인 산화 하프늄 / 산화 금속 나노층 박막은 400 ℃ 내지 1000 ℃ 사이와 같은, 더 높은 온도에서 어닐링되어 박막의 품질을 향상시킬 수 있다.
도 4 는, 질산화 하프늄 전구체 및 알루미늄 전구체를 사용하여, 반도체 공정에 사용하기 위하여 산화 하프늄 / 산화 금속 나노층 박막을 증착시키는 공정의 흐름도이다. 단계 130 은, 원자층 증착 공정 챔버 내에서, 반도체 기판 상에 수소-종단 실리콘 표면을 제공한다.
반도체 기판은, 높은 k 유전체 박막에 대한 필요에 따른 모든 회로 제조 공정을 거친다. 높은 k 커패시터 유전체의 경우, 기판은 하부 전극 (bottom electrode) 이 제조된 상태로 준비된다. 높은 k 게이트 유전체의 경우, 기판은 게이트 유전체를 수용할 준비가 되어 있다. 금속 게이트 공정 (metal gate process) 이 사용된다면, 이 준비는 장치 절연 구조의 형성 및 게이트 패터닝 단계를 포함할 수도 있다. 그 후, 반도체 기판은 수소-종단 실리콘 표면을 갖도록 제작된다. 수소-종단 실리콘 표면은, 여러가지 표준 산업 반도체 클리닝 공정의 결과이다. 이러한 표준 클리닝 공정은 통상적으로, HF 용액에 실리콘 웨이퍼를 급속 침적 (quick immersion) 하여, 실리콘 표면에 존재하는 고유의 산화물을 제거하고 수소 종단된 실리콘 표면을 형성한다. 수소-종단 실리콘 표면은, 높은 k 유전체의 k 값을 낮출 수 있는, 미량의 원래 있던 산화 실리콘을 제거하는 데 필수적이다. 실험은, 질산화 하프늄 전구체와 수소-종단 실리콘 표면의 결합이, 배양 기간 (incubation period) 이 필요없이 또는 얇은 산화 실리콘 계면 층이 필요없이, 직접 수소-종단 실리콘 표면 상에의 산화 하프늄 증착의 시작을 초래한다는 것을, 나타냈었다.
원자층 증착 공정에 적합하게 기판 및 챔버가 제작된 후에, 다음 단계 131 은 질산화 하프늄, Hf(NO3)4 의 도입이다. 질산화 하프늄 전구체가 기판 상에 흡수된 후, 전구체는 턴 오프되며, 그 후 단계 132 에서 공정 챔버로부터 퍼징된다. 그 후, 단계 133 에서, 알루미늄-함유 전구체가 공정 챔버에 도입된다. 알루미늄-함유 전구체 내의 알루미늄이, 흡수된 재료와 반응하면, 산화 하프늄 / 산화 알루미늄 나노층 박막 구조를 생성한다. 산화 하프늄 / 산화 알루미늄 나노층의 형성 후에, 단계 134 에서 알루미늄-함유 전구체는 공정 챔버로부터 퍼징된다. 위의 4 개의 단계 131, 132, 133 및 134 는 원하는 두께에 도달할 때까지 단계 135 에서 반복될 수 있다. 그 후, 결과물인 산화 하프늄 / 산화 알루미늄 나노층 박막은 단계 136 에서, 400 ℃ 내지 1000 ℃ 와 같은, 더 높은 온도에서 어닐링되어 박막의 품질을 향상시킬 수 있다.
도 5a - 5d 는, 반도체 게이트 유전체 응용을 위한 산화 하프늄 / 산화 알루미늄 나노층 박막의 증착 공정에서의 단계를 도시한다. 도 5a 는, 게이트 유전체의 증착에 앞서, 부분적으로 처리된 집적 회로 (IC) 장치 구조를 도시한다. 도시된 구조는 어떠한 제조 방법으로도 형성될 수 있다. 도 5a 에 도시된 구조는 대체 게이트 공정 (replacement gate process) 에 대한 것으로, 실리콘 기판 (141), 게이트 스택 패턴 (gate stack pattern) 을 한정하는 필드 산화물 (142), 및 수소-종단 실리콘 표면 (140) 을 포함한다. 높은 k 게이트 유전체의 증착 전 최종 단계는, 실리콘 표면을 HF 에 노출시켜서 수소-종단 표면을 형성하는 것이다. HF 에의 노출은 액체 HF 탱크에의 침적 (immersion) 일 수도 있고, 또는 HF 증기에의 노출일 수도 있다. HF 는 원래 있던 모든 산화 실리콘을 에칭하고, 수소-종단 실리콘 표면을 남긴다.
도 5b 는, 질산화 하프늄 Hf(NO3)4 전구체의 펄스 후의 IC 장치 구조를 도시 한다. 질산화 하프늄 펄스는, 배양 기간이 필요없이 또는 얇은 산화 실리콘 계면 층이 필요없이, 산화 하프늄을 직접 수소-종단 표면에 증착시키는 것을 시작한다. 이 도는 단지 산화 하프늄 층 (143) 의 개략적인 형태만을 도시한다. 실제적인 산화 하프늄 층은, 전체 표면에 걸쳐 연속적일 수도 있고 그렇지 않을 수도 있다. 또한 질산염 리간드는, 산화 하프늄 층 상에 존재할 수도 있고 그렇지 않을 수도 있다.
도 5c 는, 질산화 하프늄의 공정 챔버를 클리닝하기 위한 질소 퍼지 (nitrogen purge) 후, 및 염화 알루미늄 AlCl3 전구체의 펄스 후의 IC 장치 구조를 도시한다. 산화 알루미늄 층 (144) 은 염화 알루미늄 펄스 후에 형성된다. 염화 알루미늄 전구체의 목적은, 산화 하프늄 / 산화 알루미늄 나노층 박막을 만드는 것이다.
도 5d 는, 또다른 질산화 하프늄의 펄스를 통하여 증착된 산화 하프늄의 또다른 층 (143') 및 또다른 산화 알루미늄의 펄스를 통하여 증착된 산화 알루미늄의 또다른 층 (144') 후의 IC 장치 구조를 도시한다. 이 시퀀스는 나노층 박막이 원하는 두께에 도달할 때까지 반복된다. 그리고, 제조 공정에 이어, 증착 후 어닐링 (post deposition anneal) 및 게이트 금속과 같은 게이트 재료의 증착이 행해진다. 그 후, 이 공정에 이어 화학적 기계적 연마 (CMP; Chemical Mechanical Polishing) 단계가 행해져서 게이트 스택 구조를 패턴화한다.
산화 하프늄 / 산화 알루미늄 나노층 박막은, 도 5a - 5d 에서 설명된 공정 에 따라 10 Hf(NO3)4/AlCl3 사이클로 증착된다. 증착 후 어닐링 조건은 질소에서 60 초 동안 850 ℃ 였다. 증착 후 어닐링 단계 뿐만 아니라 증착 후에도, 박막은 시각적으로 균일했다. 분광기 엘립소메트리 (ellipsometry) 측정은 4 nm 의 어닐링 후 두께를 보여주며, 이는 0.4 nm/cycle 성장을 나타내는 것이다. 20 사이클 및 어닐링에 의해 증착된 또다른 산화 하프늄 / 산화 알루미늄 나노층 박막은 약 15.2 nm 의 두께였으며, 이는 0.76 nm/cycle 성장에 상당한다. 이 증착 속도 범위는, Hf(NO3)4 또는 Al(CH3)3/H2O 증착에 대해 종래 보고된 것보다 약 3 내지 5 배 높다.
도 6 은, 본 발명의 방법을 이용하여 증착된, 4 nm 산화 하프늄 / 산화 알루미늄에 대한 커패시턴스 대 바이어스 전압 선도이다. 이 커패시터는 소량의 백금 (Pt) 을 섀도우 마스크를 통해 스퍼터링하여 형성되었다. 백금 금속 게이트의 일 함수 때문에, 문턱 전압은 쉬프트된다. Pt 일 함수를 고려하여, (-1.2 V 의 바이어스 전압에서) Cmax 로부터 2.6 nm 의 커패시티브 등가 두께 (CET; capacitive equivalent thickness) 를 감산한다. 이 CET 는 나노층 박막에 대한 유효 유전 상수가 약 5.9 임을 나타낸다. 이 유전 상수는 산화 실리콘의 유전 상수보다 약 50 % 높다. Hf(NO3)4/H2O 의 ALD 를 통하여 증착된, 유사한 물리적 두께의 산화 하프늄 박막은 약 10 의 범위에서 유효 유전 상수를 갖는다. 산화 알루미늄의 벌크 유전 상수 (즉, 9) 는 산화 하프늄 벌크 유전 상수 (즉, 25) 보다 낮기 때문에, 산화 하프늄 / 산화 알루미늄 나노층 박막의 유전 상수는 더 낮 을 것으로 예상된다. 도 6 에서 CV 곡선의 약간의 뒤틀림은 계면 트래핑 (interface trapping) 을 나타내며, 공정 최적화를 통해 제거될 수 있다. 바이어스 전압에 대해 순방향 151, 역방향 152 방향을 따라가는 거의 동일한 곡선에 의해 증명되는 바와 같이, CV 곡선은 낮은 이력현상 (hysteresis) 효과를 나타낸다. 이 낮은 이력현상은 나노층 박막의 고품질을 나타내며, 낮은 전하 트래핑을 암시한다.
도 7 은, 도 6 에서 도시된 동일한 4 nm 박막에 대한 누설 전류 대 바이어스 전압의 선도를 도시한다. 누설 전류가, 유사한 CET 의 HfO2 보다 3 크기 차수 (orders of magnitude) 크다고 할지라도, 유사한 CET 의 산화 실리콘보다는 여전히 2 크기 차수 작다. 4 nm 박막은, 약 5 V 에서 전압 브레이크다운을 나타낸다.
ALD 는 증착 기술의 순차적인 (sequential) 특징으로 인해, 나노층 박막을 증착하는데 적합한 방법이다. 산화 금속 나노층 증착을 위한 통상적인 ALD 방법은, 제 1 금속 전구체 도입 (introducing), 그 후 제 1 산화 금속을 제공하기 위한 산화제의 도입, 그 후 제 2 금속 전구체의 도입, 및 그 후 제 2 산화 금속을 제공하기 위한 또다른 산화제 도입으로 된 (적절한 퍼징 단계 (purging steps) 를 갖는) 4 가지의 분리된 전구체 운반을 요구한다. 제 1 산화 금속 및 제 2 산화 금속은 함께 산화 금속 나노층 박막을 형성한다.
본 발명은, 제 1 질산화 금속-함유 전구체의 도입 및 그 후 제 2 금속-함유 전구체 도입의 (적절한 퍼징 단계를 갖는) 단지 2 개의 별개의 전구체 운반만을 요구하는, 산화 금속 나노층 증착을 위한 ALD 방법을 제공한다. 질산화 금속-함유 전구체는 제 2 금속에 대한 산화제로 작용하여, 나노층 박막의 산화 금속을 형성한다.
산화 단계를 제거함으로써, 본 발명은 증착 방법을 매우 단순화한다. 공정 시간 및 시스템 운반 복잡도는 거의 절반으로 삭감된다.
또한, 별개의 산화 단계의 제거에 의해 산화 금속 혼합물을 더 잘 제어할 수 있고, 따라서 나노층 박막의 품질이 향상된다. 종전 기술 공정의 여분의 산화 단계는, 특히 질산염 전구체와 관련하여 풍부한 산소 때문에, 산화 금속을 산소-풍부 (oxygen-rich) 하게 만든다.

Claims (20)

  1. 산화물 나노층 박막 형성을 위한 원자층 증착 공정에서, 제 1 질산화 금속-함유 전구체를 제 2 금속-함유 전구체에 대한 산화제로 이용하는 방법에 있어서,
    a. 제 1 질산화 금속-함유 전구체를 도입하는 단계;
    b. 상기 제 1 질산화 금속-함유 전구체를 퍼징하는 단계;
    c. 제 2 금속-함유 전구체를 도입하는 단계; 및
    d. 상기 제 2 금속-함유 전구체를 퍼징하는 단계를 포함하며,
    상기 질산화 금속-함유 전구체의 금속과 상기 금속-함유 전구체의 금속은 서로 다른 금속인 방법.
  2. 제 1 항에 있어서,
    상기 금속은 Cu, Zn, Y, Ti, Zr, Hf, V, Nb, Ta, Cr, Mo, W, Mn, Co, Ni, Al, Ga, In, Ge, Sn, La, Ce, Nd, Sm, Gd 로 이루어진 군으로부터 선택되는 방법.
  3. 제 1 항에 있어서,
    상기 제 1 질산화 금속-함유 전구체의, 전체가 아닌 일부의 질산염 리간드가 치환기 R 로 대체되며, 상기 치환기 R 은 수소 (hydrogen) , 산소 (oxygen) , 옥시니트레이트 (oxynitrates), 히드록실 (hydroxyl), 아로마틱 (aromatic), 아민 (amine), 알킬 (alkyl), 실릴 (silyl), 알콕사이드 (alkoxide), 디케톤 (diketone), 및 그 혼합물로 이루어진 군으로부터 선택되는 방법.
  4. 제 1 항에 있어서,
    상기 제 2 금속-함유 전구체는 산소 비함유 전구체 (non oxygen containing precursor) 인 방법.
  5. 제 1 항에 있어서,
    상기 제 2 금속-함유 전구체는 알킬화 금속 (metal alkyls), 할로겐화 금속 (metal halides), 디케톤화 금속 (metal diketonates), 알콕사이드 금속 (metal alkoxides), 수소화 금속 (metal hydrides), 실릴화 금속 (metal silyls), 아미드화 금속 (metal amides), 아세틸아세톤화 금속 (metal acetylacetonates), t-부톡사이드 금속 (metal t-butoxides), 에톡사이드 금속 (metal ethoxides) 및 그 혼합물 및 조합으로 이루어진 군으로부터 선택되는 방법.
  6. 산화 하프늄 / 산화 금속 나노층 박막 형성을 위한 원자층 증착 공정에서, 질산화 하프늄-함유 전구체를 금속-함유 전구체에 대한 산화제로 이용하는 방법에 있어서,
    a. 질산화 하프늄-함유 전구체를 도입하는 단계;
    b. 상기 질산화 하프늄-함유 전구체를 퍼징하는 단계;
    c. 금속-함유 전구체를 도입하는 단계; 및
    d. 상기 금속-함유 전구체를 퍼징하는 단계를 포함하며,
    상기 금속-함유 전구체로부터의 금속은 하프늄과는 다른 금속인 방법.
  7. 제 6 항에 있어서,
    상기 질산화 하프늄-함유 전구체의, 전체가 아닌 일부의 질산염 리간드가 치환기 R 로 대체되며, 상기 치환기 R 은 수소, 산소, 옥시니트레이트, 히드록실, 아로마틱, 아민, 알킬, 실릴, 알콕사이드, 디케톤, 및 그 혼합물로 이루어진 군으로부터 선택되는 방법.
  8. 제 6 항에 있어서,
    상기 금속-함유 전구체는 산소 비함유 전구체인 방법.
  9. 제 6 항에 있어서,
    상기 금속-함유 전구체는 알킬화 금속, 할로겐화 금속, 디케톤화 금속, 알콕사이드 금속, 수소화 금속, 실릴화 금속, 아미드화 금속, 아세틸아세톤화 금속, t-부톡사이드 금속, 에톡사이드 금속 및 그 혼합물 및 결합물로 이루어진 군으로부터 선택되는 방법.
  10. 반도체 기판 상에 산화 하프늄 / 산화 알루미늄 나노층 박막 형성을 위한 원자층 증착 공정에서, 질산화 하프늄 전구체를 알루미늄-함유 전구체에 대한 산화제로 이용하는 방법에 있어서,
    a. 원자층 증착 챔버 내의 반도체 기판 상에 수소-종단 실리콘 표면을 제공하는 단계;
    b. 질산화 하프늄 전구체를 챔버 내에 도입하는 단계;
    c. 상기 챔버를 퍼징하는 단계;
    d. 알루미늄-함유 전구체를 상기 챔버 내에 도입하는 단계; 및
    e. 상기 챔버를 퍼징하는 단계를 포함하는 방법.
  11. 제 10 항에 있어서,
    상기 질산화 하프늄 전구체는 무수 (anhydrous) 질산화 하프늄 전구체인 방법.
  12. 제 10 항에 있어서,
    상기 알루미늄-함유 전구체는 할로겐화 알루미늄 전구체인 방법.
  13. 제 10 항에 있어서,
    상기 알루미늄-함유 전구체는, 트리메틸 알루미늄 (trimethyl aluminum), 및 트리에틸 알루미늄 (triethyl aluminum) 으로 이루어진 군으로부터 선택되는 유기 금속 전구체인 방법.
  14. 제 10 항에 있어서,
    단계 a 후 단계 b 전에 상기 기판을 200 ℃ 미만으로 가열하는 단계를 더 포함하는 방법.
  15. 제 10 항에 있어서,
    상기 챔버 퍼징 단계 (chamber purging step) 는 질소 또는 비활성 기체를 상기 챔버 내로 흘려보냄으로써 수행되는 방법.
  16. 제 10 항에 있어서,
    상기 챔버 퍼징 단계는 상기 챔버에서 모든 기체를 배출함으로써 수행되는 방법.
  17. 제 10 항에 있어서,
    최종 단계가 단계 b 또는 단계 c 가 되도록 하여, 원하는 두께에 도달할 때까지 단계 b 내지 e 를 반복하는 방법.
  18. 제 10 항에 있어서,
    최종 단계가 단계 d 또는 단계 e 가 되도록 하여, 원하는 두께에 도달할 때까지 단계 b 내지 e 를 반복하는 방법.
  19. 제 18 항에 있어서,
    상기 원하는 두께에 도달한 후에 증착 후 어닐링 (post deposition anneal) 단계를 더 포함하는 방법.
  20. 제 19 항에 있어서,
    상기 증착 후 어닐링 시간은 10 초 내지 5 분 이며, 증착 후 어닐링 온도는 400 ℃ 내지 1000 ℃ 인 방법.
KR1020040013665A 2003-02-27 2004-02-27 나노층 박막의 원자층 증착 KR100591507B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/376,794 2003-02-27
US10/376,794 US6930059B2 (en) 2003-02-27 2003-02-27 Method for depositing a nanolaminate film by atomic layer deposition

Publications (2)

Publication Number Publication Date
KR20040077565A KR20040077565A (ko) 2004-09-04
KR100591507B1 true KR100591507B1 (ko) 2006-06-19

Family

ID=32908002

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020040013665A KR100591507B1 (ko) 2003-02-27 2004-02-27 나노층 박막의 원자층 증착

Country Status (4)

Country Link
US (2) US6930059B2 (ko)
JP (1) JP4158975B2 (ko)
KR (1) KR100591507B1 (ko)
TW (1) TWI276700B (ko)

Families Citing this family (474)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8026161B2 (en) 2001-08-30 2011-09-27 Micron Technology, Inc. Highly reliable amorphous high-K gate oxide ZrO2
US6846516B2 (en) * 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
US7160577B2 (en) 2002-05-02 2007-01-09 Micron Technology, Inc. Methods for atomic-layer deposition of aluminum oxides in integrated circuits
US7221586B2 (en) 2002-07-08 2007-05-22 Micron Technology, Inc. Memory utilizing oxide nanolaminates
US7101813B2 (en) 2002-12-04 2006-09-05 Micron Technology Inc. Atomic layer deposited Zr-Sn-Ti-O films
US20040168627A1 (en) * 2003-02-27 2004-09-02 Sharp Laboratories Of America, Inc. Atomic layer deposition of oxide film
US7192892B2 (en) * 2003-03-04 2007-03-20 Micron Technology, Inc. Atomic layer deposited dielectric layers
KR101159070B1 (ko) * 2003-03-11 2012-06-25 삼성전자주식회사 고유전율 산화막 형성방법, 이 방법으로 형성된 유전막이구비된 커패시터 및 그 제조방법
US7135369B2 (en) 2003-03-31 2006-11-14 Micron Technology, Inc. Atomic layer deposited ZrAlxOy dielectric layers including Zr4AlO9
US7442415B2 (en) * 2003-04-11 2008-10-28 Sharp Laboratories Of America, Inc. Modulated temperature method of atomic layer deposition (ALD) of high dielectric constant films
US7183186B2 (en) 2003-04-22 2007-02-27 Micro Technology, Inc. Atomic layer deposited ZrTiO4 films
KR100555543B1 (ko) * 2003-06-24 2006-03-03 삼성전자주식회사 원자층 증착법에 의한 고유전막 형성 방법 및 그고유전막을 갖는 커패시터의 제조 방법
KR20050007496A (ko) * 2003-07-08 2005-01-19 삼성전자주식회사 원자층 적층 방식의 복합막 형성방법 및 이를 이용한반도체 소자의 커패시터 형성방법
KR100550641B1 (ko) * 2003-11-22 2006-02-09 주식회사 하이닉스반도체 산화하프늄과 산화알루미늄이 혼합된 유전막 및 그 제조방법
FR2869325B1 (fr) * 2004-04-27 2006-06-16 Commissariat Energie Atomique Procede de depot d'une couche mince sur une couche oxydee d'un substrat
KR100615093B1 (ko) * 2004-08-24 2006-08-22 삼성전자주식회사 나노크리스탈을 갖는 비휘발성 메모리 소자의 제조방법
US7081421B2 (en) 2004-08-26 2006-07-25 Micron Technology, Inc. Lanthanide oxide dielectric layer
US7588988B2 (en) 2004-08-31 2009-09-15 Micron Technology, Inc. Method of forming apparatus having oxide films formed using atomic layer deposition
US7494939B2 (en) 2004-08-31 2009-02-24 Micron Technology, Inc. Methods for forming a lanthanum-metal oxide dielectric layer
DE112005002160T5 (de) * 2004-09-09 2009-03-12 Tokyo Electron Ltd. Dünnfilmkondensator und Verfahren zum Bilden desselben sowie computerlesbares Speichermedium
KR100714269B1 (ko) * 2004-10-14 2007-05-02 삼성전자주식회사 반도체 소자 제조에 사용되는 금속층 형성방법
US7235501B2 (en) 2004-12-13 2007-06-26 Micron Technology, Inc. Lanthanum hafnium oxide dielectrics
JP2006169556A (ja) * 2004-12-13 2006-06-29 Horiba Ltd 金属酸化物薄膜の成膜方法
US7560395B2 (en) * 2005-01-05 2009-07-14 Micron Technology, Inc. Atomic layer deposited hafnium tantalum oxide dielectrics
US20060151845A1 (en) * 2005-01-07 2006-07-13 Shrinivas Govindarajan Method to control interfacial properties for capacitors using a metal flash layer
US20060151822A1 (en) * 2005-01-07 2006-07-13 Shrinivas Govindarajan DRAM with high K dielectric storage capacitor and method of making the same
US7316962B2 (en) * 2005-01-07 2008-01-08 Infineon Technologies Ag High dielectric constant materials
KR100620451B1 (ko) * 2005-01-10 2006-09-11 삼성전자주식회사 금속산화 합금막, 금속산화 합금막 형성 방법과 이를이용한 게이트 구조물의 제조 방법 및 커패시터의 제조 방법
US7508648B2 (en) 2005-02-08 2009-03-24 Micron Technology, Inc. Atomic layer deposition of Dy doped HfO2 films as gate dielectrics
US7498247B2 (en) 2005-02-23 2009-03-03 Micron Technology, Inc. Atomic layer deposition of Hf3N4/HfO2 films as gate dielectrics
CN100417744C (zh) * 2005-02-28 2008-09-10 南京大学 锆、铪及与钛复合无水硝酸盐的金属复合无机源及其合成方法
KR100634262B1 (ko) * 2005-03-05 2006-10-13 삼성전자주식회사 복합 유전막을 갖는 반도체 장치의 제조 방법
US7687409B2 (en) 2005-03-29 2010-03-30 Micron Technology, Inc. Atomic layer deposited titanium silicon oxide films
KR100691004B1 (ko) * 2005-04-15 2007-03-09 주식회사 하이닉스반도체 반도체 소자의 캐패시터 형성방법
US7662729B2 (en) 2005-04-28 2010-02-16 Micron Technology, Inc. Atomic layer deposition of a ruthenium layer to a lanthanide oxide dielectric layer
US7390756B2 (en) 2005-04-28 2008-06-24 Micron Technology, Inc. Atomic layer deposited zirconium silicon oxide films
US7572695B2 (en) * 2005-05-27 2009-08-11 Micron Technology, Inc. Hafnium titanium oxide films
US7202535B2 (en) * 2005-07-14 2007-04-10 Infineon Technologies Ag Manufacturing method for an integrated semiconductor structure and corresponding integrated semiconductor structure
US7927948B2 (en) 2005-07-20 2011-04-19 Micron Technology, Inc. Devices with nanocrystals and methods of formation
KR100712521B1 (ko) * 2005-07-28 2007-04-30 삼성전자주식회사 금속-절연체-금속형 커패시터의 제조 방법
KR100753411B1 (ko) * 2005-08-18 2007-08-30 주식회사 하이닉스반도체 반도체 소자의 캐패시터 형성방법
US7402534B2 (en) * 2005-08-26 2008-07-22 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
US7544596B2 (en) 2005-08-30 2009-06-09 Micron Technology, Inc. Atomic layer deposition of GdScO3 films as gate dielectrics
US8110469B2 (en) 2005-08-30 2012-02-07 Micron Technology, Inc. Graded dielectric layers
KR100696765B1 (ko) * 2005-11-17 2007-03-19 주식회사 하이닉스반도체 반도체소자의 유전체막 및 그 형성방법
KR100760962B1 (ko) * 2006-03-14 2007-09-21 학교법인 포항공과대학교 금속-알킬아마이드과 금속-알콕사이드 전구체 조합을사용한 원자층 화학 증착법을 이용한 하프늄 실리케이트박막 제조
JP2007273949A (ja) * 2006-03-30 2007-10-18 Korea Univ Industrial & Academic Collaboration Foundation ナノ粒子を用いたトップゲート型薄膜トランジスタおよびその製造方法
KR100716654B1 (ko) * 2006-04-04 2007-05-09 주식회사 하이닉스반도체 정방정계 구조의 지르코늄산화막 형성 방법 및 그를 구비한캐패시터의 제조 방법
US7537804B2 (en) * 2006-04-28 2009-05-26 Micron Technology, Inc. ALD methods in which two or more different precursors are utilized with one or more reactants to form materials over substrates
US7605030B2 (en) 2006-08-31 2009-10-20 Micron Technology, Inc. Hafnium tantalum oxynitride high-k dielectric and metal gates
JP2010506408A (ja) 2006-10-05 2010-02-25 エーエスエム アメリカ インコーポレイテッド 金属シリケート膜のald
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
US20080087890A1 (en) * 2006-10-16 2008-04-17 Micron Technology, Inc. Methods to form dielectric structures in semiconductor devices and resulting devices
US7692222B2 (en) * 2006-11-07 2010-04-06 Raytheon Company Atomic layer deposition in the formation of gate structures for III-V semiconductor
KR100825765B1 (ko) * 2006-12-05 2008-04-29 한국전자통신연구원 산화물계 나노 구조물의 제조 방법
US8025932B2 (en) * 2007-02-21 2011-09-27 Colorado School Of Mines Self-limiting thin film synthesis achieved by pulsed plasma-enhanced chemical vapor deposition
KR100877100B1 (ko) * 2007-04-16 2009-01-09 주식회사 하이닉스반도체 비휘발성 메모리 소자 제조 방법
US8617456B1 (en) 2010-03-22 2013-12-31 The United States Of America As Represented By The Secretary Of The Air Force Bulk low-cost interface-defined laminated materials and their method of fabrication
US9162931B1 (en) 2007-05-09 2015-10-20 The United States Of America As Represented By The Secretary Of The Air Force Tailored interfaces between two dissimilar nano-materials and method of manufacture
US9120245B1 (en) 2007-05-09 2015-09-01 The United States Of America As Represented By The Secretary Of The Air Force Methods for fabrication of parts from bulk low-cost interface-defined nanolaminated materials
US8334015B2 (en) * 2007-09-05 2012-12-18 Intermolecular, Inc. Vapor based combinatorial processing
US8016945B2 (en) * 2007-12-21 2011-09-13 Applied Materials, Inc. Hafnium oxide ALD process
US8129288B2 (en) * 2008-05-02 2012-03-06 Intermolecular, Inc. Combinatorial plasma enhanced deposition techniques
US7816200B2 (en) * 2008-04-22 2010-10-19 Applied Materials, Inc. Hardware set for growth of high k and capping material films
US8076237B2 (en) * 2008-05-09 2011-12-13 Asm America, Inc. Method and apparatus for 3D interconnect
US8227965B2 (en) * 2008-06-20 2012-07-24 Arradiance, Inc. Microchannel plate devices with tunable resistive films
US8237129B2 (en) * 2008-06-20 2012-08-07 Arradiance, Inc. Microchannel plate devices with tunable resistive films
DE102008029385B4 (de) * 2008-06-23 2014-11-27 Carl Von Ossietzky Universität Oldenburg Verfahren zur Herstellung von Seltenerdmetalloxidschichten und Übergangsmetalloxidschichten, Verwendung einer Vorrichtung zur Herstellung von Seltenerdmetalloxidschichten und Übergangsmetalloxidschichten sowie Verwendung eines Metallnitrats
US20100037824A1 (en) * 2008-08-13 2010-02-18 Synos Technology, Inc. Plasma Reactor Having Injector
US8770142B2 (en) * 2008-09-17 2014-07-08 Veeco Ald Inc. Electrode for generating plasma and plasma generator
US8851012B2 (en) * 2008-09-17 2014-10-07 Veeco Ald Inc. Vapor deposition reactor using plasma and method for forming thin film using the same
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8871628B2 (en) * 2009-01-21 2014-10-28 Veeco Ald Inc. Electrode structure, device comprising the same and method for forming electrode structure
KR101172147B1 (ko) 2009-02-23 2012-08-07 시너스 테크놀리지, 인코포레이티드 플라즈마에 의한 라디칼을 이용한 박막 형성 방법
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8071452B2 (en) * 2009-04-27 2011-12-06 Asm America, Inc. Atomic layer deposition of hafnium lanthanum oxides
US8758512B2 (en) * 2009-06-08 2014-06-24 Veeco Ald Inc. Vapor deposition reactor and method for forming thin film
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5797790B2 (ja) * 2009-09-30 2015-10-21 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
JP2011204852A (ja) * 2010-03-25 2011-10-13 Elpida Memory Inc キャパシタおよびその製造方法、半導体装置
WO2011123792A2 (en) * 2010-04-01 2011-10-06 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Metal nitride containing film deposition using combination of amino-metal and halogenated metal precursors
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
CN101962758B (zh) * 2010-09-09 2013-03-27 南京大学 一种在锗衬底上低温原子层沉积Hf基栅介质薄膜的方法
US8771791B2 (en) * 2010-10-18 2014-07-08 Veeco Ald Inc. Deposition of layer using depositing apparatus with reciprocating susceptor
US8771822B2 (en) * 2011-01-18 2014-07-08 Wisconsin Alumni Research Foundation Methods for the growth of three-dimensional nanorod networks
US8877300B2 (en) 2011-02-16 2014-11-04 Veeco Ald Inc. Atomic layer deposition using radicals of gas mixture
US9163310B2 (en) 2011-02-18 2015-10-20 Veeco Ald Inc. Enhanced deposition of layer on substrate using radicals
US8633114B2 (en) 2011-05-10 2014-01-21 Applied Materials, Inc. Methods for manufacturing high dielectric constant films
US8633119B2 (en) * 2011-05-10 2014-01-21 Applied Materials, Inc. Methods for manufacturing high dielectric constant films
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
WO2013043501A1 (en) * 2011-09-23 2013-03-28 Applied Materials, Inc. Metal-aluminum alloy films from metal amidinate precursors and aluminum precursors
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9006020B2 (en) 2012-01-12 2015-04-14 First Solar, Inc. Method and system of providing dopant concentration control in different layers of a semiconductor device
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9029253B2 (en) 2012-05-02 2015-05-12 Asm Ip Holding B.V. Phase-stabilized thin films, structures and devices including the thin films, and methods of forming same
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP6538300B2 (ja) 2012-11-08 2019-07-03 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 感受性基材上にフィルムを蒸着するための方法
CN103065955B (zh) * 2012-11-21 2015-11-18 中国科学院微电子研究所 一种利用ald制备栅介质结构的方法
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9224607B2 (en) 2013-09-18 2015-12-29 Globalfoundries Inc. Dual epitaxy region integration
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
KR102195139B1 (ko) * 2014-02-20 2020-12-24 삼성전자주식회사 반도체 장치의 제조 방법
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR101522819B1 (ko) * 2014-10-17 2015-05-27 한양대학교 에리카산학협력단 2차원 전자 가스를 포함하는 전자 소자, 및 그 제조 방법
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
TWI717260B (zh) * 2015-05-01 2021-01-21 美商應用材料股份有限公司 使用表面封端化學性質的薄膜介電質之選擇性沉積
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US11013833B2 (en) 2015-08-03 2021-05-25 Advanced Endovascular Therapeutics Coatings for medical devices
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
KR102350589B1 (ko) 2015-08-24 2022-01-14 삼성전자주식회사 박막 형성 방법 및 이를 이용한 집적회로 소자의 제조 방법
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10181397B2 (en) 2015-09-30 2019-01-15 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device and method for forming the same
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
WO2018017360A2 (en) 2016-07-19 2018-01-25 Applied Materials, Inc. High-k dielectric materials comprising zirconium oxide utilized in display devices
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10840350B2 (en) * 2016-10-31 2020-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Nanolaminate structure, semiconductor device and method of forming nanolaminate structure
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10032856B1 (en) 2017-01-24 2018-07-24 International Business Machines Corporation Nanosheet capacitor
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
KR102271008B1 (ko) 2017-10-27 2021-06-29 삼성전자주식회사 반도체 장치
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
EP3768874A4 (en) 2018-03-19 2022-03-30 Applied Materials, Inc. METHODS FOR DEPOSITING COATINGS ON AEROSPACE ELEMENTS
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US20190316256A1 (en) * 2018-04-13 2019-10-17 Applied Materials, Inc. Methods Of Selective Atomic Layer Deposition
EP3784815A4 (en) 2018-04-27 2021-11-03 Applied Materials, Inc. PROTECTION OF COMPONENTS AGAINST CORROSION
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102574322B1 (ko) 2018-06-27 2023-09-05 삼성전자주식회사 반도체 장치
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11009339B2 (en) 2018-08-23 2021-05-18 Applied Materials, Inc. Measurement of thickness of thermal barrier coatings using 3D imaging and surface subtraction methods for objects with complex geometries
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11769692B2 (en) 2018-10-31 2023-09-26 Taiwan Semiconductor Manufacturing Co., Ltd. High breakdown voltage inter-metal dielectric layer
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
US20200203157A1 (en) * 2018-12-20 2020-06-25 Nanya Technology Corporation Method for preparing multiplayer structure
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
WO2020176223A2 (en) * 2019-02-08 2020-09-03 Georgia Tech Research Corporation Systems and methods for high sensitivity stable sensors
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
WO2020219332A1 (en) 2019-04-26 2020-10-29 Applied Materials, Inc. Methods of protecting aerospace components against corrosion and oxidation
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
US11794382B2 (en) * 2019-05-16 2023-10-24 Applied Materials, Inc. Methods for depositing anti-coking protective coatings on aerospace components
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
US11697879B2 (en) 2019-06-14 2023-07-11 Applied Materials, Inc. Methods for depositing sacrificial coatings on aerospace components
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11466364B2 (en) 2019-09-06 2022-10-11 Applied Materials, Inc. Methods for forming protective coatings containing crystallized aluminum oxide
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
EP4061530A4 (en) 2019-11-20 2023-12-27 Nuclera Nucleics Ltd SPATIALLY VARIABLE HYDROPHOBIC LAYERS FOR DIGITAL MICROFLUIDICS
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
CN114945426A (zh) 2020-01-17 2022-08-26 核酸有限公司 用于数字微流体的空间可变介电层
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
WO2021154627A1 (en) 2020-01-27 2021-08-05 E Ink Corporation Method for degassing liquid droplets by electrowetting actuation at higher temperatures
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
WO2021168131A1 (en) 2020-02-18 2021-08-26 Nuclear Nucleics Ltd. Adaptive gate driving for high frequency ac driving of ewod arrays
US11410621B2 (en) 2020-02-19 2022-08-09 Nuclera Nucleics Ltd. Latched transistor driving for high frequency ac driving of EWoD arrays
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
JP2021172884A (ja) 2020-04-24 2021-11-01 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体
WO2021222061A1 (en) 2020-04-27 2021-11-04 Nuclera Nucleics Ltd. Segmented top plate for variable driving and short protection for digital microfluidics
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
US11519066B2 (en) 2020-05-21 2022-12-06 Applied Materials, Inc. Nitride protective coatings on aerospace components and methods for making the same
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20210156985A (ko) 2020-06-19 2021-12-28 삼성전자주식회사 일 함수 층들을 갖는 반도체 소자들
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
KR20210158615A (ko) 2020-06-24 2021-12-31 삼성전자주식회사 게이트 라인을 포함하는 집적회로 소자
KR20210158607A (ko) 2020-06-24 2021-12-31 삼성전자주식회사 캡핑층을 포함하는 반도체 소자
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
EP4175772A1 (en) 2020-07-03 2023-05-10 Applied Materials, Inc. Methods for refurbishing aerospace components
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
WO2022092888A1 (ko) * 2020-10-30 2022-05-05 한양대학교 에리카산학협력단 합금 박막 및 그 제조 방법
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
JP2024506566A (ja) 2021-02-04 2024-02-14 ユーピー ケミカル カンパニー リミテッド ハフニウム前駆体化合物、それを含むハフニウム-含有膜形成用組成物、及び、ハフニウム-含有膜の形成方法
EP4302320A1 (en) * 2021-03-04 2024-01-10 Applied Materials, Inc. Treatments to improve device performance
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5835521A (en) * 1997-02-10 1998-11-10 Motorola, Inc. Long wavelength light emitting vertical cavity surface emitting laser and method of fabrication
US6060755A (en) * 1999-07-19 2000-05-09 Sharp Laboratories Of America, Inc. Aluminum-doped zirconium dielectric film transistor structure and deposition method for same
US6203613B1 (en) 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
US6486080B2 (en) 2000-11-30 2002-11-26 Chartered Semiconductor Manufacturing Ltd. Method to form zirconium oxide and hafnium oxide for high dielectric constant materials
DE10064143A1 (de) * 2000-12-15 2002-06-20 Zeiss Carl Reflexionsminderungsbeschichtung für Ultraviolettlicht bei großen Einfallswinkeln
US6348386B1 (en) 2001-04-16 2002-02-19 Motorola, Inc. Method for making a hafnium-based insulating film
US6420279B1 (en) 2001-06-28 2002-07-16 Sharp Laboratories Of America, Inc. Methods of using atomic layer deposition to deposit a high dielectric constant material on a substrate

Also Published As

Publication number Publication date
US7053009B2 (en) 2006-05-30
JP2004260168A (ja) 2004-09-16
TWI276700B (en) 2007-03-21
KR20040077565A (ko) 2004-09-04
US20050170667A1 (en) 2005-08-04
US20040171280A1 (en) 2004-09-02
US6930059B2 (en) 2005-08-16
TW200424348A (en) 2004-11-16
JP4158975B2 (ja) 2008-10-01

Similar Documents

Publication Publication Date Title
KR100591507B1 (ko) 나노층 박막의 원자층 증착
KR100591508B1 (ko) 산화물 박막의 원자층 증착
US7442415B2 (en) Modulated temperature method of atomic layer deposition (ALD) of high dielectric constant films
US8405167B2 (en) Hafnium tantalum titanium oxide films
US6806145B2 (en) Low temperature method of forming a gate stack with a high k layer deposited over an interfacial oxide layer
US8772050B2 (en) Zr-substituted BaTiO3 films
US7563730B2 (en) Hafnium lanthanide oxynitride films
US7544604B2 (en) Tantalum lanthanide oxynitride films
US7183186B2 (en) Atomic layer deposited ZrTiO4 films
KR100555543B1 (ko) 원자층 증착법에 의한 고유전막 형성 방법 및 그고유전막을 갖는 커패시터의 제조 방법
US8269254B2 (en) Silicon on germanium
US7432548B2 (en) Silicon lanthanide oxynitride films
US20060258078A1 (en) Atomic layer deposition of high-k metal oxides
KR100920402B1 (ko) 저온 게이트 스택
Ahn et al. Lanthanide doped TiO x films
EP1425785A2 (en) Method of fabricating a gate stack at low temperature

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120521

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20130524

Year of fee payment: 8

LAPS Lapse due to unpaid annual fee