KR20210156985A - 일 함수 층들을 갖는 반도체 소자들 - Google Patents

일 함수 층들을 갖는 반도체 소자들 Download PDF

Info

Publication number
KR20210156985A
KR20210156985A KR1020200074713A KR20200074713A KR20210156985A KR 20210156985 A KR20210156985 A KR 20210156985A KR 1020200074713 A KR1020200074713 A KR 1020200074713A KR 20200074713 A KR20200074713 A KR 20200074713A KR 20210156985 A KR20210156985 A KR 20210156985A
Authority
KR
South Korea
Prior art keywords
layer
active region
gate
work function
type active
Prior art date
Application number
KR1020200074713A
Other languages
English (en)
Inventor
김주연
윤슬기
홍세기
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020200074713A priority Critical patent/KR20210156985A/ko
Priority to US17/101,472 priority patent/US11380686B2/en
Priority to TW110102034A priority patent/TW202201724A/zh
Publication of KR20210156985A publication Critical patent/KR20210156985A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823857Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0922Combination of complementary transistors having a different structure, e.g. stacked CMOS, high-voltage and low-voltage CMOS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/82345MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823462MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel

Abstract

반도체 소자는 기판 상의 제1 및 제2 트랜지스터를 포함한다. 상기 제1 트랜지스터는 제1 N형 활성 영역; 제1 일 함수 층을 갖는 제1 게이트 전극; 및 La을 함유하는 고-유전물(High-K Dielectrics)을 갖는 제1 게이트 유전층을 포함한다. 상기 제1 일 함수 층은 TiON을 갖는 제1 층, TiN 또는 TiON을 갖는 제2 층, TiON을 갖는 제3 층, TiN을 갖는 제4 층, 그리고 TiAlC를 갖는 제5 층을 포함한다. 상기 제2 트랜지스터는 제1 P형 활성 영역; 제2 일 함수 층을 갖는 제2 게이트 전극; 및 고-유전물을 갖는 제2 게이트 유전층을 포함한다. 상기 제2 일 함수 층은 상기 제2 게이트 유전층에 직접적으로 접촉된 상기 제5 층을 포함한다.

Description

일 함수 층들을 갖는 반도체 소자들{SEMICONDUCTOR DEVICES INCLUDING WORK FUNCTION LAYERS}
일 함수 층들을 갖는 반도체 소자들 및 그 형성 방법들에 관한 것이다.
반도체 소자의 고집적화에 따라, 기판 상에 서로 다른 문턱 전압을 갖는 다수의 트랜지스터를 배치하는 기술이 연구되고 있다. 상기 서로 다른 문턱 전압을 갖는 다수의 트랜지스터를 구현하기 위하여 순차적으로 수행되는 다수의 공정을 이용하는 것은 양산 효율 측면에서 매우 불리하다. 공정 수의 증가는 트랜지스터들의 전기적 특성 산포 상승의 원인을 제공할 수 있다.
본 발명 기술적 사상의 실시예들에 따른 과제는 양산 효율 측면에서 유리하고 우수한 전기적 특성을 갖는 반도체 소자들 및 그 형성 방법들을 제공하는데 있다.
본 발명 기술적 사상의 실시예들에 따른 반도체 소자는 기판 상의 제1 문턱 전압을 갖는 제1 트랜지스터를 포함한다. 상기 기판 상의 상기 제1 문턱 전압과 다른 제2 문턱 전압을 갖는 제2 트랜지스터가 제공된다. 상기 제1 트랜지스터는 상기 기판 상에 한정된 제1 N형 활성 영역; 상기 제1 N형 활성 영역을 가로지르고 제1 일 함수 층(Work Function Layer)을 갖는 제1 게이트 전극; 및 상기 제1 N형 활성 영역 및 상기 제1 게이트 전극 사이의 La을 함유하는 고-유전물(High-K Dielectrics)을 갖는 제1 게이트 유전층을 포함한다. 상기 제1 일 함수 층은 상기 제1 게이트 유전층 상의 TiON을 갖는 제1 층, 상기 제1 층 상의 TiN 또는 TiON을 갖는 제2 층, 상기 제2 층 상의 TiON을 갖는 제3 층, 상기 제3 층 상의 TiN을 갖는 제4 층, 그리고 상기 제4 층 상의 TiAlC를 갖는 제5 층을 포함한다. 상기 제2 트랜지스터는 상기 기판 상에 한정된 제1 P형 활성 영역; 상기 제1 P형 활성 영역을 가로지르고 제2 일 함수 층을 갖는 제2 게이트 전극; 및 상기 제1 P형 활성 영역 및 상기 제2 게이트 전극 사이의 고-유전물을 갖는 제2 게이트 유전층을 포함한다. 상기 제2 일 함수 층은 상기 제2 게이트 유전층에 직접적으로 접촉된 상기 제5 층을 포함한다.
본 발명 기술적 사상의 실시예들에 따른 반도체 소자는 기판 상의 제1 문턱 전압을 갖는 제1 트랜지스터를 포함한다. 상기 기판 상의 상기 제1 문턱 전압과 다른 제2 문턱 전압을 갖는 제2 트랜지스터가 제공된다. 상기 제1 트랜지스터는 상기 기판 상에 수직 정렬된 다수의 제1 N형 활성 패턴을 갖는 제1 N형 활성 영역; 상기 제1 N형 활성 영역을 가로지르고 제1 일 함수 층을 갖는 제1 게이트 전극; 및 상기 제1 N형 활성 영역 및 상기 제1 게이트 전극 사이의 La을 함유하는 고-유전물을 갖는 제1 게이트 유전층을 포함한다. 상기 제1 일 함수 층은 상기 제1 게이트 유전층 상의 TiON을 갖는 제1 층, 상기 제1 층 상의 TiN 또는 TiON을 갖는 제2 층, 상기 제2 층 상의 TiON을 갖는 제3 층, 상기 제3 층 상의 TiN을 갖는 제4 층, 그리고 상기 제4 층 상의 TiAlC를 갖는 제5 층을 포함한다. 상기 제2 트랜지스터는 상기 기판 상에 수직 정렬된 다수의 제1 P형 활성 패턴을 갖는 제1 P형 활성 영역; 상기 제1 P형 활성 영역을 가로지르고 제2 일 함수 층을 갖는 제2 게이트 전극; 및 상기 제1 P형 활성 영역 및 상기 제2 게이트 전극 사이의 고-유전물을 갖는 제2 게이트 유전층을 포함한다. 상기 제2 일 함수 층은 상기 제2 게이트 유전층에 직접적으로 접촉된 상기 제5 층을 포함한다.
본 발명 기술적 사상의 실시예들에 따른 반도체 소자는 기판 상의 서로 이격된 제1 내지 제3 N형 활성 영역 및 제1 내지 제3 P형 활성 영역을 포함한다. 상기 제1 N형 활성 영역을 가로지르고 제1 일 함수 층을 갖는 제1 게이트 전극이 제공된다. 상기 제1 P형 활성 영역을 가로지르고 제2 일 함수 층을 갖는 제2 게이트 전극이 제공된다. 상기 제2 N형 활성 영역을 가로지르고 제3 일 함수 층을 갖는 제3 게이트 전극이 제공된다. 상기 제3 N형 활성 영역을 가로지르고 제4 일 함수 층을 갖는 제4 게이트 전극이 제공된다. 상기 제2 P형 활성 영역을 가로지르고 제5 일 함수 층을 갖는 제5 게이트 전극이 제공된다. 상기 제3 P형 활성 영역을 가로지르고 제6 일 함수 층을 갖는 제6 게이트 전극이 제공된다. 상기 제1 N형 활성 영역 및 상기 제1 게이트 전극 사이와, 상기 제3 N형 활성 영역 및 상기 제4 게이트 전극 사이와, 상기 제2 P형 활성 영역 및 상기 제5 게이트 전극 사이와, 그리고 상기 제3 P형 활성 영역 및 상기 제6 게이트 전극 사이의 La을 함유하는 고-유전물을 갖는 제1 게이트 유전층이 제공된다. 상기 제1 P형 활성 영역 및 상기 제2 게이트 전극 사이와, 그리고 상기 제2 N형 활성 영역 및 상기 제3 게이트 전극 사이의 고-유전물을 갖는 제2 게이트 유전층이 제공된다. 상기 제1 일 함수 층은 상기 제1 게이트 유전층 상의 제1 층, 상기 제1 층 상의 제2 층, 상기 제2 층 상의 제3 층, 상기 제3 층 상의 제4 층, 그리고 상기 제4 층 상의 제5 층을 포함한다. 상기 제2 일 함수 층은 상기 제2 게이트 유전층 상에 직접적으로 접촉된 상기 제5 층을 포함한다. 상기 제3 일 함수 층은 상기 제2 게이트 유전층 상의 상기 제1 층, 상기 제1 층 상의 상기 제2 층, 상기 제2 층 상의 상기 제3 층, 상기 제3 층 상의 상기 제4 층, 그리고 상기 제4 층 상의 상기 제5 층을 포함한다. 상기 제4 일 함수 층은 상기 제1 게이트 유전층 상의 상기 제3 층, 상기 제3 층 상의 상기 제4 층, 그리고 상기 제4 층 상의 상기 제5 층을 포함한다. 상기 제5 일 함수 층은 상기 제1 게이트 유전층 상의 상기 제4 층, 그리고 상기 제4 층 상의 상기 제5 층을 포함한다. 상기 제6 일 함수 층은 상기 제1 게이트 유전층 상에 직접적으로 접촉된 상기 제5 층을 포함한다. 상기 제1 층은 TiON을 포함한다. 상기 제2 층은 TiN 또는 TiON을 포함한다. 상기 제3 층은 TiON을 포함한다. 상기 제4 층은 TiN을 포함한다. 상기 제5 층은 TiAlC를 포함한다.
본 발명 기술적 사상의 실시예들에 따르면, La을 함유하는 고-유전물을 갖는 제1 게이트 유전층, 고-유전물을 갖는 제2 게이트 유전층, 제1 내지 제5 층의 조합을 갖는 제1 내지 제6 일 함수 층이 제공될 수 있다. 상기 제1 및 제2 게이트 유전층과 상기 제1 내지 제6 일 함수 층의 조합은 서로 다른 문턱 전압을 갖는 다수의 트랜지스터를 구성할 수 있다. 양산 효율 측면에서 유리하고 우수한 전기적 특성을 갖는 반도체 소자들을 구현할 수 있다.
도 1은 본 발명 기술적 사상의 실시예들에 따른 반도체 소자들을 설명하기 위한 단면도이다.
도 2는 본 발명 기술적 사상의 실시예들에 따른 반도체 소자들을 설명하기 위한 레이아웃이다.
도 3 내지 도 27은 본 발명 기술적 사상의 실시예들에 따른 반도체 소자들을 설명하기 위한 단면도들이다.
도 28 내지 도 43은 본 발명 기술적 사상의 실시예들에 따른 반도체 소자들의 형성 방법들을 설명하기 위한 단면도들이다.
도 1은 본 발명 기술적 사상의 실시예들에 따른 반도체 소자들을 설명하기 위한 단면도이다. 도 2는 본 발명 기술적 사상의 실시예들에 따른 반도체 소자들을 설명하기 위한 레이아웃이다. 도 3은 도 2의 절단선 1-1', 2-2', 3-3', 4-4', 5-5', 및 6-6'에 따라 취해진 단면도이고, 도 4는 도 2의 절단선 11-11', 12-12', 13-13', 14-14', 15-15', 및 16-16'에 따라 취해진 단면도이다. 도 5는 도 2의 절단선 1-1' 및 11-11'에 따라 취해진 단면도이고, 도 6은 도 2의 절단선 2-2'및 12-12'에 따라 취해진 단면도이고, 도 7은 도 2의 절단선 3-3'및 13-13'에 따라 취해진 단면도이고, 도 8은 도 2의 절단선 4-4'및 14-14'에 따라 취해진 단면도이고, 도 9는 도 2의 절단선 5-5' 및 15-15'에 따라 취해진 단면도이고, 도 10은 도 2의 절단선 6-6'및 16-16'에 따라 취해진 단면도이다. 도 1은 도 5의 제1 부분(31), 도 6의 제2 부분(32), 도 7의 제3 부분(33), 도 8의 제4 부분(34), 도 9의 제5 부분(35), 및 도 10의 제6 부분(36)을 보여주는 확대도에 해당될 수 있다.
도 1을 참조하면, 본 발명 기술적 사상의 실시예들에 따른 반도체 소자들은 제1 내지 제6 활성 영역(41-46), 계면 유전층(47), 다수의 제1 및 제2 게이트 유전층(48, 49), 제1 내지 제6 게이트 전극(G1-G6), 및 게이트 캐핑 층(76)을 포함할 수 있다.
상기 제1 활성 영역(41) 상에 상기 계면 유전층(47), 상기 제2 게이트 유전층(49), 상기 제1 게이트 전극(G1), 및 상기 게이트 캐핑 층(76)이 차례로 적층될 수 있다. 상기 제2 활성 영역(42) 상에 상기 계면 유전층(47), 상기 제1 게이트 유전층(48), 상기 제2 게이트 전극(G2), 및 상기 게이트 캐핑 층(76)이 차례로 적층될 수 있다. 상기 제3 활성 영역(43) 상에 상기 계면 유전층(47), 상기 제2 게이트 유전층(49), 상기 제3 게이트 전극(G3), 및 상기 게이트 캐핑 층(76)이 차례로 적층될 수 있다.
상기 제4 활성 영역(44) 상에 상기 계면 유전층(47), 상기 제2 게이트 유전층(49), 상기 제4 게이트 전극(G4), 및 상기 게이트 캐핑 층(76)이 차례로 적층될 수 있다. 상기 제5 활성 영역(45) 상에 상기 계면 유전층(47), 상기 제2 게이트 유전층(49), 상기 제5 게이트 전극(G5), 및 상기 게이트 캐핑 층(76)이 차례로 적층될 수 있다. 상기 제6 활성 영역(46) 상에 상기 계면 유전층(47), 상기 제1 게이트 유전층(48), 상기 제6 게이트 전극(G6), 및 상기 게이트 캐핑 층(76)이 차례로 적층될 수 있다.
상기 제1 게이트 전극(G1)은 차례로 적층된 제1 일 함수 층(Work Function Layer; WF1), 제1 게이트 도전층(72), 및 제2 게이트 도전층(74)을 포함할 수 있다. 상기 제1 일 함수 층(WF1)은 차례로 적층된 제1 층(61), 제2 층(62), 제3 층(63), 제4 층(64), 및 제5 층(65)을 포함할 수 있다. 상기 제1 층(61)은 상기 제2 게이트 유전층(49) 상에 직접적으로 접촉될 수 있다.
상기 제2 게이트 전극(G2)은 차례로 적층된 제2 일 함수 층(WF2), 상기 제1 게이트 도전층(72), 및 상기 제2 게이트 도전층(74)을 포함할 수 있다. 상기 제2 일 함수 층(WF2)은 차례로 적층된 상기 제1 층(61), 상기 제2 층(62), 상기 제3 층(63), 상기 제4 층(64), 및 상기 제5 층(65)을 포함할 수 있다. 상기 제1 층(61)은 상기 제1 게이트 유전층(48) 상에 직접적으로 접촉될 수 있다.
상기 제3 게이트 전극(G3)은 차례로 적층된 제3 일 함수 층(WF3), 상기 제1 게이트 도전층(72), 및 상기 제2 게이트 도전층(74)을 포함할 수 있다. 상기 제3 일 함수 층(WF3)은 차례로 적층된 상기 제3 층(63), 상기 제4 층(64), 및 상기 제5 층(65)을 포함할 수 있다. 상기 제3 층(63)은 상기 제2 게이트 유전층(49) 상에 직접적으로 접촉될 수 있다.
상기 제4 게이트 전극(G4)은 차례로 적층된 제4 일 함수 층(WF4), 상기 제1 게이트 도전층(72), 및 상기 제2 게이트 도전층(74)을 포함할 수 있다. 상기 제4 일 함수 층(WF4)은 차례로 적층된 상기 제4 층(64) 및 상기 제5 층(65)을 포함할 수 있다. 상기 제4 층(64)은 상기 제2 게이트 유전층(49) 상에 직접적으로 접촉될 수 있다.
상기 제5 게이트 전극(G5)은 차례로 적층된 제5 일 함수 층(WF5), 상기 제1 게이트 도전층(72), 및 상기 제2 게이트 도전층(74)을 포함할 수 있다. 상기 제5 일 함수 층(WF5)은 상기 제5 층(65)을 포함할 수 있다. 상기 제5 층(65)은 상기 제2 게이트 유전층(49) 상에 직접적으로 접촉될 수 있다.
상기 제6 게이트 전극(G6)은 차례로 적층된 제6 일 함수 층(WF6), 상기 제1 게이트 도전층(72), 및 상기 제2 게이트 도전층(74)을 포함할 수 있다. 상기 제6 일 함수 층(WF6)은 상기 제5 층(65)을 포함할 수 있다. 상기 제5 층(65)은 상기 제1 게이트 유전층(48) 상에 직접적으로 접촉될 수 있다.
상기 제1 내지 제3 활성 영역(41-43)의 각각은 N형 불순물들을 갖는 반도체 층을 포함할 수 있다. 상기 제1 내지 제3 활성 영역(41-43)의 각각은 N형 활성 영역으로 지칭될 수 있다. 상기 제4 내지 제6 활성 영역(44-46)의 각각은 P형 불순물들을 갖는 반도체 층을 포함할 수 있다. 상기 제4 내지 제6 활성 영역(44-46)의 각각은 P형 활성 영역으로 지칭될 수 있다. 일 실시예에서, 상기 제1 내지 제3 활성 영역(41-43)의 각각은 N형 불순물들을 갖는 단결정 실리콘 층을 포함할 수 있다. 상기 제4 내지 제6 활성 영역(44-46)의 각각은 P형 불순물들을 갖는 단결정 실리콘 층을 포함할 수 있다.
상기 계면 유전층(47)은 열 산화 공정 또는 세정 공정을 이용하여 형성된 실리콘 산화물을 포함할 수 있다. 상기 계면 유전층(47)은 생략될 수 있다. 상기 제1 게이트 유전층(48)은 고-유전물(High-K Dielectrics)을 포함할 수 있다. 상기 제1 게이트 유전층(48)은 Hf, O, 및 N을 포함할 수 있다. 상기 제1 게이트 유전층(48)은 HfON을 포함할 수 있다. 상기 제2 게이트 유전층(49)은 La을 함유하는 고-유전물을 포함할 수 있다. 상기 제2 게이트 유전층(49)은 Hf, La, O, 및 N을 포함할 수 있다. 상기 제2 게이트 유전층(49)은 HfLaON을 포함할 수 있다. 일 실시예에서, 상기 제2 게이트 유전층(49)은 제1 게이트 유전층으로 지칭될 수 있으며, 상기 제1 게이트 유전층(48)은 제2 게이트 유전층으로 지칭될 수 있다.
상기 제1 층(61)은 TiON을 포함할 수 있다. 상기 제2 층(62)은 TiN 또는 TiON을 포함할 수 있다. 상기 제3 층(63)은 TiON을 포함할 수 있다. 상기 제4 층(64)은 TiN을 포함할 수 있다. 상기 제5 층(65)은 TiAlC를 포함할 수 있다. 상기 제1 게이트 도전층(72)은 TiN을 포함할 수 있다. 상기 제2 게이트 도전층(74)은 W을 포함할 수 있다.
도 2를 참조하면, 본 발명 기술적 사상의 실시예들에 따른 반도체 소자들은 제1 영역(LP), 제2 영역(SP), 제3 영역(RP), 제4 영역(RN), 제5 영역(SN), 및 제6 영역(LN)을 갖는 기판(21)을 포함할 수 있다.
상기 제1 영역(LP) 내에 다수의 제1 트랜지스터(TR1)가 배치될 수 있다. 예를들면, 상기 제1 영역(LP)의 상기 기판(21) 내에 제1 활성 영역(41)이 한정될 수 있다. 상기 제1 활성 영역(41)을 가로지르는 다수의 제1 게이트 전극(G1)이 배치될 수 있다. 상기 제1 활성 영역(41) 및 상기 다수의 제1 게이트 전극(G1)은 상기 다수의 제1 트랜지스터(TR1)를 구성할 수 있다. 상기 제2 영역(SP) 내에 다수의 제2 트랜지스터(TR2)가 배치될 수 있다. 예를들면, 상기 제2 영역(SP)의 상기 기판(21) 내에 제2 활성 영역(42)이 한정될 수 있다. 상기 제2 활성 영역(42)을 가로지르는 다수의 제2 게이트 전극(G2)이 배치될 수 있다. 상기 제2 활성 영역(42) 및 상기 다수의 제2 게이트 전극(G2)은 상기 다수의 제2 트랜지스터(TR2)를 구성할 수 있다. 상기 제3 영역(RP) 내에 다수의 제3 트랜지스터(TR3)가 배치될 수 있다. 예를들면, 상기 제3 영역(RP)의 상기 기판(21) 내에 제3 활성 영역(43)이 한정될 수 있다. 상기 제3 활성 영역(43)을 가로지르는 다수의 제3 게이트 전극(G3)이 배치될 수 있다. 상기 제3 활성 영역(43) 및 상기 다수의 제3 게이트 전극(G3)은 상기 다수의 제3 트랜지스터(TR3)를 구성할 수 있다.
상기 제4 영역(RN) 내에 다수의 제4 트랜지스터(TR4)가 배치될 수 있다. 예를들면, 상기 제4 영역(RN)의 상기 기판(21) 내에 제4 활성 영역(44)이 한정될 수 있다. 상기 제4 활성 영역(44)을 가로지르는 다수의 제4 게이트 전극(G4)이 배치될 수 있다. 상기 제4 활성 영역(44) 및 상기 다수의 제4 게이트 전극(G4)은 상기 다수의 제4 트랜지스터(TR4)를 구성할 수 있다. 상기 제5 영역(SN) 내에 다수의 제5 트랜지스터(TR5)가 배치될 수 있다. 예를들면, 상기 제5 영역(SN)의 상기 기판(21) 내에 제5 활성 영역(45)이 한정될 수 있다. 상기 제5 활성 영역(45)을 가로지르는 다수의 제5 게이트 전극(G5)이 배치될 수 있다. 상기 제5 활성 영역(45) 및 상기 다수의 제5 게이트 전극(G5)은 상기 다수의 제5 트랜지스터(TR5)를 구성할 수 있다. 상기 제6 영역(LN) 내에 다수의 제6 트랜지스터(TR6)가 배치될 수 있다. 예를들면, 상기 제6 영역(LN)의 상기 기판(21) 내에 제6 활성 영역(46)이 한정될 수 있다. 상기 제6 활성 영역(46)을 가로지르는 다수의 제6 게이트 전극(G6)이 배치될 수 있다. 상기 제6 활성 영역(46) 및 상기 다수의 제6 게이트 전극(G6)은 상기 다수의 제6 트랜지스터(TR6)를 구성할 수 있다.
상기 다수의 제1 내지 제6 트랜지스터(TR1, TR2, TR3, TR4, TR5, TR6)의 각각은 핀펫(fin Field Effect Transistor; finFET), MBCFET®와 같은 멀티-브리지 채널 트랜지스터(Multi-Bridge Channel Transistor), 나노와이어 트랜지스터, 수직 트랜지스터, 리세스 채널 트랜지스터(Recess Channel Transistor), 3-D 트랜지스터, 플라나 트랜지스터(Planar Transistor), 또는 이들의 조합을 포함할 수 있다. 일 실시예에서, 상기 다수의 제1 내지 제3 트랜지스터(TR1, TR2, TR3)의 각각은 PMOS 트랜지스터일 수 있다. 상기 다수의 제4 내지 제6 트랜지스터(TR4, TR5, TR6)의 각각은 NMOS 트랜지스터일 수 있다.
상기 다수의 제1 트랜지스터(TR1)의 각각은 제1 문턱 전압을 가질 수 있다. 상기 다수의 제2 트랜지스터(TR2)의 각각은 상기 제1 문턱 전압과 다른 제2 문턱 전압을 가질 수 있다. 상기 다수의 제3 트랜지스터(TR3)의 각각은 상기 제1 문턱 전압과 다른 제3 문턱 전압을 가질 수 있다. 상기 제2 문턱 전압의 절대값은 상기 제1 문턱 전압의 절대값보다 작을 수 있다. 상기 제3 문턱 전압의 절대값은 상기 제1 문턱 전압의 절대값보다 클 수 있다. 예를들면, 상기 제1 문턱 전압은 약-220mV 일 수 있다. 상기 제2 문턱 전압은 약-150mV 일 수 있다. 상기 제3 문턱 전압은 약-300mV 일 수 있다.
상기 다수의 제4 트랜지스터(TR4)의 각각은 상기 제1 문턱 전압과 다른 제4 문턱 전압을 가질 수 있다. 상기 다수의 제5 트랜지스터(TR5)의 각각은 상기 제4 문턱 전압과 다른 제5 문턱 전압을 가질 수 있다. 상기 다수의 제6 트랜지스터(TR6)의 각각은 상기 제4 문턱 전압과 다른 제6 문턱 전압을 가질 수 있다. 상기 제4 문턱 전압은 상기 제6 문턱 전압보다 높을 수 있다. 상기 제5 문턱 전압은 상기 제6 문턱 전압보다 낮을 수 있다. 예를들면, 상기 제4 문턱 전압은 약320mV 일 수 있다. 상기 제5 문턱 전압은 약180mV 일 수 있다. 상기 제6 문턱 전압은 약250mV 일 수 있다.
도 1 및 도 2를 다시 한번 참조하면, 일 실시예에서, 상기 다수의 제6 트랜지스터(TR6)는 다수의 제2 트랜지스터로, 상기 다수의 제2 트랜지스터(TR2)는 다수의 제3 트랜지스터로, 상기 다수의 제3 트랜지스터(TR3)는 다수의 제4 트랜지스터로, 상기 다수의 제4 트랜지스터(TR4)는 다수의 제5 트랜지스터로, 상기 다수의 제5 트랜지스터(TR5)는 다수의 제6 트랜지스터로 지칭될 수 있다. 상기 다수의 제6 게이트 전극(G6)은 다수의 제2 게이트 전극으로, 상기 다수의 제2 게이트 전극(G2)은 다수의 제3 게이트 전극으로, 상기 다수의 제3 게이트 전극(G3)은 다수의 제4 게이트 전극으로, 상기 다수의 제4 게이트 전극(G4)은 다수의 제5 게이트 전극으로, 상기 다수의 제5 게이트 전극(G5)은 다수의 제6 게이트 전극으로 지칭될 수 있다.
상기 제1 활성 영역(41)은 제1 N형 활성 영역으로, 상기 제2 활성 영역(42)은 제2 N형 활성 영역으로, 상기 제3 활성 영역(43)은 제3 N형 활성 영역으로, 상기 제6 활성 영역(46)은 제1 P형 활성 영역으로, 상기 제4 활성 영역(44)은 제2 P형 활성 영역으로, 상기 제5 활성 영역(45)은 제3 P형 활성 영역으로 지칭될 수 있다. 상기 제6 일 함수 층(WF6)은 제2 일 함수 층으로, 상기 제2 일 함수 층(WF2)은 제3 일 함수 층으로, 상기 제3 일 함수 층(WF3)은 제4 일 함수 층으로, 상기 제4 일 함수 층(WF4)은 제5 일 함수 층으로, 상기 제5 일 함수 층(WF5)은 제6 일 함수 층으로 지칭될 수 있다.
도 3을 참조하면, 제1 게이트 전극(G1) 양측에 인접한 제1 활성 영역(41) 내에 한 쌍의 제1 소스/드레인 영역(55)이 배치될 수 있다. 제2 게이트 전극(G2) 양측에 인접한 제2 활성 영역(42) 내에 상기 한 쌍의 제1 소스/드레인 영역(55)이 배치될 수 있다. 제3 게이트 전극(G3) 양측에 인접한 제3 활성 영역(43) 내에 상기 한 쌍의 제1 소스/드레인 영역(55)이 배치될 수 있다. 제4 게이트 전극(G4) 양측에 인접한 제4 활성 영역(44) 내에 한 쌍의 제2 소스/드레인 영역(59)이 배치될 수 있다. 제5 게이트 전극(G5) 양측에 인접한 제5 활성 영역(45) 내에 상기 한 쌍의 제2 소스/드레인 영역(59)이 배치될 수 있다. 제6 게이트 전극(G6) 양측에 인접한 제6 활성 영역(46) 내에 상기 한 쌍의 제2 소스/드레인 영역(59)이 배치될 수 있다. 일 실시예에서, 상기 제1 내지 제6 게이트 전극(G1-G6)의 각각은 교체 금속 게이트 전극(Replacement Metal Gate Electrode)에 해당될 수 있다.
도 4를 참조하면, 기판(21) 상에 제1 내지 제6 활성 영역(41-46)을 한정하는 소자 분리층(23)이 배치될 수 있다. 상기 제1 내지 제6 활성 영역(41-46)의 각각은 상기 소자 분리층(23)의 상면보다 높은 레벨에 돌출될 수 있다. 제1 게이트 전극(G1)은 상기 제1 활성 영역(41)의 상면 및 측면들을 덮을 수 있다. 제2 게이트 전극(G2)은 상기 제2 활성 영역(42)의 상면 및 측면들을 덮을 수 있다. 제3 게이트 전극(G3)은 상기 제3 활성 영역(43)의 상면 및 측면들을 덮을 수 있다. 제4 게이트 전극(G4)은 상기 제4 활성 영역(44)의 상면 및 측면들을 덮을 수 있다. 제5 게이트 전극(G5)은 상기 제5 활성 영역(45)의 상면 및 측면들을 덮을 수 있다. 제6 게이트 전극(G6)은 상기 제6 활성 영역(46)의 상면 및 측면들을 덮을 수 있다. 제1 내지 제6 게이트 전극(G1-G6)의 각각은 상기 소자 분리층(23) 상에 연장될 수 있다.
상기 기판(21)은 실리콘 웨이퍼와 같은 반도체 기판을 포함할 수 있다. 상기 소자 분리층(23)은 에스티아이(Shallow Trench Isolation; STI) 방법을 이용하여 형성된 절연 층을 포함할 수 있다. 상기 소자 분리층(23)은 실리콘 산화물, 실리콘 질화물, 실리콘 산질화물, 실리콘 붕소 질화물(Silicon Boron Nitride; SiBN), 실리콘 탄소 질화물 (Silicon Carbon Nitride; SiCN), 로우-케이 유전물(Low-K Dielectrics), 하이-케이 유전물(High-K Dielectrics), 또는 이들의 조합을 포함할 수 있다.
도 5를 참조하면, 본 발명 기술적 사상의 실시예들에 따른 반도체 소자들은 기판(21), 소자 분리층(23), 제1 활성 영역(41), 계면 유전층(47), 제2 게이트 유전층(49), 한 쌍의 제1 소스/드레인 영역(55), 제1 게이트 전극(G1), 게이트 캐핑 층(76), 게이트 스페이서(78), 및 층간 절연층(79)을 포함할 수 있다.
상기 소자 분리층(23)의 상면은 상기 제1 활성 영역(41)의 최 상단보다 낮은 레벨에 형성될 수 있다. 상기 제1 활성 영역(41)은 상기 소자 분리층(23)의 상면보다 높은 레벨에 돌출될 수 있다. 상기 제1 활성 영역(41)은 N형 불순물들을 갖는 단결정 실리콘을 포함할 수 있다. 상기 N형 불순물들은 P, As, 또는 이들의 조합을 포함할 수 있다. 상기 제1 활성 영역(41)은 제1 N형 활성 영역으로 지칭될 수 있다.
상기 한 쌍의 제1 소스/드레인 영역(55)은 상기 제1 게이트 전극(G1) 양측에 인접한 상기 제1 활성 영역(41) 내에 배치될 수 있다. 상기 한 쌍의 제1 소스/드레인 영역(55)의 최 상단은 상기 제1 활성 영역(41)의 최 상단보다 높은 레벨에 돌출될 수 있다. 상기 한 쌍의 제1 소스/드레인 영역(55)의 각각은 P형 불순물들을 갖는 반도체 층을 포함할 수 있다. 상기 P형 불순물들은 B, BF, 또는 이들의 조합을 포함할 수 있다. 상기 한 쌍의 제1 소스/드레인 영역(55)의 각각은 선택적 에피택셜 성장(Selective Epitaxial Growth; SEG) 방법을 이용하여 형성된 SiGe층을 포함할 수 있다. 상기 한 쌍의 제1 소스/드레인 영역(55)의 각각은 제1 하부 드레인(51), 제1 중간 드레인(52), 제1 상부 드레인(53)을 포함할 수 있다.
상기 제1 하부 드레인(51)은 상기 제1 활성 영역(41)에 직접적으로 접촉될 수 있다. 상기 제1 중간 드레인(52)은 상기 제1 하부 드레인(51) 상에 배치될 수 있다. 상기 제1 상부 드레인(53)은 상기 제1 중간 드레인(52) 상에 배치될 수 있다. 상기 제1 하부 드레인(51)의 Ge의 중량비는 상기 제1 중간 드레인(52) 보다 작을 수 있다. 일 실시예에서, 상기 제1 하부 드레인(51)은 Si층 일 수 있다. 상기 제1 중간 드레인(52)의 Ge의 중량비는 상기 제1 하부 드레인(51) 및 상기 제1 상부 드레인(53) 보다 클 수 있다. 상기 제1 상부 드레인(53)의 Ge의 중량비는 상기 제1 중간 드레인(52) 보다 작을 수 있다. 일 실시예에서, 상기 제1 상부 드레인(53)은 Si층 일 수 있다.
상기 제1 게이트 전극(G1)은 차례로 적층된 제1 일 함수 층(WF1), 제1 게이트 도전층(72), 및 제2 게이트 도전층(74)을 포함할 수 있다. 상기 제1 일 함수 층(WF1)은 차례로 적층된 제1 층(61), 제2 층(62), 제3 층(63), 제4 층(64), 및 제5 층(65)을 포함할 수 있다. 상기 제1 층(61)은 상기 제2 게이트 유전층(49) 상에 직접적으로 접촉될 수 있다.
상기 제1 일 함수 층(WF1)은 상기 제1 활성 영역(41)의 상면 및 측면들을 덮을 수 있다. 상기 제1 일 함수 층(WF1)은 상기 소자 분리층(23) 상에 연장될 수 있다. 상기 제1 일 함수 층(WF1)의 최 하단은 상기 제1 활성 영역(41)의 최 상단보다 낮은 레벨에 배치될 수 있다. 상기 제1 일 함수 층(WF1)의 최 하단은 상기 제1 활성 영역(41)의 최 상단보다 상기 기판(21)의 하면에 가깝게 배치될 수 있다.
상기 계면 유전층(47)은 상기 제1 활성 영역(41)의 상면 및 측면들 상에 형성될 수 있다. 상기 계면 유전층(47)은 상기 제1 일 함수 층(WF1) 및 상기 제1 활성 영역(41) 사이에 개재될 수 있다. 상기 제2 게이트 유전층(49)은 상기 제1 일 함수 층(WF1) 및 상기 계면 유전층(47) 사이에 배치될 수 있다. 상기 제2 게이트 유전층(49)은 상기 제1 일 함수 층(WF1) 및 상기 소자 분리층(23) 사이에 연장될 수 있다.
상기 게이트 캐핑 층(76)은 상기 제1 게이트 전극(G1) 상을 덮을 수 있다. 상기 게이트 스페이서(78)는 상기 게이트 캐핑 층(76) 및 상기 제1 게이트 전극(G1)의 측벽들 상에 배치될 수 있다. 상기 층간 절연층(79)은 상기 한 쌍의 제1 소스/드레인 영역(55) 상에 배치될 수 있다. 상기 게이트 스페이서(78) 및 상기 층간 절연층(79)의 각각은 실리콘 산화물, 실리콘 질화물, 실리콘 산질화물, 실리콘 붕소 질화물(SiBN), 실리콘 탄소 질화물 (SiCN), 로우-케이 유전물, 하이-케이 유전물, 또는 이들의 조합을 포함할 수 있다. 예를들면, 상기 게이트 스페이서(78)는 실리콘 질화물을 포함할 수 있다. 상기 층간 절연층(79)은 실리콘 산화물, 또는 로우-케이 유전물을 포함할 수 있다.
상기 제2 게이트 유전층(49)은 상기 제1 게이트 전극(G1) 및 상기 게이트 스페이서(78) 사이에 연장될 수 있다. 상기 제2 게이트 유전층(49)은 상기 제1 일 함수 층(WF1)의 측면 상에 연장될 수 있다.
도 6을 참조하면, 본 발명 기술적 사상의 실시예들에 따른 반도체 소자들은 기판(21), 소자 분리층(23), 제2 활성 영역(42), 계면 유전층(47), 제1 게이트 유전층(48), 한 쌍의 제1 소스/드레인 영역(55), 제2 게이트 전극(G2), 게이트 캐핑 층(76), 게이트 스페이서(78), 및 층간 절연층(79)을 포함할 수 있다. 이하에서는 차이점만 간략하게 설명하기로 한다.
상기 제2 활성 영역(42)은 제2 N형 활성 영역으로 지칭될 수 있다. 제1 하부 드레인(51)은 상기 제2 활성 영역(42)에 직접적으로 접촉될 수 있다. 상기 제2 게이트 전극(G2)은 차례로 적층된 제2 일 함수 층(WF2), 제1 게이트 도전층(72), 및 제2 게이트 도전층(74)을 포함할 수 있다. 상기 제2 일 함수 층(WF2)은 차례로 적층된 제1 층(61), 제2 층(62), 제3 층(63), 제4 층(64), 및 제5 층(65)을 포함할 수 있다. 상기 제1 층(61)은 상기 제1 게이트 유전층(48) 상에 직접적으로 접촉될 수 있다.
도 7을 참조하면, 본 발명 기술적 사상의 실시예들에 따른 반도체 소자들은 기판(21), 소자 분리층(23), 제3 활성 영역(43), 계면 유전층(47), 제2 게이트 유전층(49), 한 쌍의 제1 소스/드레인 영역(55), 제3 게이트 전극(G3), 게이트 캐핑 층(76), 게이트 스페이서(78), 및 층간 절연층(79)을 포함할 수 있다.
상기 제3 활성 영역(43)은 제3 N형 활성 영역으로 지칭될 수 있다. 제1 하부 드레인(51)은 상기 제3 활성 영역(43)에 직접적으로 접촉될 수 있다. 상기 제3 게이트 전극(G3)은 차례로 적층된 제3 일 함수 층(WF3), 제1 게이트 도전층(72), 및 제2 게이트 도전층(74)을 포함할 수 있다. 상기 제3 일 함수 층(WF3)은 차례로 적층된 제3 층(63), 제4 층(64), 및 제5 층(65)을 포함할 수 있다. 상기 제3 층(63)은 상기 제2 게이트 유전층(49) 상에 직접적으로 접촉될 수 있다.
도 8을 참조하면, 본 발명 기술적 사상의 실시예들에 따른 반도체 소자들은 기판(21), 소자 분리층(23), 제4 활성 영역(44), 계면 유전층(47), 제2 게이트 유전층(49), 한 쌍의 제2 소스/드레인 영역(59), 제4 게이트 전극(G4), 게이트 캐핑 층(76), 게이트 스페이서(78), 및 층간 절연층(79)을 포함할 수 있다.
상기 제4 활성 영역(44)은 P형 불순물들을 갖는 단결정 실리콘을 포함할 수 있다. 상기 제4 활성 영역(44)은 제2 P형 활성 영역으로 지칭될 수 있다. 상기 한 쌍의 제2 소스/드레인 영역(59)의 각각은 N형 불순물들을 갖는 반도체 층을 포함할 수 있다. 상기 한 쌍의 제2 소스/드레인 영역(59)의 각각은 선택적 에피택셜 성장(SEG) 방법을 이용하여 형성된 Si층 또는 SiC층을 포함할 수 있다. 상기 한 쌍의 제2 소스/드레인 영역(59)의 각각은 제2 하부 드레인(56), 제2 중간 드레인(57), 제2 상부 드레인(58)을 포함할 수 있다.
상기 제2 하부 드레인(56)은 상기 제4 활성 영역(44)에 직접적으로 접촉될 수 있다. 상기 제4 게이트 전극(G4)은 차례로 적층된 제4 일 함수 층(WF4), 제1 게이트 도전층(72), 및 제2 게이트 도전층(74)을 포함할 수 있다. 상기 제4 일 함수 층(WF4)은 차례로 적층된 제4 층(64) 및 제5 층(65)을 포함할 수 있다. 상기 제4 층(64)은 상기 제2 게이트 유전층(49) 상에 직접적으로 접촉될 수 있다.
도 9를 참조하면, 본 발명 기술적 사상의 실시예들에 따른 반도체 소자들은 기판(21), 소자 분리층(23), 제5 활성 영역(45), 계면 유전층(47), 제2 게이트 유전층(49), 한 쌍의 제2 소스/드레인 영역(59), 제5 게이트 전극(G5), 게이트 캐핑 층(76), 게이트 스페이서(78), 및 층간 절연층(79)을 포함할 수 있다.
상기 제5 활성 영역(45)은 제3 P형 활성 영역으로 지칭될 수 있다. 상기 한 쌍의 제2 소스/드레인 영역(59)의 각각은 N형 불순물들을 갖는 반도체 층을 포함할 수 있다. 상기 제2 하부 드레인(56)은 상기 제5 활성 영역(45)에 직접적으로 접촉될 수 있다. 상기 제5 게이트 전극(G5)은 차례로 적층된 제5 일 함수 층(WF5), 제1 게이트 도전층(72), 및 제2 게이트 도전층(74)을 포함할 수 있다. 상기 제5 일 함수 층(WF5)은 제5 층(65)을 포함할 수 있다. 상기 제5 층(65)은 상기 제2 게이트 유전층(49) 상에 직접적으로 접촉될 수 있다.
도 10을 참조하면, 본 발명 기술적 사상의 실시예들에 따른 반도체 소자들은 기판(21), 소자 분리층(23), 제5 활성 영역(45), 계면 유전층(47), 제1 게이트 유전층(48), 한 쌍의 제2 소스/드레인 영역(59), 제6 게이트 전극(G6), 게이트 캐핑 층(76), 게이트 스페이서(78), 및 층간 절연층(79)을 포함할 수 있다.
상기 제6 활성 영역(46)은 제1 P형 활성 영역으로 지칭될 수 있다. 상기 한 쌍의 제2 소스/드레인 영역(59)의 각각은 N형 불순물들을 갖는 반도체 층을 포함할 수 있다. 상기 제2 하부 드레인(56)은 상기 제6 활성 영역(46)에 직접적으로 접촉될 수 있다. 상기 제6 게이트 전극(G6)은 차례로 적층된 제6 일 함수 층(WF6), 제1 게이트 도전층(72), 및 제2 게이트 도전층(74)을 포함할 수 있다. 상기 제6 일 함수 층(WF6)은 제5 층(65)을 포함할 수 있다. 상기 제5 층(65)은 상기 제1 게이트 유전층(48) 상에 직접적으로 접촉될 수 있다.
상기 제6 일 함수 층(WF6)은 상기 제6 활성 영역(46)의 상면 및 측면들을 덮을 수 있다. 상기 제6 일 함수 층(WF6)은 상기 소자 분리층(23) 상에 연장될 수 있다. 상기 제1 게이트 유전층(48)은 상기 제6 일 함수 층(WF6) 및 상기 계면 유전층(47) 사이에 배치될 수 있다. 상기 제1 게이트 유전층(48)은 상기 제6 일 함수 층(WF6) 및 상기 소자 분리층(23) 사이에 연장될 수 있다. 상기 제1 게이트 유전층(48)은 상기 제6 게이트 전극(G6) 및 상기 게이트 스페이서(78) 사이에 연장될 수 있다. 상기 제1 게이트 유전층(48)은 상기 제6 일 함수 층(WF6)의 측면 상에 연장될 수 있다.
도 11은 도 2의 절단선 1-1', 2-2', 3-3', 4-4', 5-5', 및 6-6'에 따라 취해진 단면도이고, 도 12는 도 2의 절단선 11-11', 12-12', 13-13', 14-14', 15-15', 및 16-16'에 따라 취해진 단면도이다. 도 13은 도 2의 절단선 1-1' 및 11-11'에 따라 취해진 단면도이고, 도 14는 도 2의 절단선 2-2'및 12-12'에 따라 취해진 단면도이고, 도 15는 도 2의 절단선 3-3'및 13-13'에 따라 취해진 단면도이고, 도 16은 도 2의 절단선 4-4'및 14-14'에 따라 취해진 단면도이고, 도 17은 도 2의 절단선 5-5' 및 15-15'에 따라 취해진 단면도이고, 도 18은 도 2의 절단선 6-6'및 16-16'에 따라 취해진 단면도이다.
도 11을 참조하면, 본 발명 기술적 사상의 실시예들에 따른 반도체 소자들은 기판(21), 제1 내지 제6 활성 영역(41-46), 다수의 제1 및 제2 소스/드레인 영역(55, 59), 및 제1 내지 제6 게이트 전극(G1-G6)을 포함할 수 있다. 일 실시예에서, 상기 제1 내지 제6 게이트 전극(G1-G6)의 각각은 교체 금속 게이트 전극(Replacement Metal Gate Electrode)에 해당될 수 있다.
도 12를 참조하면, 본 발명 기술적 사상의 실시예들에 따른 반도체 소자들은 기판(21), 소자 분리층(23), 제1 내지 제6 활성 영역(41-46), 및 제1 내지 제6 게이트 전극(G1-G6)을 포함할 수 있다.
도 13을 참조하면, 본 발명 기술적 사상의 실시예들에 따른 반도체 소자들은 기판(21), 소자 분리층(23), 제1 활성 영역(41), 계면 유전층(47), 제2 게이트 유전층(49), 한 쌍의 제1 소스/드레인 영역(55), 제1 게이트 전극(G1), 게이트 캐핑 층(76), 게이트 스페이서(78), 층간 절연층(79), 및 내부 스페이서(88)를 포함할 수 있다.
상기 제1 활성 영역(41)은 다수의 제1 활성 패턴(41A, 41B, 41C, 41D)을 포함할 수 있다. 상기 다수의 제1 활성 패턴(41A, 41B, 41C, 41D)은 상기 기판(21) 상에 수직 정렬될 수 있다. 상기 다수의 제1 활성 패턴(41A, 41B, 41C, 41D)중 최 하단에 배치된 하나(41A)는 상기 소자 분리층(23)에 의하여 상기 기판(21) 내에 한정될 수 있다. 상기 다수의 제1 활성 패턴(41A, 41B, 41C, 41D)은 서로 이격될 수 있다. 상기 제1 활성 영역(41)은 제1 N형 활성 영역으로 지칭될 수 있다. 상기 다수의 제1 활성 패턴(41A, 41B, 41C, 41D)은 다수의 제1 N형 활성 패턴으로 지칭될 수 있다.
상기 한 쌍의 제1 소스/드레인 영역(55)은 상기 제1 게이트 전극(G1) 양측에 인접한 상기 제1 활성 영역(41) 내에 배치될 수 있다. 상기 제1 게이트 전극(G1)은 차례로 적층된 제1 일 함수 층(WF1), 제1 게이트 도전층(72), 및 제2 게이트 도전층(74)을 포함할 수 있다. 상기 제1 게이트 전극(G1)은 상기 다수의 제1 활성 패턴(41A, 41B, 41C, 41D)중 최 하단에 배치된 하나(41A)의 상면 및 측면들을 덮을 수 있다. 상기 제1 게이트 전극(G1)은 상기 다수의 제1 활성 패턴(41A, 41B, 41C, 41D)중 최 하단에 배치된 하나(41A)를 제외한 나머지(41B, 41C, 41D)의 상면, 하면, 및 측면들을 둘러쌀 수 있다.
상기 제1 게이트 전극(G1) 및 상기 한 쌍의 제1 소스/드레인 영역(55) 사이에 상기 내부 스페이서(88)가 개재될 수 있다. 상기 내부 스페이서(88)는 실리콘 산화물, 실리콘 질화물, 실리콘 산질화물, 로우-케이 유전물, 하이-케이 유전물, 또는 이들의 조합을 포함할 수 있다. 예를들면, 상기 내부 스페이서(88)는 실리콘 질화물을 포함할 수 있다. 상기 내부 스페이서(88)는 생략될 수 있다.
상기 제1 일 함수 층(WF1)은 차례로 적층된 제1 층(61), 제2 층(62), 제3 층(63), 제4 층(64), 및 제5 층(65)을 포함할 수 있다. 상기 제1 층(61)은 상기 제2 게이트 유전층(49) 상에 직접적으로 접촉될 수 있다. 상기 제1 일 함수 층(WF1)은 상기 다수의 제1 활성 패턴(41A, 41B, 41C, 41D)중 최 하단에 배치된 하나(41A)의 상면 및 측면들을 덮을 수 있다. 상기 제1 일 함수 층(WF1)은 상기 다수의 제1 활성 패턴(41A, 41B, 41C, 41D)중 최 하단에 배치된 하나(41A)를 제외한 나머지(41B, 41C, 41D)의 상면, 하면, 및 측면들을 둘러쌀 수 있다.
상기 계면 유전층(47)은 상기 제1 활성 영역(41) 상에 형성될 수 있다. 상기 계면 유전층(47)은 상기 제1 일 함수 층(WF1) 및 상기 제1 활성 영역(41) 사이에 개재될 수 있다. 상기 제2 게이트 유전층(49)은 상기 제1 일 함수 층(WF1) 및 상기 계면 유전층(47) 사이에 배치될 수 있다. 상기 제2 게이트 유전층(49)은 상기 제1 일 함수 층(WF1) 및 상기 소자 분리층(23) 사이에 연장될 수 있다. 상기 제2 게이트 유전층(49)은 상기 제1 일 함수 층(WF1) 및 상기 내부 스페이서(88) 사이에 연장될 수 있다.
도 14를 참조하면, 본 발명 기술적 사상의 실시예들에 따른 반도체 소자들은 기판(21), 소자 분리층(23), 제2 활성 영역(42), 계면 유전층(47), 제1 게이트 유전층(48), 한 쌍의 제1 소스/드레인 영역(55), 제2 게이트 전극(G2), 게이트 캐핑 층(76), 게이트 스페이서(78), 층간 절연층(79), 및 내부 스페이서(88)를 포함할 수 있다.
상기 제2 활성 영역(42)은 다수의 제2 활성 패턴(42A, 42B, 42C, 42D)을 포함할 수 있다. 상기 제2 활성 영역(42)은 제2 N형 활성 영역으로 지칭될 수 있다. 상기 다수의 제2 활성 패턴(42A, 42B, 42C, 42D)은 다수의 제2 N형 활성 패턴으로 지칭될 수 있다. 상기 제2 게이트 전극(G2)은 차례로 적층된 제2 일 함수 층(WF2), 제1 게이트 도전층(72), 및 제2 게이트 도전층(74)을 포함할 수 있다. 상기 제2 일 함수 층(WF2)은 차례로 적층된 제1 층(61), 제2 층(62), 제3 층(63), 제4 층(64), 및 제5 층(65)을 포함할 수 있다. 상기 제1 층(61)은 상기 제1 게이트 유전층(48) 상에 직접적으로 접촉될 수 있다.
도 15를 참조하면, 본 발명 기술적 사상의 실시예들에 따른 반도체 소자들은 기판(21), 소자 분리층(23), 제3 활성 영역(43), 계면 유전층(47), 제2 게이트 유전층(49), 한 쌍의 제1 소스/드레인 영역(55), 제3 게이트 전극(G3), 게이트 캐핑 층(76), 게이트 스페이서(78), 층간 절연층(79), 및 내부 스페이서(88)를 포함할 수 있다.
상기 제3 활성 영역(43)은 다수의 제3 활성 패턴(43A, 43B, 43C, 43D)을 포함할 수 있다. 상기 제3 활성 영역(43)은 제3 N형 활성 영역으로 지칭될 수 있다. 상기 다수의 제3 활성 패턴(43A, 43B, 43C, 43D)은 다수의 제3 N형 활성 패턴으로 지칭될 수 있다. 상기 제3 게이트 전극(G3)은 차례로 적층된 제3 일 함수 층(WF3), 제1 게이트 도전층(72), 및 제2 게이트 도전층(74)을 포함할 수 있다. 상기 제3 일 함수 층(WF3)은 차례로 적층된 제3 층(63), 제4 층(64), 및 제5 층(65)을 포함할 수 있다. 상기 제3 층(63)은 상기 제2 게이트 유전층(49) 상에 직접적으로 접촉될 수 있다.
도 16을 참조하면, 본 발명 기술적 사상의 실시예들에 따른 반도체 소자들은 기판(21), 소자 분리층(23), 제4 활성 영역(44), 계면 유전층(47), 제2 게이트 유전층(49), 한 쌍의 제2 소스/드레인 영역(59), 제4 게이트 전극(G4), 게이트 캐핑 층(76), 게이트 스페이서(78), 층간 절연층(79), 및 내부 스페이서(88)를 포함할 수 있다.
상기 제4 활성 영역(44)은 다수의 제4 활성 패턴(44A, 44B, 44C, 44D)을 포함할 수 있다. 상기 제4 활성 영역(44)은 제2 P형 활성 영역으로 지칭될 수 있다. 상기 다수의 제4 활성 패턴(44A, 44B, 44C, 44D)은 다수의 제2 P형 활성 패턴으로 지칭될 수 있다. 상기 제4 게이트 전극(G4)은 차례로 적층된 제4 일 함수 층(WF4), 제1 게이트 도전층(72), 및 제2 게이트 도전층(74)을 포함할 수 있다. 상기 제4 일 함수 층(WF4)은 차례로 적층된 제4 층(64) 및 제5 층(65)을 포함할 수 있다. 상기 제4 층(64)은 상기 제2 게이트 유전층(49) 상에 직접적으로 접촉될 수 있다.
도 17을 참조하면, 본 발명 기술적 사상의 실시예들에 따른 반도체 소자들은 기판(21), 소자 분리층(23), 제5 활성 영역(45), 계면 유전층(47), 제2 게이트 유전층(49), 한 쌍의 제2 소스/드레인 영역(59), 제5 게이트 전극(G5), 게이트 캐핑 층(76), 게이트 스페이서(78), 층간 절연층(79), 및 내부 스페이서(88)를 포함할 수 있다.
상기 제5 활성 영역(45)은 다수의 제5 활성 패턴(45A, 45B, 45C, 45D)을 포함할 수 있다. 상기 제5 활성 영역(45)은 제3 P형 활성 영역으로 지칭될 수 있다. 상기 다수의 제5 활성 패턴(45A, 45B, 45C, 45D)은 다수의 제3 P형 활성 패턴으로 지칭될 수 있다. 상기 제5 게이트 전극(G5)은 차례로 적층된 제5 일 함수 층(WF5), 제1 게이트 도전층(72), 및 제2 게이트 도전층(74)을 포함할 수 있다. 상기 제5 일 함수 층(WF5)은 제5 층(65)을 포함할 수 있다. 상기 제5 층(65)은 상기 제2 게이트 유전층(49) 상에 직접적으로 접촉될 수 있다.
도 18을 참조하면, 본 발명 기술적 사상의 실시예들에 따른 반도체 소자들은 기판(21), 소자 분리층(23), 제6 활성 영역(46), 계면 유전층(47), 제1 게이트 유전층(48), 한 쌍의 제2 소스/드레인 영역(59), 제6 게이트 전극(G6), 게이트 캐핑 층(76), 게이트 스페이서(78), 층간 절연층(79), 및 내부 스페이서(88)를 포함할 수 있다.
상기 제6 활성 영역(46)은 다수의 제6 활성 패턴(46A, 46B, 46C, 46D)을 포함할 수 있다. 상기 제6 활성 영역(46)은 제1 P형 활성 영역으로 지칭될 수 있다. 상기 다수의 제6 활성 패턴(46A, 46B, 46C, 46D)은 다수의 제1 P형 활성 패턴으로 지칭될 수 있다. 상기 제6 게이트 전극(G6)은 차례로 적층된 제6 일 함수 층(WF6), 제1 게이트 도전층(72), 및 제2 게이트 도전층(74)을 포함할 수 있다. 상기 제6 일 함수 층(WF6)은 제5 층(65)을 포함할 수 있다. 상기 제5 층(65)은 상기 제1 게이트 유전층(48) 상에 직접적으로 접촉될 수 있다.
도 19는 본 발명 기술적 사상의 실시예들에 따른 반도체 소자들을 설명하기 위한 단면도이다. 도 20은 도 2의 절단선 1-1', 2-2', 3-3', 4-4', 5-5', 및 6-6'에 따라 취해진 단면도이고, 도 21은 도 2의 절단선 11-11', 12-12', 13-13', 14-14', 15-15', 및 16-16'에 따라 취해진 단면도이다. 도 22는 도 2의 절단선 1-1' 및 11-11'에 따라 취해진 단면도이고, 도 23은 도 2의 절단선 2-2'및 12-12'에 따라 취해진 단면도이고, 도 24는 도 2의 절단선 3-3'및 13-13'에 따라 취해진 단면도이고, 도 25는 도 2의 절단선 4-4'및 14-14'에 따라 취해진 단면도이고, 도 26은 도 2의 절단선 5-5' 및 15-15'에 따라 취해진 단면도이고, 도 27은 도 2의 절단선 6-6'및 16-16'에 따라 취해진 단면도이다. 도 19는 도 22의 제1 부분(531), 도 23의 제2 부분(532), 도 24의 제3 부분(533), 도 25의 제4 부분(534), 도 26의 제5 부분(535), 및 도 27의 제6 부분(536)을 보여주는 확대도에 해당될 수 있다.
도 19를 참조하면, 본 발명 기술적 사상의 실시예들에 따른 반도체 소자들은 제1 내지 제6 활성 영역(41-46), 계면 유전층(47), 다수의 제1 및 제2 게이트 유전층(48, 49), 제1 내지 제6 게이트 전극(G1-G6), 및 게이트 캐핑 층(76)을 포함할 수 있다.
제1 일 함수 층(WF1)은 차례로 적층된 제1 층(611), 제2 층(162), 제3 층(163), 제4 층(164), 및 제5 층(165)을 포함할 수 있다. 상기 제1 층(161)은 상기 제2 게이트 유전층(49) 상에 직접적으로 접촉될 수 있다.
제2 일 함수 층(WF2)은 차례로 적층된 상기 제1 층(161), 상기 제2 층(162), 상기 제3 층(163), 상기 제4 층(164), 및 상기 제5 층(165)을 포함할 수 있다. 상기 제1 층(161)은 상기 제1 게이트 유전층(48) 상에 직접적으로 접촉될 수 있다.
제3 일 함수 층(WF3)은 차례로 적층된 상기 제2 층(162), 상기 제3 층(163), 상기 제4 층(164), 및 상기 제5 층(165)을 포함할 수 있다. 상기 제2 층(162)은 상기 제1 게이트 유전층(48) 상에 직접적으로 접촉될 수 있다.
제4 일 함수 층(WF4)은 차례로 적층된 상기 제3 층(163), 상기 제4 층(164), 및 상기 제5 층(165)을 포함할 수 있다. 상기 제3 층(163)은 상기 제2 게이트 유전층(49) 상에 직접적으로 접촉될 수 있다.
제5 일 함수 층(WF5)은 차례로 적층된 상기 제4 층(164) 및 상기 제5 층(165)을 포함할 수 있다. 상기 제4 층(164)은 상기 제2 게이트 유전층(49) 상에 직접적으로 접촉될 수 있다.
제6 일 함수 층(WF6)은 상기 제4 층(164) 및 상기 제5 층(165)을 포함할 수 있다. 상기 제4 층(164)은 상기 제1 게이트 유전층(48) 상에 직접적으로 접촉될 수 있다.
상기 제1 층(161)은 TiN을 포함할 수 있다. 상기 제2 층(162)은 TiN을 포함할 수 있다. 상기 제3 층(163)은 TiN을 포함할 수 있다. 상기 제4 층(164)은 TiN을 포함할 수 있다. 상기 제5 층(165)은 TiAlC를 포함할 수 있다.
도 20을 참조하면, 본 발명 기술적 사상의 실시예들에 따른 반도체 소자들은 기판(21), 제1 내지 제6 활성 영역(41-46), 다수의 제1 및 제2 소스/드레인 영역(55, 59), 및 제1 내지 제6 게이트 전극(G1-G6)을 포함할 수 있다. 일 실시예에서, 상기 제1 내지 제6 게이트 전극(G1-G6)의 각각은 교체 금속 게이트 전극(Replacement Metal Gate Electrode)에 해당될 수 있다.
도 21을 참조하면, 본 발명 기술적 사상의 실시예들에 따른 반도체 소자들은 기판(21), 소자 분리층(23), 제1 내지 제6 활성 영역(41-46), 및 제1 내지 제6 게이트 전극(G1-G6)을 포함할 수 있다.
도 22를 참조하면, 본 발명 기술적 사상의 실시예들에 따른 반도체 소자들은 기판(21), 소자 분리층(23), 제1 활성 영역(41), 계면 유전층(47), 제2 게이트 유전층(49), 한 쌍의 제1 소스/드레인 영역(55), 제1 게이트 전극(G1), 게이트 캐핑 층(76), 게이트 스페이서(78), 및 층간 절연층(79)을 포함할 수 있다. 제1 층(161)은 상기 제2 게이트 유전층(49) 상에 직접적으로 접촉될 수 있다.
도 23을 참조하면, 본 발명 기술적 사상의 실시예들에 따른 반도체 소자들은 기판(21), 소자 분리층(23), 제2 활성 영역(42), 계면 유전층(47), 제1 게이트 유전층(48), 한 쌍의 제1 소스/드레인 영역(55), 제2 게이트 전극(G2), 게이트 캐핑 층(76), 게이트 스페이서(78), 및 층간 절연층(79)을 포함할 수 있다. 제1 층(161)은 상기 제1 게이트 유전층(48) 상에 직접적으로 접촉될 수 있다.
도 24를 참조하면, 본 발명 기술적 사상의 실시예들에 따른 반도체 소자들은 기판(21), 소자 분리층(23), 제3 활성 영역(43), 계면 유전층(47), 제1 게이트 유전층(48), 한 쌍의 제1 소스/드레인 영역(55), 제3 게이트 전극(G3), 게이트 캐핑 층(76), 게이트 스페이서(78), 및 층간 절연층(79)을 포함할 수 있다. 제2 층(162)은 상기 제1 게이트 유전층(48) 상에 직접적으로 접촉될 수 있다.
도 25를 참조하면, 본 발명 기술적 사상의 실시예들에 따른 반도체 소자들은 기판(21), 소자 분리층(23), 제4 활성 영역(44), 계면 유전층(47), 제2 게이트 유전층(49), 한 쌍의 제2 소스/드레인 영역(59), 제4 게이트 전극(G4), 게이트 캐핑 층(76), 게이트 스페이서(78), 및 층간 절연층(79)을 포함할 수 있다. 제3 층(163)은 상기 제2 게이트 유전층(49) 상에 직접적으로 접촉될 수 있다.
도 26을 참조하면, 본 발명 기술적 사상의 실시예들에 따른 반도체 소자들은 기판(21), 소자 분리층(23), 제5 활성 영역(45), 계면 유전층(47), 제2 게이트 유전층(49), 한 쌍의 제2 소스/드레인 영역(59), 제5 게이트 전극(G5), 게이트 캐핑 층(76), 게이트 스페이서(78), 및 층간 절연층(79)을 포함할 수 있다. 제4 층(164)은 상기 제2 게이트 유전층(49) 상에 직접적으로 접촉될 수 있다.
도 27을 참조하면, 본 발명 기술적 사상의 실시예들에 따른 반도체 소자들은 기판(21), 소자 분리층(23), 제5 활성 영역(45), 계면 유전층(47), 제1 게이트 유전층(48), 한 쌍의 제2 소스/드레인 영역(59), 제6 게이트 전극(G6), 게이트 캐핑 층(76), 게이트 스페이서(78), 및 층간 절연층(79)을 포함할 수 있다. 제4 층(164)은 상기 제1 게이트 유전층(48) 상에 직접적으로 접촉될 수 있다.
도 28 내지 도 43은 본 발명 기술적 사상의 실시예들에 따른 반도체 소자들의 형성 방법들을 설명하기 위한 단면도들이다. 도 28 내지 도 43의 각각은 도 5의 제1 부분(31), 도 6의 제2 부분(32), 도 7의 제3 부분(33), 도 8의 제4 부분(34), 도 9의 제5 부분(35), 및 도 10의 제6 부분(36)을 보여주는 확대도에 해당될 수 있다.
도 28을 참조하면, 제1 내지 제6 활성 영역(41-46) 상에 계면 유전층(47)이 형성될 수 있다. 상기 계면 유전층(47)은 열 산화 공정 또는 세정 공정을 이용하여 형성된 실리콘 산화물을 포함할 수 있다. 상기 계면 유전층(47)은 상기 제1 내지 제6 활성 영역(41-46) 상에 직접적으로 접촉될 수 있다.
도 29를 참조하면, 상기 계면 유전층(47) 상에 제1 게이트 유전층(48)이 형성될 수 있다. 상기 제1 게이트 유전층(48)은 하이-케이 유전물(High-K Dielectrics)을 포함할 수 있다. 일 실시예에서, 상기 제1 게이트 유전층(48)은 HfO를 포함할 수 있다.
도 30을 참조하면, 상기 제1 게이트 유전층(48) 상에 첨가물 층(49A)이 형성될 수 있다. 일 실시예에서, 상기 첨가물 층(49A)은 La 또는 LaO를 포함할 수 있다.
도 31을 참조하면, 제1 영역(LP), 제3 영역(RP), 제4 영역(RN), 및 제5 영역(SN) 내의 상기 첨가물 층(49A) 상을 덮는 제1 마스크 패턴(49M)이 형성될 수 있다. 상기 제1 마스크 패턴(49M)을 식각마스크로 사용하여 상기 첨가물 층(49A)을 식각하여 제2 영역(SP) 및 제6 영역(LN) 내의 상기 제1 게이트 유전층(48)이 노출될 수 있다.
도 32를 참조하면, 상기 제1 마스크 패턴(49M)이 제거될 수 있다. 어닐링(Annealing)공정을 이용하여 상기 첨가물 층(49A)내의 금속 물질을 상기 제1 게이트 유전층(48) 내부로 주입하여 제2 게이트 유전층(49)이 형성될 수 있다. 일 실시예에서, 상기 제2 게이트 유전층(49)은 HfLaO를 포함할 수 있다.
상기 제2 게이트 유전층(49)은 상기 제1 영역(LP), 상기 제3 영역(RP), 상기 제4 영역(RN), 및 상기 제5 영역(SN) 내의 상기 계면 유전층(47) 상에 형성될 수 있다. 상기 제1 게이트 유전층(48)은 상기 제2 영역(SP) 및 상기 제6 영역(LN) 내의 상기 계면 유전층(47) 상에 보존될 수 있다.
도 33을 참조하면, 질소 주입 공정을 이용하여 상기 제1 게이트 유전층(48) 및 상기 제2 게이트 유전층(49) 내에 질소가 주입될 수 있다. 상기 제1 게이트 유전층(48)은 Hf, O, 및 N을 포함할 수 있다. 상기 제2 게이트 유전층(49)은 Hf, La, O, 및 N을 포함할 수 있다. 일 실시예에서, 상기 제1 게이트 유전층(48)은 HfON 을 포함할 수 있다. 상기 제2 게이트 유전층(49)은 HfLaON을 포함할 수 있다.
도 34를 참조하면, 상기 제1 게이트 유전층(48) 및 상기 제2 게이트 유전층(49) 상에 제1 층(61)이 형성될 수 있다. 상기 제1 층(61)은 Ti, O, 및 N을 포함할 수 있다. 일 실시예에서, 상기 제1 층(61)은 TiON을 포함할 수 있다. 상기 제1 층(61)은 0.7nm 내지 2nm의 두께를 가질 수 있다.
도 35를 참조하면, 상기 제1 층(61) 상에 제2 층(62)이 형성될 수 있다. 상기 제2 층(62)은 Ti 및 N을 포함할 수 있다. 일 실시예에서, 상기 제2 층(62)은 TiN을 포함할 수 있다. 상기 제2 층(62)은 0.7nm 내지 2nm의 두께를 가질 수 있다.
도 36을 참조하면, 상기 제1 영역(LP) 및 상기 제2 영역(SP) 내의 상기 제2 층(62) 상을 덮는 제2 마스크 패턴(62M)이 형성될 수 있다. 상기 제2 마스크 패턴(62M)을 식각마스크로 사용하여 상기 제2 층(62) 및 상기 제1 층(61)을 식각하여 상기 제3 영역(RP), 상기 제4 영역(RN), 및 상기 제5 영역(SN) 내의 상기 제2 게이트 유전층(49)이 노출될 수 있으며, 상기 제6 영역(LN) 내의 상기 제1 게이트 유전층(48)이 노출될 수 있다. 상기 제1 층(61) 및 상기 제2 층(62)은 상기 제1 영역(LP) 및 상기 제2 영역(SP) 내에 보존될 수 있다.
도 37을 참조하면, 상기 제2 마스크 패턴(62M)이 제거될 수 있다. 상기 제2 층(62), 상기 제2 게이트 유전층(49), 및 상기 제1 게이트 유전층(48) 상에 제3 층(63)이 형성될 수 있다. 상기 제3 층(63)은 Ti 및 N을 포함할 수 있다. 일 실시예에서, 상기 제3 층(63)은 TiN을 포함할 수 있다. 상기 제3 층(63)은 0.7nm 내지 2nm의 두께를 가질 수 있다.
도 38을 참조하면, 산소 주입 공정을 이용하여 상기 제3 층(63) 내에 산소가 주입될 수 있다. 상기 제3 층(63)은 Ti, O, 및 N을 포함할 수 있다. 일 실시예에서, 상기 제3 층(63)은 TiON을 포함할 수 있다.
상기 산소 주입 공정이 수행되는 동안 상기 제2 층(62) 내에 산소가 주입될 수 있다. 상기 제2 층(62)은 Ti, O, 및 N을 포함할 수 있다. 일 실시예에서, 상기 제2 층(62)은 TiON을 포함할 수 있다.
도 39를 참조하면, 상기 제1 영역(LP), 상기 제2 영역(SP), 상기 제3 영역(RP), 상기 제5 영역(SN), 및 상기 제6 영역(LN) 내의 상기 제3 층(63) 상을 덮는 제3 마스크 패턴(63M)이 형성될 수 있다. 상기 제3 마스크 패턴(63M)을 식각마스크로 사용하여 상기 제3 층(63)을 식각하여 상기 제4 영역(RN) 내에 상기 제2 게이트 유전층(49)이 노출될 수 있다.
도 40을 참조하면, 상기 제3 마스크 패턴(63M)이 제거될 수 있다. 상기 제3 층(63) 및 상기 제2 게이트 유전층(49) 상에 제4 층(64)이 형성될 수 있다. 상기 제4 층(64)은 Ti 및 N을 포함할 수 있다. 일 실시예에서, 상기 제4 층(64)은 TiN을 포함할 수 있다. 상기 제4 층(64)은 0.7nm 내지 2nm의 두께를 가질 수 있다.
도 41을 참조하면, 상기 제1 영역(LP), 상기 제2 영역(SP), 상기 제3 영역(RP), 및 상기 제4 영역(RN) 내의 상기 제4 층(64) 상을 덮는 제4 마스크 패턴(64M)이 형성될 수 있다. 상기 제4 마스크 패턴(64M)을 식각마스크로 사용하여 상기 제4 층(64) 및 상기 제3 층(63)을 식각하여 상기 제5 영역(SN) 내에 상기 제2 게이트 유전층(49)이 노출될 수 있으며, 상기 제6 영역(LN) 내에 상기 제1 게이트 유전층(48)이 노출될 수 있다.
도 42를 참조하면, 상기 제4 마스크 패턴(64M)을 제거하여 상기 제4 층(64)이 노출될 수 있다. 상기 제4 층(64), 상기 제2 게이트 유전층(49) 및 상기 제1 게이트 유전층(48) 상에 제5 층(65)이 형성될 수 있다. 상기 제5 층(65)은 TiAlC를 포함할 수 있다. 상기 제5 층(65)은 0.7nm 내지 2nm의 두께를 가질 수 있다.
도 43을 참조하면, 상기 제5 층(65) 상에 제1 게이트 도전층(72)이 형성될 수 있다. 상기 제1 게이트 도전층(72)은 TiN을 포함할 수 있다. 상기 제1 게이트 도전층(72)의 두께는 상기 제5 층(65)의 2배 내지 1000배 두꺼울 수 있다.
도 1을 다시 한번 참조하면, 상기 제1 게이트 도전층(72) 상에 제2 게이트 도전층(74)이 형성될 수 있다. 상기 제2 게이트 도전층(74)은 금속, 금속 질화물, 금속 산화물, 금속 실리사이드, 도전성 카본, 폴리실리콘, 또는 이들의 조합을 포함할 수 있다. 예를들면, 상기 제2 게이트 도전층(74)은 W 층을 포함할 수 있다. 상기 제2 게이트 도전층(74) 상에 게이트 캐핑 층(76)이 형성될 수 있다. 상기 게이트 캐핑 층(76)은 실리콘 산화물, 실리콘 질화물, 실리콘 산질화물, 실리콘 붕소 질화물(SiBN), 실리콘 탄소 질화물 (SiCN), 로우-케이 유전물, 하이-케이 유전물, 또는 이들의 조합을 포함할 수 있다. 예를들면, 상기 게이트 캐핑 층(76)은 실리콘 질화물을 포함할 수 있다.
이상, 첨부된 도면을 참조하여 본 발명의 기술적 사상에 따른 실시예들을 설명하였지만, 본 발명이 속하는 기술 분야에서 통상의 지식을 가진 자는 본 발명이 그 기술적 사상이나 필수적인 특징을 변경하지 않고서 다른 구체적인 형태로 실시될 수 있다는 것을 이해할 수 있을 것이다. 이상에서 기술한 실시예는 모든 면에서 예시적인 것이며 한정적이 아닌 것으로 이해하여야 한다.
21: 기판 23: 소자 분리층
41, 42, 43, 44, 45, 46: 활성 영역 47: 계면 유전층
48: 제1 게이트 유전층 49: 제2 게이트 유전층
55, 59: 소스/드레인 영역 61: 제1 층
62: 제2 층 63: 제3 층
64: 제4 층 65: 제5 층
72: 제1 게이트 도전층 74: 제2 게이트 도전층
76: 게이트 캐핑 층 78: 게이트 스페이서
79: 층간 절연층 88: 내부 스페이서
G1, G2, G3, G4, G5, G6: 게이트 전극
WF1, WF2, WF3, WF4, WF5, WF6: 일 함수 층(Work Function Layer)
TR1, TR2, TR3, TR4, TR5, TR6: 트랜지스터

Claims (10)

  1. 기판 상의 제1 문턱 전압을 갖는 제1 트랜지스터; 및
    상기 기판 상의 상기 제1 문턱 전압과 다른 제2 문턱 전압을 갖는 제2 트랜지스터를 포함하되,
    상기 제1 트랜지스터는
    상기 기판 상에 한정된 제1 N형 활성 영역;
    상기 제1 N형 활성 영역을 가로지르고 제1 일 함수 층(Work Function Layer)을 갖는 제1 게이트 전극; 및
    상기 제1 N형 활성 영역 및 상기 제1 게이트 전극 사이의 La을 함유하는 고-유전물(High-K Dielectrics)을 갖는 제1 게이트 유전층을 포함하고,
    상기 제1 일 함수 층은 상기 제1 게이트 유전층 상의 TiON을 갖는 제1 층, 상기 제1 층 상의 TiN 또는 TiON을 갖는 제2 층, 상기 제2 층 상의 TiON을 갖는 제3 층, 상기 제3 층 상의 TiN을 갖는 제4 층, 그리고 상기 제4 층 상의 TiAlC를 갖는 제5 층을 포함하고,
    상기 제2 트랜지스터는
    상기 기판 상에 한정된 제1 P형 활성 영역;
    상기 제1 P형 활성 영역을 가로지르고 제2 일 함수 층을 갖는 제2 게이트 전극; 및
    상기 제1 P형 활성 영역 및 상기 제2 게이트 전극 사이의 고-유전물을 갖는 제2 게이트 유전층을 포함하고,
    상기 제2 일 함수 층은 상기 제2 게이트 유전층에 직접적으로 접촉된 상기 제5 층을 포함하는 반도체 소자.
  2. 제1 항에 있어서,
    상기 제1 게이트 유전층은 Hf, La, O, 및 N을 포함하고,
    상기 제2 게이트 유전층은 Hf, O, 및 N을 포함하는 반도체 소자.
  3. 제1 항에 있어서,
    상기 제1 일 함수 층은 상기 제1 N형 활성 영역의 상면 및 측면을 덮고,
    상기 제1 일 함수 층의 최 하단은 상기 제1 N형 활성 영역의 최 상단보다 상기 기판의 하면에 가깝게 배치되며,
    상기 제2 일 함수 층은 상기 제1 P형 활성 영역의 상면 및 측면을 덮고,
    상기 제2 일 함수 층의 최 하단은 상기 제1 P형 활성 영역의 최 상단보다 상기 기판의 하면에 가깝게 배치된 반도체 소자.
  4. 제1 항에 있어서,
    상기 제1 층은 상기 제1 게이트 유전층에 직접적으로 접촉된 반도체 소자.
  5. 제1 항에 있어서,
    상기 제1 게이트 유전층은 상기 제1 일 함수 층의 측면 상에 연장되며,
    상기 제1 게이트 유전층의 최 상단은 상기 제1 일 함수 층의 최 하단보다 상기 기판의 하면에서 멀리 떨어지고,
    상기 제2 게이트 유전층은 상기 제2 일 함수 층의 측면 상에 연장되며,
    상기 제2 게이트 유전층의 최 상단은 상기 제2 일 함수 층의 최 하단보다 상기 기판의 하면에서 멀리 떨어지는 반도체 소자.
  6. 제1 항에 있어서,
    상기 제1 게이트 전극 및 상기 제2 게이트 전극의 각각은
    상기 제5 층 상의 TiN을 갖는 제1 게이트 도전층; 및
    상기 제1 게이트 도전층 상의 W을 갖는 제2 게이트 도전층을 더 포함하는 반도체 소자.
  7. 제1 항에 있어서,
    상기 기판 상의 상기 제1 문턱 전압과 다른 제3 문턱 전압을 갖는 제3 트랜지스터를 더 포함하되,
    상기 제3 문턱 전압의 절대값은 상기 제1 문턱 전압의 절대값보다 작고,
    상기 제3 트랜지스터는
    상기 기판 상에 한정된 제2 N형 활성 영역;
    상기 제2 N형 활성 영역을 가로지르고 제3 일 함수 층을 갖는 제3 게이트 전극; 및
    상기 제2 N형 활성 영역 및 상기 제3 게이트 전극 사이의 상기 제2 게이트 유전층을 포함하고,
    상기 제3 일 함수 층은 상기 제2 게이트 유전층 상의 상기 제1 층, 상기 제1 층 상의 상기 제2 층, 상기 제2 층 상의 상기 제3 층, 상기 제3 층 상의 상기 제4 층, 그리고 상기 제4 층 상의 상기 제5 층을 포함하는 반도체 소자.
  8. 제1 항에 있어서,
    상기 기판 상의 상기 제1 문턱 전압과 다른 제4 문턱 전압을 갖는 제4 트랜지스터를 더 포함하되,
    상기 제4 문턱 전압의 절대값은 상기 제1 문턱 전압의 절대값보다 크고,
    상기 제4 트랜지스터는
    상기 기판 상에 한정된 제3 N형 활성 영역;
    상기 제3 N형 활성 영역을 가로지르고 제4 일 함수 층을 갖는 제4 게이트 전극; 및
    상기 제3 N형 활성 영역 및 상기 제4 게이트 전극 사이의 상기 제1 게이트 유전층을 포함하고,
    상기 제4 일 함수 층은 상기 제1 게이트 유전층 상의 상기 제3 층, 상기 제3 층 상의 상기 제4 층, 그리고 상기 제4 층 상의 상기 제5 층을 포함하는 반도체 소자.
  9. 기판 상의 제1 문턱 전압을 갖는 제1 트랜지스터; 및
    상기 기판 상의 상기 제1 문턱 전압과 다른 제2 문턱 전압을 갖는 제2 트랜지스터를 포함하되,
    상기 제1 트랜지스터는
    상기 기판 상에 수직 정렬된 다수의 제1 N형 활성 패턴을 갖는 제1 N형 활성 영역;
    상기 제1 N형 활성 영역을 가로지르고 제1 일 함수 층을 갖는 제1 게이트 전극; 및
    상기 제1 N형 활성 영역 및 상기 제1 게이트 전극 사이의 La을 함유하는 고-유전물을 갖는 제1 게이트 유전층을 포함하고,
    상기 제1 일 함수 층은 상기 제1 게이트 유전층 상의 TiON을 갖는 제1 층, 상기 제1 층 상의 TiN 또는 TiON을 갖는 제2 층, 상기 제2 층 상의 TiON을 갖는 제3 층, 상기 제3 층 상의 TiN을 갖는 제4 층, 그리고 상기 제4 층 상의 TiAlC를 갖는 제5 층을 포함하고,
    상기 제2 트랜지스터는
    상기 기판 상에 수직 정렬된 다수의 제1 P형 활성 패턴을 갖는 제1 P형 활성 영역;
    상기 제1 P형 활성 영역을 가로지르고 제2 일 함수 층을 갖는 제2 게이트 전극; 및
    상기 제1 P형 활성 영역 및 상기 제2 게이트 전극 사이의 고-유전물을 갖는 제2 게이트 유전층을 포함하고,
    상기 제2 일 함수 층은 상기 제2 게이트 유전층에 직접적으로 접촉된 상기 제5 층을 포함하는 반도체 소자.
  10. 기판 상의 서로 이격된 제1 내지 제3 N형 활성 영역 및 제1 내지 제3 P형 활성 영역;
    상기 제1 N형 활성 영역을 가로지르고 제1 일 함수 층을 갖는 제1 게이트 전극;
    상기 제1 P형 활성 영역을 가로지르고 제2 일 함수 층을 갖는 제2 게이트 전극;
    상기 제2 N형 활성 영역을 가로지르고 제3 일 함수 층을 갖는 제3 게이트 전극;
    상기 제3 N형 활성 영역을 가로지르고 제4 일 함수 층을 갖는 제4 게이트 전극;
    상기 제2 P형 활성 영역을 가로지르고 제5 일 함수 층을 갖는 제5 게이트 전극;
    상기 제3 P형 활성 영역을 가로지르고 제6 일 함수 층을 갖는 제6 게이트 전극;
    상기 제1 N형 활성 영역 및 상기 제1 게이트 전극 사이와, 상기 제3 N형 활성 영역 및 상기 제4 게이트 전극 사이와, 상기 제2 P형 활성 영역 및 상기 제5 게이트 전극 사이와, 그리고 상기 제3 P형 활성 영역 및 상기 제6 게이트 전극 사이의 La을 함유하는 고-유전물을 갖는 제1 게이트 유전층; 및
    상기 제1 P형 활성 영역 및 상기 제2 게이트 전극 사이와, 그리고 상기 제2 N형 활성 영역 및 상기 제3 게이트 전극 사이의 고-유전물을 갖는 제2 게이트 유전층을 포함하되,
    상기 제1 일 함수 층은 상기 제1 게이트 유전층 상의 제1 층, 상기 제1 층 상의 제2 층, 상기 제2 층 상의 제3 층, 상기 제3 층 상의 제4 층, 그리고 상기 제4 층 상의 제5 층을 포함하고,
    상기 제2 일 함수 층은 상기 제2 게이트 유전층 상에 직접적으로 접촉된 상기 제5 층을 포함하고,
    상기 제3 일 함수 층은 상기 제2 게이트 유전층 상의 상기 제1 층, 상기 제1 층 상의 상기 제2 층, 상기 제2 층 상의 상기 제3 층, 상기 제3 층 상의 상기 제4 층, 그리고 상기 제4 층 상의 상기 제5 층을 포함하고,
    상기 제4 일 함수 층은 상기 제1 게이트 유전층 상의 상기 제3 층, 상기 제3 층 상의 상기 제4 층, 그리고 상기 제4 층 상의 상기 제5 층을 포함하고,
    상기 제5 일 함수 층은 상기 제1 게이트 유전층 상의 상기 제4 층, 그리고 상기 제4 층 상의 상기 제5 층을 포함하고,
    상기 제6 일 함수 층은 상기 제1 게이트 유전층 상에 직접적으로 접촉된 상기 제5 층을 포함하되,
    상기 제1 층은 TiON을 포함하고,
    상기 제2 층은 TiN 또는 TiON을 포함하고,
    상기 제3 층은 TiON을 포함하고,
    상기 제4 층은 TiN을 포함하고,
    상기 제5 층은 TiAlC를 포함하는 반도체 소자.
KR1020200074713A 2020-06-19 2020-06-19 일 함수 층들을 갖는 반도체 소자들 KR20210156985A (ko)

Priority Applications (3)

Application Number Priority Date Filing Date Title
KR1020200074713A KR20210156985A (ko) 2020-06-19 2020-06-19 일 함수 층들을 갖는 반도체 소자들
US17/101,472 US11380686B2 (en) 2020-06-19 2020-11-23 Semiconductor devices including work function layers
TW110102034A TW202201724A (zh) 2020-06-19 2021-01-20 包含功函數層的半導體裝置

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020200074713A KR20210156985A (ko) 2020-06-19 2020-06-19 일 함수 층들을 갖는 반도체 소자들

Publications (1)

Publication Number Publication Date
KR20210156985A true KR20210156985A (ko) 2021-12-28

Family

ID=79022426

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020200074713A KR20210156985A (ko) 2020-06-19 2020-06-19 일 함수 층들을 갖는 반도체 소자들

Country Status (3)

Country Link
US (1) US11380686B2 (ko)
KR (1) KR20210156985A (ko)
TW (1) TW202201724A (ko)

Family Cites Families (88)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4114049A (en) 1972-02-25 1978-09-12 Tokyo Shibaura Electric Co., Ltd. Counter provided with complementary field effect transistor inverters
US4016476A (en) 1972-09-20 1977-04-05 Citizen Watch Co., Ltd. Booster circuits
US4169990A (en) 1974-06-24 1979-10-02 General Electric Company Electronically commutated motor
US4074351A (en) 1974-12-02 1978-02-14 Texas Instruments Incorporated Variable function programmed calculator
US4080600A (en) 1976-05-20 1978-03-21 Tull Aviation Corporation Scanning beam radio navigation method and apparatus
US4168459A (en) 1977-10-25 1979-09-18 Precise Power Corporation Non-interruptible power supply systems
US4201976A (en) 1977-12-23 1980-05-06 International Business Machines Corporation Plural channel error correcting methods and means using adaptive reallocation of redundant channels among groups of channels
US4255789A (en) 1978-02-27 1981-03-10 The Bendix Corporation Microprocessor-based electronic engine control system
US4412285A (en) 1981-04-01 1983-10-25 Teradata Corporation Multiprocessor intercommunication system and method
US4514694A (en) 1981-07-23 1985-04-30 Curtis Instruments Quiescent battery testing method and apparatus
US4706133A (en) 1982-04-15 1987-11-10 Discovision Associates Method and apparatus for recovering information from a videodisc
US4486739A (en) 1982-06-30 1984-12-04 International Business Machines Corporation Byte oriented DC balanced (0,4) 8B/10B partitioned block transmission code
US4709293A (en) 1983-12-05 1987-11-24 Leviton Manufacturing Company, Inc. Shock hazard protection system
US4540921A (en) 1984-04-19 1985-09-10 General Electric Company Laundry apparatus and method of controlling such
US6113701A (en) 1985-02-14 2000-09-05 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device, manufacturing method, and system
US4761768A (en) 1985-03-04 1988-08-02 Lattice Semiconductor Corporation Programmable logic device
US5128984A (en) 1985-07-10 1992-07-07 First Data Resources Inc. Telephone interface call processing system with call selectivity
US4721853A (en) 1986-01-31 1988-01-26 Schlumberger Technology Corporation Thermal decay time logging method and apparatus
US4750036A (en) 1986-05-14 1988-06-07 Radio Telcom & Technology, Inc. Interactive television and data transmission system
US4985832A (en) 1986-09-18 1991-01-15 Digital Equipment Corporation SIMD array processing system with routing networks having plurality of switching stages to transfer messages among processors
US5477165A (en) 1986-09-19 1995-12-19 Actel Corporation Programmable logic module and architecture for field programmable gate array device
US4841925A (en) 1986-12-22 1989-06-27 Combustion Electromagnetics, Inc. Enhanced flame ignition for hydrocarbon fuels
US5038320A (en) 1987-03-13 1991-08-06 International Business Machines Corp. Computer system with automatic initialization of pluggable option cards
US4860290A (en) 1987-06-02 1989-08-22 Texas Instruments Incorporated Logic circuit having individually testable logic modules
JP2685770B2 (ja) 1987-12-28 1997-12-03 株式会社東芝 不揮発性半導体記憶装置
US5270979A (en) 1991-03-15 1993-12-14 Sundisk Corporation Method for optimum erasing of EEPROM
US6222762B1 (en) 1992-01-14 2001-04-24 Sandisk Corporation Multi-state memory
US5583457A (en) 1992-04-14 1996-12-10 Hitachi, Ltd. Semiconductor integrated circuit device having power reduction mechanism
US6081757A (en) 1995-06-07 2000-06-27 Automotive Technologies International, Inc. Seated-state detecting apparatus
TW224553B (en) 1993-03-01 1994-06-01 Sony Co Ltd Method and apparatus for inverse discrete consine transform and coding/decoding of moving picture
US5342034A (en) 1993-04-27 1994-08-30 Xerox Corporation Mailbox/compiler architecture
WO1995010225A1 (en) 1993-10-15 1995-04-20 Ep Technologies, Inc. Multiple electrode element for mapping and ablating
JP3523718B2 (ja) 1995-02-06 2004-04-26 株式会社ルネサステクノロジ 半導体装置
US5892900A (en) 1996-08-30 1999-04-06 Intertrust Technologies Corp. Systems and methods for secure transaction management and electronic rights protection
US6297627B1 (en) 1996-01-17 2001-10-02 Allegro Microsystems, Inc. Detection of passing magnetic articles with a peak-to-peak percentage threshold detector having a forcing circuit and automatic gain control
CN1179348C (zh) 1996-11-07 2004-12-08 皇家菲利浦电子有限公司 比特流信号的数据处理
US6618048B1 (en) 1999-10-28 2003-09-09 Nintendo Co., Ltd. 3D graphics rendering system for performing Z value clamping in near-Z range to maximize scene resolution of visually important Z components
KR100676354B1 (ko) 2000-03-02 2007-01-31 산요덴키가부시키가이샤 가변 저항 회로, 연산 증폭 회로, 반도체 집적 회로,시상수 전환 회로 및 파형 성형 회로
US6545549B2 (en) 2000-03-02 2003-04-08 Texas Instruments Incorporated Remotely controllable phase locked loop clock circuit
TW521237B (en) 2000-04-18 2003-02-21 Semiconductor Energy Lab Light emitting device
US6583972B2 (en) 2000-06-15 2003-06-24 Sarnoff Corporation Multi-finger current ballasting ESD protection circuit and interleaved ballasting for ESD-sensitive circuits
JP4831889B2 (ja) 2000-06-22 2011-12-07 株式会社半導体エネルギー研究所 表示装置
EP2272688B1 (en) 2000-07-26 2012-02-01 Bridgestone Americas Tire Operations, LLC Electronic tire management system
US7161476B2 (en) 2000-07-26 2007-01-09 Bridgestone Firestone North American Tire, Llc Electronic tire management system
WO2002095077A2 (en) 2000-11-14 2002-11-28 California Institute Of Technology Methods and apparatus for using large inertial body forces to identify, process and manufacture multicomponent bulk metallic glass forming alloys, and components fabricated therefrom
US6855929B2 (en) 2000-12-01 2005-02-15 Ebara Corporation Apparatus for inspection with electron beam, method for operating same, and method for manufacturing semiconductor device using former
KR100752602B1 (ko) 2001-02-13 2007-08-29 삼성전자주식회사 쉬프트 레지스터와, 이를 이용한 액정 표시 장치
US7136058B2 (en) 2001-04-27 2006-11-14 Kabushiki Kaisha Toshiba Display apparatus, digital-to-analog conversion circuit and digital-to-analog conversion method
US7170802B2 (en) 2003-12-31 2007-01-30 Sandisk Corporation Flexible and area efficient column redundancy for non-volatile memories
US6624699B2 (en) 2001-10-25 2003-09-23 Broadcom Corporation Current-controlled CMOS wideband data amplifier circuits
US6867638B2 (en) 2002-01-10 2005-03-15 Silicon Storage Technology, Inc. High voltage generation and regulation system for digital multilevel nonvolatile memory
TWI276031B (en) 2002-03-01 2007-03-11 Semiconductor Energy Lab Display device, light emitting device, and electronic equipment
WO2003104879A2 (en) 2002-06-01 2003-12-18 Samsung Electronics Co., Ltd. Shift register, liquid crystal display device having the shift register and method of driving scan lines using the same
US6998722B2 (en) 2002-07-08 2006-02-14 Viciciv Technology Semiconductor latches and SRAM devices
US7399401B2 (en) 2002-10-09 2008-07-15 Abbott Diabetes Care, Inc. Methods for use in assessing a flow condition of a fluid
US7084666B2 (en) 2002-10-21 2006-08-01 Viciciv Technology Programmable interconnect structures
US6894463B2 (en) 2002-11-14 2005-05-17 Fyre Storm, Inc. Switching power converter controller configured to provide load shedding
US7153454B2 (en) 2003-01-21 2006-12-26 University Of Southern California Multi-nozzle assembly for extrusion of wall
US6930059B2 (en) 2003-02-27 2005-08-16 Sharp Laboratories Of America, Inc. Method for depositing a nanolaminate film by atomic layer deposition
US7761800B2 (en) 2004-06-25 2010-07-20 Apple Inc. Unified interest layer for user interface
US7358806B2 (en) 2004-07-08 2008-04-15 Amalfi Semiconductor, Inc. Method and apparatus for an improved power amplifier
US7403428B2 (en) 2005-03-31 2008-07-22 Sandisk Corporation Systems for erasing non-volatile memory utilizing changing word line conditions to compensate for slower erasing memory cells
US8262900B2 (en) 2006-12-14 2012-09-11 Life Technologies Corporation Methods and apparatus for measuring analytes using large scale FET arrays
US8838481B2 (en) 2011-07-26 2014-09-16 Golba Llc Method and system for location based hands-free payment
US8626223B2 (en) 2008-05-07 2014-01-07 At&T Mobility Ii Llc Femto cell signaling gating
US8244962B2 (en) 2009-04-08 2012-08-14 Google Inc. Command processor for a data storage device
US7986042B2 (en) 2009-04-14 2011-07-26 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8299494B2 (en) 2009-06-12 2012-10-30 Alpha & Omega Semiconductor, Inc. Nanotube semiconductor devices
CN103039057B (zh) 2010-03-31 2015-08-19 安全第一公司 对移动中数据进行保护的系统和方法
US8759872B2 (en) 2010-06-22 2014-06-24 Suvolta, Inc. Transistor with threshold voltage set notch and method of fabrication thereof
US10249379B2 (en) 2010-08-20 2019-04-02 Attopsemi Technology Co., Ltd One-time programmable devices having program selector for electrical fuses with extended area
US9340353B2 (en) 2012-09-27 2016-05-17 Oren Technologies, Llc Methods and systems to transfer proppant for fracking with reduced risk of production and release of silica dust at a well site
US8829993B2 (en) 2012-10-30 2014-09-09 Eta Devices, Inc. Linearization circuits and methods for multilevel power amplifier systems
US9219445B2 (en) 2012-12-28 2015-12-22 Peregrine Semiconductor Corporation Optimization methods for amplifier with variable supply power
US9716477B2 (en) 2012-12-28 2017-07-25 Peregrine Semiconductor Corporation Bias control for stacked transistor configuration
WO2014113736A1 (en) 2013-01-17 2014-07-24 Edico Genome Corp. Bioinformatics systems, apparatuses, and methods executed on an integrated circuit processing platform
US10314077B2 (en) 2013-05-20 2019-06-04 Qualcomm Incorporated Gating scheme for wireless communication over unlicensed spectrum
KR102089682B1 (ko) 2013-07-15 2020-03-16 삼성전자 주식회사 반도체 장치 및 이의 제조 방법
US8971124B1 (en) 2013-08-08 2015-03-03 Micron Technology, Inc. Apparatuses and methods for performing logical operations using sensing circuitry
US9312145B2 (en) 2014-03-07 2016-04-12 Globalfoundries Inc. Conformal nitridation of one or more fin-type transistor layers
KR102235612B1 (ko) * 2015-01-29 2021-04-02 삼성전자주식회사 일-함수 금속을 갖는 반도체 소자 및 그 형성 방법
KR102395061B1 (ko) 2015-07-02 2022-05-10 삼성전자주식회사 반도체 장치 및 그 제조 방법
US9859392B2 (en) 2015-09-21 2018-01-02 Samsung Electronics Co., Ltd. Integrated circuit device and method of manufacturing the same
CN108122844B (zh) * 2016-11-30 2020-06-09 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法
KR102293127B1 (ko) 2017-06-23 2021-08-26 삼성전자주식회사 반도체 소자 및 이의 제조 방법
KR20190034822A (ko) 2017-09-25 2019-04-03 삼성전자주식회사 반도체 장치
KR102481476B1 (ko) * 2017-11-17 2022-12-26 삼성전자 주식회사 반도체 소자
US11374114B2 (en) * 2020-03-25 2022-06-28 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method for forming the same

Also Published As

Publication number Publication date
US20210398978A1 (en) 2021-12-23
TW202201724A (zh) 2022-01-01
US11380686B2 (en) 2022-07-05

Similar Documents

Publication Publication Date Title
US11004847B2 (en) Semiconductor device and fabricating the same
US20230387304A1 (en) Method of fabricating a source/drain recess in a semiconductor device
US9768277B2 (en) Method and apparatus of forming an integrated circuit with a strained channel region
CN104934474B (zh) 组合FinFET及其形成方法
US8384167B2 (en) Semiconductor device with field effect transistor and manufacturing method thereof
US9922993B2 (en) Transistor with self-aligned source and drain contacts and method of making same
US8648422B2 (en) Semiconductor device with hetero junction
CN107887272A (zh) 半导体结构及其形成方法
CN109860184A (zh) 半导体元件
US9799569B2 (en) Method of forming field effect transistors (FETs) with abrupt junctions and integrated circuit chips with the FETs
US20120256265A1 (en) Semiconductor device and manufacturing method thereof
US11830878B2 (en) Structure and method for gate-all-around metal-oxide-semiconductor devices with improved channel configurations
KR101033700B1 (ko) 동일 기판 상에 도전 타입이 같은 로우 및 하이 퍼포먼스장치를 갖는 반도체 장치 구조
US20060228862A1 (en) Fet design with long gate and dense pitch
JP3998665B2 (ja) 半導体装置およびその製造方法
US11798949B2 (en) Semiconductor devices having multi-channel active regions and methods of forming same
KR20210156985A (ko) 일 함수 층들을 갖는 반도체 소자들
CN103578953B (zh) 半导体集成电路制造的方法
US20210098311A1 (en) Semiconductor Device With Tunable Epitaxy Structures And Method Of Forming The Same
US20220359308A1 (en) Semiconductor Device With Tunable Epitaxy Structures And Method Of Forming The Same
US20230420544A1 (en) Method of forming a semiconductor device
US20230411220A1 (en) Post gate dielectric processing for semiconductor device fabrication
KR20220158340A (ko) 게이트 구조체를 갖는 반도체 소자들 및 그 형성 방법
KR20230048184A (ko) 반도체 소자
CN117673149A (zh) 半导体装置及其形成方法