JP2004260168A - ナノラミネート膜の原子層堆積 - Google Patents

ナノラミネート膜の原子層堆積 Download PDF

Info

Publication number
JP2004260168A
JP2004260168A JP2004042166A JP2004042166A JP2004260168A JP 2004260168 A JP2004260168 A JP 2004260168A JP 2004042166 A JP2004042166 A JP 2004042166A JP 2004042166 A JP2004042166 A JP 2004042166A JP 2004260168 A JP2004260168 A JP 2004260168A
Authority
JP
Japan
Prior art keywords
metal
precursor
containing precursor
oxide
chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2004042166A
Other languages
English (en)
Other versions
JP4158975B2 (ja
Inventor
John F Conley Jr
エフ. コンリー, ジュニア ジョン
Ono Yoshi
オノ ヨシ
Rajendra Solanki
ソランキー ラジェンドラ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Sharp Corp
Original Assignee
Sharp Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sharp Corp filed Critical Sharp Corp
Publication of JP2004260168A publication Critical patent/JP2004260168A/ja
Application granted granted Critical
Publication of JP4158975B2 publication Critical patent/JP4158975B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45529Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making a layer stack of alternating different compositions or gradient compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28185Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation with a treatment, e.g. annealing, after the formation of the gate insulator and before the formation of the definitive gate conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28202Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation in a nitrogen-containing ambient, e.g. nitride deposition, growth, oxynitridation, NH3 nitridation, N2O oxidation, thermal nitridation, RTN, plasma nitridation, RPN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3141Deposition using atomic layer deposition techniques [ALD]
    • H01L21/3142Deposition using atomic layer deposition techniques [ALD] of nano-laminates, e.g. alternating layers of Al203-Hf02
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/66583Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with initial gate mask or masking layer complementary to the prospective gate location, e.g. with dummy source and drain contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02194Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing more than one metal element
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31616Deposition of Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31645Deposition of Hafnium oxides, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4908Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET for thin film semiconductor, e.g. gate of TFT
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Nanotechnology (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)
  • Semiconductor Memories (AREA)

Abstract

【課題】 酸化剤工程をなくすことによって堆積方法を大幅に簡略化し、さらに、ナノラミネート膜の質を向上させること。
【解決手段】 酸化物ナノラミネート薄膜を堆積する原子層堆積法が提供される。この方法は、第1のプリカーサのニトレート配位子を、第2のプリカーサのための酸化剤として用いて、酸化物ナノラミネートを形成する。窒化ハフニウムプリカーサおよびアルミニウムプリカーサを用いることによって、この方法は、水素終端処理されたシリコン表面上に、ゲート誘電体またはキャパシタ誘電体に用いるHigh−k酸化ハフニウム/酸化アルミニウムナノラミネート誘電体を堆積することによく適している。
【選択図】 図2

Description

本発明は、窒化金属ではない別の金属を含むプリカーサに対して酸化剤として窒化金属を含むプリカーサを用いることによって、金属酸化物ナノラミネート膜を堆積する原子層堆積(ALD)法に関する。本発明は、冶金学的および生物医学的用途のための電気光学構造、光学コーティング、およびパシベーションコーティングを含む、多くの用途に用いられ得る。特に、本発明は、集積回路製造、具体的には、半導体トランジスタ用のゲート絶縁体、および、メモリ回路用のキャパシタ誘電体に関する。
集積回路内の半導体デバイスにおける誘電体材料は、電界効果トランジスタ(FET)内のゲート誘電体およびダイナミックランダムアクセスメモリ(DRAM)内のキャパシタ誘電体として現れる。これらの誘電体の寸法は、半導体デバイスの性能に直接関わる。より速い応答およびより複雑な機能を達成するため、今日の世代の集積回路は、全ての方向(横方向のサイズおよび厚さ)においてますます小さくなっている。
ダイナミックランダムアクセスメモリ(DRAM)デバイスの性能は、DRAMのキャパシタに格納される電荷に関連する。格納される電荷は、キャパシタの面積および誘電率kに正比例し、キャパシタの厚さに反比例する。サイズが減少する場合、高性能DRAMデバイスのための十分なキャパシタンス電荷を維持するためには、キャパシタ誘電体について、kが高い誘電体が非常に好ましい。
半導体デバイスの速度は、電圧が印加された後の電界効果トランジスタ(FET)におけるゲート誘電率の応答に正比例する。ゲート誘電体の応答は、ゲート誘電体の誘電率kに正比例し、ゲート誘電体の厚さtに反比例する。従って、薄くてkが高い誘電体は、ゲート誘電体についても非常に好ましい。
ゲート誘電体の主要な材料は、誘電率が約4の二酸化シリコン(SiO)である。デバイスの寸法がスケールダウンされるにつれ、二酸化シリコンゲート誘電体の厚さは、1.5〜2nmのトンネル限界に達する。1.5nmよりも薄い二酸化シリコン膜は、概して、FETデバイスにおけるゲート誘電体として用いられ得ない。これは、主に、直接トンネル電流からの漏れが多いことに起因する、過剰な電力消費のためである。ボロンの侵入および電荷注入損傷などの、このような非常に薄い二酸化シリコン膜に対する他の製造および信頼性における問題点がある。
多くの高誘電率材料(High−k誘電体)は、二酸化シリコンに代わる見込みがあるとして研究されてきたが、適切な代替物は、依然として発見されていない。これは、例えば、漏れ電流が低いこと、シリコン基板との良好な界面を形成すること、製造プロセスにおいて熱収支が低いこと、およびデバイスのチャネル移動度が高いことなど他に厳しい条件が多いからである。可能性のある候補には、酸化チタン(TiO)、酸化タンタル(Ta、kの値は9〜27)、酸化アルミニウム(Al、kの値は約9)、酸化ジルコニウム(ZrO、kの値は10〜25)、酸化ハフニウム(HfO、kの値は10〜25)、ならびに、多層、多成分、およびナノラミネートなどの各種の組合せおよび混合物が含まれる。
ゲート誘電体への用途のためのHigh−k誘電体材料の現在の有力な候補は、酸化ジルコニウムおよび酸化ハフニウムである。これらの材料のバルク誘電率は、約20〜25である。これは、厚さ約5〜6nmのこれらの材料が、厚さ1nmの二酸化シリコンと均等であることを意味する。
ALDによって堆積された酸化ジルコニウム膜は、誘電率が高いことおよび漏れが低いことを含む良好な絶縁特性を示す。しかし、主な問題点は、酸化ジルコニウム膜が、水素終端処理された表面上に滑らかに直接堆積せず、均一に開始するために、二酸化シリコンの薄層を必要とすることである。例えば、非特許文献1およびこの非特許文献1で引用される文献を参照されたい。薄い二酸化シリコンの界面層があることは、1nmの二酸化シリコン等価厚さを達成することが困難であるため、望ましくない。水素終端処理されたシリコン表面は、自然二酸化シリコンがないシリコン表面であり、シリコンのダングリングボンドは水素で終端処理されている。水素終端処理されたシリコン表面は、産業規格半導体クリーニングプロセスの結果である。これらの標準的なクリーニングプロセスは、典型的には、シリコンウェハを素早くHF溶液に浸漬して、水素終端処理されたシリコン表面を生成することである。これはまた、水素パシベーションとして公知である。
酸化ハフニウムの研究が、滑らかで、均一で、アモルファスである酸化ハフニウム膜が、適切な堆積条件で、水素終端処理されたシリコン表面上に直接堆積され得ることを示す。10.5の誘電率、および2.1nmのキャパシタンス等価厚さは、水素終端処理されたシリコン表面上に直接堆積される5.7nmの厚さの酸化ハフニウムを用いることによって達成された。これは、さらなる研究によって1nm以下の等価厚さをさらに低減できることを示しているので、非常に望ましい特徴である。しかし、堆積された酸化ハフニウム膜には、依然としていくつかの問題がある。そのうちの1つは、結晶温度が低く、半導体デバイスの製造プロセスにおける熱収支がずっと低くなることである。他の問題は、酸化ハフニウムとシリコン基板との間の界面の質が二酸化シリコン/シリコンの界面と比較すると、比較的悪いので、半導体デバイス構造に組み込まれる場合に、チャネル移動度が低くなることである。
交互になっている薄い絶縁体の層が、特性が調節され得る複合膜(または、ナノラミネート)を生成し得ることが示されている。非特許文献2は、Ta−HfO、ZrO−HfO、およびTa−ZrOのナノラミネートは、ナノラミネートの厚さに依存して、異なる特性を示すことを示している。
以前の研究はまた、アルミニウムをドーピングすることによって、High−k誘電体膜の特性が変化し得ることを示している。例えば、特許文献1(この内容が本明細書中で参考として援用される)は、酸化ジルコニウムなどの高誘電率材料にアルミニウムなどの3価の金属をドーピングすることによって結晶化温度が高まり、得られる膜は、高温処理においてもアモルファス状態を保つことを開示している。アルミニウムがドーピングされた酸化ジルコニウム膜は、より均一であるが、わずかに誘電率が低いアモルファス膜を生成する。
プロセスの面では、現行の半導体デバイス構造の基本的な堆積プロセスのうちの1つとして、化学的気相成長法(CVD)がある。CVDにおいて、プリカーサガスまたは蒸気の組合せは、上昇した温度で、ウェハ表面上を流れる。反応は、堆積が行われている熱い表面において起きる。ウェハ表面の温度は、プリカーサの堆積反応と広いウェハ表面上に均一に堆積することとに影響を与えるので、CVDにおける重要なファクターである。CVDは、典型的には、400〜800℃の大きさの高い温度を必要とする。より低い温度で行われるCVDは、均一性と不純さの面において低質の膜を生成する傾向がある。堆積温度を低くするため、プリカーサは、プラズマ化学的気相成長法(PECVD)プロセスにおいて、プラズマエネルギーを用いて励起され得る。CVDプロセスのプリカーサおよびプロセス条件は、粒子発生につながる気相反応を避けるために、注意深く選択される。CVD膜の均一性はまた、プロセス条件の関数であり、概して、非常に薄い膜においては良好ではない。
原子層堆積(ALDまたはALCVD)として公知である他の堆積技術は、気相反応および薄膜均一性の面において、CVD技術と比較して、目覚ましく進歩している。ALDにおいて、プリカーサ蒸気は、プロセスチャンバに、順序を交互にして(すなわち、プリカーサ、パージガス、反応物質、パージガスの順序)注入され、プリカーサが、基板上に吸着し、その後反応物質と反応する。ALDプロセスには様々な変形例があるが、基本的なALDプロセスは全て、以下の2つの明確な特徴を含む。交互にプリカーサを注入すること、およびプリカーサの吸着の飽和である。
ALDプロセスにおいて、プリカーサは、チャンバに送達され、基板表面上に吸着される。吸着温度はCVDプロセスの反応温度より低く、吸着される量はウェハ表面温度の影響をそれほど受けない。その後、プリカーサは遮断され、パージガスがチャンバに送達されて、チャンバ容積内の残りのプリカーサを全てパージする。反応物質は、その後、チャンバに送達されて、吸着されたプリカーサと反応して所望の膜を形成する。その後、他のパージガスがチャンバに送達されて、チャンバ容積内にある残りの反応物質蒸気を全てパージする。蒸気のストリームにおいてプリカーサと反応物質とを交互にすることによって、気相反応の可能性は最小限にされ、CVD技術においては用いることができないプリカーサの多くを用いることを可能にする。また、吸着メカニズムのおかげで、表面が飽和した後はさらなるプリカーサおよび反応物質が吸着または反応することなく、ただ排出されるので、堆積された膜は非常に均一である。
ALDのプリカーサの要件は、異なる堆積メカニズムのおかげで、CVDのプリカーサの要件とは異なる。ALDプリカーサは、プリカーサの単分子層のみが基板に吸着されるという自己制限的な影響を与える必要がある。この自己制限的な影響に起因して、1周期につき1つの単分子層のみまたはサブ単分子層が堆積され、過剰なプリカーサまたはさらなる時間が供給される場合でさえ、さらなるプリカーサは、成長した層に堆積されない。ALD用に設計されるプリカーサは、堆積された表面上の結合部位において、自己制限的な様態で容易に吸着する必要がある。吸着された後、プリカーサは反応物質と反応して所望の膜を形成する必要がある。CVDにおいて、プリカーサおよび反応物質は共に基板に到達し、膜はプリカーサの反応物質との反応から連続的に堆積される。CVDプロセスにおける堆積速度は、プリカーサおよび反応物質の流速と、基板の温度とに比例する。CVDにおいて、プリカーサおよび反応物質は、堆積される表面において反応して同時に所望の膜を形成する必要がある。
従って、多くの有用なCVDプリカーサがALDプリカーサとしては用いられることができないし、その逆もまた真実である。ALD法のためのプリカーサを選択することは、些細なことではないし、自明なことでもない。
ニトレート(NO)配位子は、強力な酸化剤および窒化剤であり、多くの化合物と強く反応することができる。特許文献2は、具体的には、酸化副反応物質、窒化副反応物質および還元副反応物質と共に窒化金属プリカーサを用いて、酸化物膜、窒化物膜、および金属膜をそれぞれ堆積するALD法を開示する。
特許文献2と同様に公表されている文献も、水またはメタノールなどの酸化剤と共に窒化ジルコニウムプリカーサを用いる酸化ジルコニウムのALD堆積、ならびに、水またはメタノールなどの酸化剤と共に窒化ハフニウムプリカーサを用いる酸化ハフニウムのALD堆積について示している。例えば、特許文献3を参照されたい。特許文献3は、本明細書中で参考として援用される。しかし、本発明者らの研究によって、酸化剤と共に窒化ハフニウムを用いて堆積された酸化ハフニウムは、予想されるよりも誘電率が低いことが明らかになっている。これは、恐らく、酸化ハフニウム膜の酸素が多いという性質のためである。
米国特許第6,060,755号明細書 米国特許第6,203,613号明細書 米国特許第6,420,279号明細書 Conleyら「Atomic layer deposition of hafnium oxide using anhydrous hafnium nitrate」、Electrochemical and Solid−State Letters、2002年、C57−59、5(5) Zhangら「High permittivity thin film nanolaminates」、Journal of Applied Physics、2000年2月15日、No.4、Vol.87
酸化ハフニウムの酸素含有量を低減することは、酸化ハフニウム膜の質を向上させるために有用である。
窒化ハフニウムを水素終端処理されたシリコン表面上で用いることは、酸化ハフニウム層の滑らかで均一に始めるために有用である。
組成の変化によって膜の特徴を変化させるようにナノラミネートを形成することは有用である。
酸化アルミニウムを酸化ハフニウムに組み込むことは、より良好な界面質およびより低い漏れ電流などの複合膜特性に起こり得る変更のために有用である。
アルミニウム不純物を組み込んで、金属酸化物の結晶化温度を上昇させることが有用である。
(発明の要旨)
金属酸化物ナノラミネート膜を形成する間、第1の窒化金属含有プリカーサを第2の金属含有プリカーサのための酸化剤として用いる方法が提供される。
本発明の目的の1つは、ニトレート含有プリカーサを他のプリカーサのための酸化剤として用いて、酸化物ナノラミネート膜を堆積する原子層堆積プロセスを提供することである。
本発明の他の目的は、窒化金属含有プリカーサを他の金属含有プリカーサのための酸化剤として用いて、金属酸化物ナノラミネート膜を堆積する原子層堆積プロセスを提供することである。
本発明の他の目的は、窒化ハフニウム含有プリカーサをアルミニウム含有プリカーサのための酸化剤として用いて、酸化ハフニウム/酸化アルミニウムナノラミネート膜を堆積する原子層堆積プロセスを提供することである。
本発明の他の目的は、窒化ハフニウムプリカーサをアルミニウム含有プリカーサのための酸化剤として用いて、半導体用途におけるゲート誘電体またはキャパシタ誘電体として有用である酸化ハフニウム/酸化アルミニウムナノラミネート膜を堆積する原子層堆積プロセスを提供することである。
ALDは、堆積技術の連続的な性質のため、ナノラミネート膜を堆積する適切な方法である。従来の金属酸化物ナノラミネート堆積のためのALD法は、第1の金属プリカーサ、第1の金属酸化物を提供する酸化剤、第2の金属プリカーサ、その後、第2の金属酸化物を提供する他の酸化剤の4回の別々のプリカーサ送達を(適切なパージ工程とともに)必要とする。第1の金属酸化物および第2の金属酸化物は、共に、金属酸化物ナノラミネート膜を提供する。
本発明は、第1の窒化金属含有プリカーサ、その後、第2の金属含有プリカーサを導入する2回の別々のプリカーサ送達のみを(適切なパージ工程とともに)必要とする金属酸化物ナノラミネートを堆積するALD法を提供する。窒化金属含有プリカーサは第2の金属のための酸化剤として機能して、ナノラミネート膜の金属酸化物を形成する。
酸化剤工程をなくすことによって、本発明は、堆積方法を大幅に簡略化した。プロセス時間およびシステム送達の複雑さは、ほぼ半分になる。
さらに、別々の酸化工程をなくすことは、金属酸化物の組成をより良好に制御することを可能にし、ナノラミネート膜の質を向上させる。従来技術のプロセスにおける過剰な酸化工程は、特にニトレートプリカーサが用いられる場合、酸素が豊富であるため、金属酸化物を酸素が多い状態にし得る。
本発明は、任意のALDプロセスにおいて他の金属含有プリカーサのための酸化剤として機能して、金属酸化物ナノラミネートを堆積する窒化金属含有プリカーサの使用について記載する。本発明における金属は、IB族(Cu)、IIB族(Zn)、IIIB族(Y)、IVB族(Ti、Zr、Hf)、VB族(V、Nb、Ta)、VIB族(Cr、Mo、W)、VIIB族(Mn)、VIIIB族(Co、Ni)、IIIA族(Al、Ga、In)、IVA族(Ge、Sn)、または希土類(La、Ce、Nd、Sm、Gd)の元素などの任意の金属材料であってもよい。用語ナノラミネートは、本発明において、異なる材料の薄層または同じ材料の薄い層を範囲に入れると広く定義される。本発明のある局面において、窒化金属含有プリカーサからの金属と金属含有プリカーサからの金属とは、異なる金属である。従って、ナノラミネート膜は、異なる材料(すなわち、窒化金属含有プリカーサからの金属の酸化物および金属含有プリカーサからの異なる金属の酸化物)の薄層を複数含む。本発明のある局面において、窒化金属含有プリカーサからの金属と金属含有プリカーサからの金属とは同じ金属である。従って、ナノラミネート膜は、同じ金属酸化物材料の複数の薄層を含む。これらの同じ金属酸化物材料の薄層は、例えば、1回の堆積周期につき、1つの薄層が堆積されるので、堆積時にのみ判別することができ、例えば、ある1つの薄層は堆積が完了した後には他の薄層と判別することができないので、堆積後には判別できない。
典型的な窒化金属は、化学式M(NOを有する。ここで、Mは、金属材料であり、xはMの原子価である。例えば、Mが4の原子価を有するハフニウムである場合、窒化ハフニウムの化学式は、Hf(NOである。
本発明の主な目的は、プロセスチャンバに導入される酸素の量を制御する酸化剤として機能して、プロセスフローを簡略化する窒化金属含有プリカーサを用いることである。従って、少なくとも1つのニトレート(NO)配位子を窒化金属含有プリカーサにおいて維持することは本発明の範囲内に入る。一部の(全てではない)NO配位子が、この方法の効率に影響することなく、置換基Rと置換され得る。窒化金属含有プリカーサにおけるNO配位子の低減はまた、得られるナノラミネート膜の性質を調整するために、プロセスチャンバに導入される酸素量を制御する制御メカニズムを提供し得る。置換されたプリカーサは、揮発性および熱安定性などのプリカーサの調節されたプロパティを提供し得る。
置換基Rは、水素、炭化水素(メチル(CH)、エチル(C)等)、酸素、オキシニトレート(NO、N等)、ヒドロキシル、芳香族、アミン、アルキル、シリル、アルコキシド、ジケトンおよびこれらの混合物などの多くの配位子であってもよい。
第2の金属含有プリカーサは、酸素を含んでいてもよいし、酸素を含んでいなくてもよい。他の酸素含有プリカーサを選択することによって、酸素がより多く組み込まれることになるが、酸素非含有プリカーサを選択することによって酸素量が低減し得る。金属含有プリカーサは、金属アルキル、ハロゲン化金属、金属ジケトネート、金属アルコキシド、金属水素化物、金属シリル、金属アミド、金属アセチルアセトネート、金属t−ブトキシド、金属エトキシド、ならびにこれらの混合物および組合せのいずれかであってもよい。
上記のALD堆積の第1のプリカーサ/パージ/第2のプリカーサ/パージのシーケンスは、所望の厚さが得られるまで繰り返される。最後のシーケンスは、第1のプリカーサ/パージ/第2のプリカーサ/パージという完全なシーケンスであってもよいし、第1のプリカーサ/パージという半分のシーケンスだけであってもよい。最後のシーケンスの選択によって、堆積される上面をある程度変化させ得る。
好ましい実施形態において、第1の金属は、ハフニウムまたはジルコニウム金属である。本発明は、窒化ハフニウム含有プリカーサを金属含有プリカーサのための酸化剤として用いる、酸化ハフニウム/金属酸化物ナノラミネートの原子層堆積の方法を提供する。この方法は、窒化ハフニウム含有プリカーサを提供する工程と、窒化ハフニウムプリカーサをパージする工程と、金属含有プリカーサを提供する工程と、金属含有プリカーサをパージする工程とを包含する。プリカーサを交互に導入することによって、窒化ハフニウムと金属含有プリカーサとの間の反応が引き起こされて、酸化ハフニウムおよび金属酸化物のナノラミネートが形成される。
他の好ましい実施形態において、第1の金属はハフニウムまたはジルコニウム金属であり、第2の金属はアルミニウムである。本発明は、窒化ハフニウム含有プリカーサをアルミニウム含有プリカーサのための酸化剤として用いる、酸化ハフニウム/酸化アルミニウムナノラミネートの原子層堆積法を提供する。この方法は、窒化ハフニウムプリカーサを提供する工程と、窒化ハフニウムプリカーサをパージする工程と、アルミニウム含有プリカーサを提供する工程と、アルミニウム含有プリカーサをパージする工程とを包含する。交互にプリカーサを導入することによって、窒化ハフニウムとアルミニウムプリカーサとの間の反応が引き起こされて、酸化ハフニウムおよび酸化アルミニウムのナノラミネートが形成される。
半導体用途のための酸化ハフニウム/酸化アルミニウムナノラミネート薄膜の堆積の他の好ましい実施形態において、本発明の第1の工程は、窒化ハフニウムプリカーサにさらす前に、水素終端処理されたシリコン表面を提供する工程である。窒化ハフニウムプリカーサと水素終端処理されたシリコン表面との組合せは、インキュベーション期間を必要とすることなく、または、薄い二酸化シリコン界面層を必要とすることなく、水素終端処理されたシリコン表面上への酸化ハフニウムの直接の堆積を開始することにつながり得る。その後、この基板は、窒化ハフニウムのパルスのシーケンスにさらされ、以下にチャンバパージが続き、その後、アルミニウム含有プリカーサ、他のチャンバパージが続く。このシーケンスは、所望の厚さが得られるまで繰り返され、最後の工程は、アルミニウム含有プリカーサパルス/チャンバパージ、または窒化ハフニウムパルス/チャンバパージのいずれかである。その後、製造プロセスは、堆積後アニーリングおよびゲート電極材料の堆積に進む。
窒化ハフニウムプリカーサは、水分含有量を最小限にするため、無水窒化ハフニウムであってもよい。アルミニウム含有プリカーサは、塩化アルミニウムまたはヨウ化アルミニウムなどのハロゲン化アルミニウムであってもよい。アルミニウム含有プリカーサは、トリメチルアルミニウムおよびトリエチルアルミニウムなどの有機金属プリカーサであってもよい。
酸化ハフニウム/酸化アルミニウムナノラミネート薄膜の堆積は、200℃未満の温度、好ましくは150〜200℃の間の温度、詳細には170℃まで基板を加熱する工程をさらに包含する。
チャンバをパージする工程は、アルゴンなどの任意の不活性ガス、または、窒素などの任意の不活性ガスを用いて行われ得る。
本発明による方法は、酸化物ナノラミネート膜を形成する原子層堆積プロセスにおいて、第1の窒化金属含有プリカーサを第2の金属含有プリカーサのための酸化剤として用いる方法であって、a.第1の窒化金属含有プリカーサを導入する工程と、b.該第1の窒化金属含有プリカーサをパージする工程と、c.第2の金属含有プリカーサを導入する工程と、d.該第2の金属含有プリカーサをパージする工程とを包含し、これにより上記目的を達成する。
前記金属は、Cu、Zn、Y、Ti、Zr、Hf、V、Nb、Ta、Cr、Mo、W、Mn、Co、Ni、Al、Ga、In、Ge、Sn、La、Ce、Nd、Sm、およびGdからなる群から選択されてもよい。
前記第1の窒化金属含有プリカーサのニトレート配位子のうちの一部であって、全てではないニトレート配位子が、置換基Rと置換され、該置換基Rは、水素、酸素、オキシニトレート、ヒドロキシル、芳香族、アミン、アルキル、シリル、アルコキシド、ジケトンおよびこれらの混合物からなる群から選択されてもよい。
前記第2の金属含有プリカーサは、酸素非含有プリカーサであってもよい。
前記第2の金属含有プリカーサは、金属アルキル、ハロゲン化金属、金属ジケトネート、金属アルコキシド、金属水素化物、金属シリル、金属アミド、金属アセチルアセトネート、金属t−ブトキシド、金属エトキシド、ならびにこれらの混合物および組合せからなる群から選択されてもよい。
本発明による方法は、酸化ハフニウム/金属酸化物ナノラミネート膜を形成する原子層堆積プロセスにおいて、窒化ハフニウム含有プリカーサを金属含有プリカーサのための酸化剤として用いる方法であって、a.窒化ハフニウム含有プリカーサを導入する工程と、b.該窒化ハフニウム含有プリカーサをパージする工程と、c.金属含有プリカーサを導入する工程と、d.該金属含有プリカーサをパージする工程とを包含し、これにより上記目的を達成する。
前記第1の窒化金属含有プリカーサのニトレート配位子のうちの一部であって、全てではないニトレート配位子が、置換基Rと置換され、該置換基Rは、水素、酸素、オキシニトレート、ヒドロキシル、芳香族、アミン、アルキル、シリル、アルコキシド、ジケトンおよびこれらの混合物からなる群から選択されてもよい。
前記金属含有プリカーサは、酸素非含有プリカーサであってもよい。
前記金属含有プリカーサは、金属アルキル、ハロゲン化金属、金属ジケトネート、金属アルコキシド、金属水素化物、金属シリル、金属アミド、金属アセチルアセトネート、金属t−ブトキシド、金属エトキシド、ならびにこれらの混合物および組合せからなる群から選択されてもよい。
本発明による方法は、半導体基板上に酸化ハフニウム/酸化アルミニウムナノラミネート膜を形成する原子層堆積プロセスにおいて、窒化ハフニウムプリカーサをアルミニウム含有プリカーサのための酸化剤として用いる方法であって、a.水素終端処理されたシリコン表面を原子層堆積チャンバ内の半導体基板上に提供する工程と、b.窒化ハフニウムプリカーサを該チャンバに導入する工程と、c.該チャンバをパージする工程と、d.アルミニウム含有プリカーサを該チャンバに導入する工程と、e.該チャンバをパージする工程とを包含し、これにより上記目的を達成する。
前記窒化ハフニウムプリカーサは、無水窒化ハフニウムプリカーサであってもよい。
前記アルミニウム含有プリカーサは、ハロゲン化アルミニウムプリカーサであってもよい。
前記アルミニウム含有プリカーサは、トリメチルアルミニウムおよびトリエチルアルミニウムからなる群から選択される有機金属プリカーサであってもよい。
工程aの後、工程bの前に、200℃未満の温度まで前記基板を加熱する工程をさらに包含してもよい。
前記チャンバをパージする工程は、窒素または不活性ガスを該チャンバに流すことによって達成されてもよい。
前記チャンバをパージする工程は、該チャンバから全てのガスを排出することによって達成されてもよい。
所望の厚さが得られるまで前記工程b〜前記工程eが繰り返され、最後の工程は、工程bまたは工程cであってもよい。
所望の厚さが得られるまで前記工程b〜前記工程eが繰り返され、最後の工程は、工程dまたは工程eであってもよい。
前記所望の厚さが得られた後に、堆積後アニーリング工程をさらに包含してもよい。
前記堆積後アニーリングの時間は、10秒から5分の間の時間であり、該堆積後アニーリングの温度は、400〜1000℃の間の温度であってもよい。
本発明は、酸化剤工程をなくすことによって堆積方法を大幅に簡略化することができる。これにより、プロセス時間およびシステム送達の複雑さはほぼ半分になる。
さらに、別々の酸化工程をなくすことによって金属酸化物の組成をより良好に制御し、ナノラミネート膜の質を向上させることができる。
図1は、酸化物ナノラミネート薄膜の堆積における工程を示す、従来技術のプロセスのフローチャートである。工程10において、基板がプロセスチャンバ内に提供される。基板およびチャンバは、酸化物界面層の成長など、堆積プロセスのために調節される(工程10a)。2つの酸化物ナノラミネート薄膜の第1の金属酸化物が、工程11〜14で提供される。工程11において、塩化ハフニウム(HfCl)などのプリカーサの基板上への吸着のために、第1の金属プリカーサが提供される。工程12において、窒素などの不活性ガスを用いて、プロセスチャンバからプリカーサを取り除くパージ工程が提供される。工程13において、水、アルコール、酸素またはオゾンなどの第1の酸化剤がプロセスチャンバに提供される。酸化剤は、吸着された第1の金属プリカーサと反応して、酸化ハフニウムなどの第1の金属酸化物が形成される。工程14において、窒素を用いて酸化剤およびあらゆる副生成物を取り除くパージ工程が提供される。その後、2つの酸化物ナノラミネート薄膜の第2の金属酸化物が、工程15〜18で提供される。工程15において、塩化アルミニウム(AlCl)などのプリカーサの以前に堆積された基板表面上への吸着または反応のために、第2の金属プリカーサが提供される。工程16において、窒素を用いて、プロセスチャンバからプリカーサを取り除くパージ工程が提供される。工程17において、水、アルコール、酸素またはオゾンなどの第2の酸化剤がプロセスチャンバに提供される。酸化剤は、吸着された第2の金属プリカーサと反応して、酸化アルミニウムなどの第2の金属酸化物を形成する。工程18において、窒素を用いて酸化剤およびあらゆる副生成物を取り除くパージ工程が提供される。工程11〜18は、工程19において所望の厚さに達するまで繰り返され、その後、ナノラミネート膜は、必要に応じて、工程20のアニーリングにかけられて、膜密度を高めることなど、膜の質が向上される。
図2は、本発明による、ニトレート含有プリカーサを第2のプリカーサのための酸化剤として用いて、酸化物ナノラミネート薄膜を堆積する工程を示すフローチャートである。工程110において、基板がプロセスチャンバ内に提供される。基板は、堆積を開始する前に、適切な用意が行われている。堆積は、通常、低圧、典型的には、1Torrの圧力で行われるので、チャンバは、起こり得る汚染を低減するために、おおよそmilliTorrの範囲内ベース圧力へとポンプダウンされる必要がある。基板温度は、第1のプリカーサの吸着のために、用意される必要がある。最適な吸着の典型的な温度は、150〜200℃の間である。チャンバ壁上への堆積を防ぐため、チャンバの壁の設定は、基板の温度よりもずっと低い。プリカーサの凝縮を防ぐため、チャンバの壁はまた、プリカーサ蒸気の温度とほぼ同じ温度、典型的には、50〜100℃になる必要がある。窒化ハフニウムプリカーサのための適切な温度は、約88℃である。チャンバについて特別な設定はない。このプロセスは原子層堆積技術、すなわち、プリカーサパルスのシーケンスを用いるので、チャンバ容積は、チャンバ容積内のプリカーサの飽和およびプリカーサの素早いパージを促進するために小さいことが望ましい。
また、プリカーサも用意する必要がある。この堆積技術は、プロセスチャンバに連続的に送達されるプリカーサの化学蒸気を用いる。従って、プリカーサは、プロセスチャンバへの送達のために良好な蒸気圧を有するように適切な温度に維持される必要がある。気体のプリカーサ、すなわち、室温で気体状態であるプリカーサについては、室温で十分である。液体のプリカーサ、すなわち、室温で液体のプリカーサについては、プリカーサ温度設定は、液体プリカーサの平衡蒸気圧に依存する。揮発性が高い液体のプリカーサ、例えば、アルコールおよびアセトンなどは、高い平衡蒸気圧を有し、従って、室温程度の低い温度設定が、良好な蒸気圧の維持に十分である。揮発性が低い液体プリカーサについては、高い温度設定が必要とされる。温度が高ければ高いほど、蒸気圧が高く、従って、プリカーサの送達はより容易である。しかし、温度は、プリカーサが熱分解などによってダメージを受け得る最高温度よりも低く設定される必要がある。
プロセスチャンバおよび基板が用意された後の次の工程111は、第1の窒化金属含有プリカーサを導入する工程である。このプリカーサは、第1の金属と結合された複数のニトレート配位子NOを含む。第1の金属の原子価に依存して、ニトレート配位子の数は、1つのニトレート配位子から、金属の原子価を満たす配位子の最大数まで異なり得る。例えば、ハフニウムの原子価は4である。従って、窒化ハフニウム含有プリカーサは、1〜4つのニトレート配位子を含み得る。本発明の基本的な概念は、酸化剤として機能するニトレート配位子を用いることであり、従って、必須のニトレート配位子は1つだけである。プリカーサにおける実際のニトレート配位子の数は、ナノラミネート薄膜に組み込まれる酸素の量を最適化するファクターとして用いられ得る。
第1の窒化金属含有プリカーサを基板上に完全に吸着させることを可能にするために適切な時間の後、プリカーサは、プロセスチャンバからパージされる。吸着の特徴は、自己制限的であることであり、従って、プリカーサは、基板表面上に、1つの単分子層より多く吸着することができず、典型的には、サブ単分子層のみが吸着される。この工程にかかる典型的な時間は、数秒から数分であり、チャンバの設計、チャンバ容積、および基板トポロジーの複雑さに依存する。シャワーヘッド送達を用いるチャンバ設計において、プリカーサの移動距離は短く、基板の全面積についてかなり均一であるので、プリカーサの基板上への完全な吸着時間のプロセスは短い。対照的に、プリカーサがプロセスチャンバの一方の端部から送達され、他方の端部から排出されるように設計されている場合、プリカーサの空乏効果により、吸着時間が長くなり得る。同様に、チャンバ容積が小さいことが、チャンバの飽和がより早いために、より短い吸着時間を提供し得る。また、基板のトポロジーも、吸着時間に影響し得る。深いトレンチを有する構造においては、プリカーサの空乏効果およびプリカーサ送達効果により、吸着時間が長くなり得る。
第1の窒化金属含有プリカーサが基板に吸着した後、工程112において、第2のプリカーサの導入に備えるため、プリカーサがオフにされ、その後、プロセスチャンバからパージされる。パージングは、プロセスチャンバに存在するプリカーサを排出するため、ポンピング工程によって達成され得る。ポンピング工程の特徴は、チャンバ圧を低減して、全てのガスを排出することである。パージはまた、窒素などの非反応ガスまたは不活性ガスを用い、全てのプリカーサをプロセスチャンバの外に押し出すことによる、置換工程によって達成されてもよい。置換工程の特徴は、プリカーサがオフにされ、パージガスがオンにされた状態で、チャンバ圧を維持することである。これらの2つの工程の組合せが、パージ工程において用いられてもよい。すなわち、ポンピング工程後に、窒素またはアルゴン置換工程が続いてもよい。
パージ時間が長くなればなるほど、プリカーサはより完全に取り除かれるが、スループットが低下する。経済的な理由から最も短いパージ時間が必要とされ、従って、最適なパージ時間は、プリカーサの残留物の存在がプロセス全体に影響しないような時間であり、プリカーサを完全に取り除くために必要とされるような時間ではない。
チャンバからニトレート含有プリカーサがなくなった後、工程113において、第2の金属含有プリカーサがプロセスチャンバに導入される。吸着された材料、すなわち、第1の金属酸化物、または第1のプリカーサからのニトレート配位子のいずれか、あるいは両方が、第2のプリカーサ内の第2の金属と反応して、2つの酸化物ナノラミネート薄膜構造において第1の金属酸化物および第2の金属酸化物の両方を形成する。図1に示すように、従来技術による、酸化物ナノラミネート薄膜の従来のプロセスは、水、酸素、アルコールまたはオゾンなどの酸化剤を用いて、金属酸化物を用いる。従って、本願のような4回のみのパルス、すなわち、酸化剤の能力を有するプリカーサ/パージ/プリカーサ/パージではなく、8回の別々のパルス、すなわち、プリカーサ/パージ/酸化剤/パージ/プリカーサ/パージ/酸化剤/パージを必要とする。
ナノラミネートの形成後、工程114において、第2のプリカーサがプロセスチャンバからパージされる。ここでも、パージ工程は、ポンピング工程であってもよいし、置換工程であってもよいし、ポンピング工程および置換工程の組合せであってもよい。
上記の4つの工程、工程111、工程112、工程113および工程114は、工程115において所望の厚さになるまで繰り返され得る。得られるナノラミネート薄膜は、その後、工程116において、より高い温度、例えば、400〜1000℃でアニーリングされて、膜の質が向上される。
図3は、酸化剤として窒化ハフニウムプリカーサを用いてナノラミネート膜を堆積するプロセスのフローチャートである。工程120は、プロセスチャンバ内に基板を提供する。基板およびチャンバは、原子層堆積プロセスにとって適切であるように用意される。次の工程121は、4つのニトレート配位子を含む、窒化ハフニウムプリカーサ、すなわち、Hf(NOを導入する工程である。窒化ハフニウムプリカーサが基板上に吸着された後、工程122において、プリカーサはオフにされ、その後、プロセスチャンバからパージされる。窒化ハフニウムは、適切な条件下で酸化ハフニウムを形成し得る。その後、工程123において、金属含有プリカーサがプロセスチャンバに導入される。金属含有プリカーサ内の金属が吸着された材料と反応し、酸化ハフニウム/金属酸化物ナノラミネート薄膜構造が生成される。
金属含有プリカーサは、窒化ハフニウムと反応するように選択される。典型的な金属含有プリカーサは、塩化アルミニウムまたはヨウ化アルミニウムなどのハロゲン化アルミニウムである。他の可能なアルミニウムプリカーサとして、トリメチルアルミニウムまたはトリエチルアルミニウムなどの有機金属プリカーサがある。
酸化ハフニウム/金属酸化物ナノラミネートの形成後、工程124において、金属含有プリカーサは、プロセスチャンバからパージされる。上記の4つの工程、工程121、工程122、工程123および工程124は、工程125において所望の厚さに達するまで繰り返され得る。その後、得られる酸化ハフニウム/金属酸化物ナノラミネート薄膜は、工程126において、より高い温度、例えば400〜1000℃でアニーリングされて、膜の質が向上し得る。
図4は、窒化ハフニウムプリカーサおよびアルミニウムプリカーサを用いる半導体プロセスにおいて用いられる酸化ハフニウム/酸化アルミニウムナノラミネート膜を堆積するプロセスのフローチャートである。工程130において、原子層堆積プロセスチャンバ内の半導体基板上に、水素終端処理されたシリコン表面が提供される。
半導体基板は、High−k誘電体膜が必要とするところまで、全ての回路製造プロセスを受けている。High−kキャパシタ誘電体の場合、基板は、底部電極を準備させるように用意される。High−kゲート誘電体の場合、基板はゲート誘電体を受け取るように準備される。準備には、デバイス絶縁構造の形成と、金属ゲートプロセスが用いられる場合には、ゲートパターニング工程が含まれ得る。その後、半導体基板は、水素終端処理されたシリコン表面を有するように用意される。水素終端処理されたシリコン表面は、多くの産業規格半導体クリーニングプロセスの結果である。これらの標準的なクリーニングプロセスは、典型的には、シリコンウェハをHF溶液に素早く含浸させて、シリコン表面のあらゆる天然の酸化物を取り除き、水素終端処理されたシリコン表面を生成することである。水素終端処理されたシリコン表面は、High−k誘電体のkの値を低くし得る、微量の自然酸化シリコンを全て取り除くことが重要である。実験は、窒化ハフニウムプリカーサおよび水素終端処理されたシリコン表面を組み合わせることによって、インキュベーション期間を必要とすることなく、または、薄い二酸化シリコン界面層を必要とすることなく、水素終端処理されたシリコン表面上へ酸化ハフニウムが直接堆積し始め得ることを明らかにした。
基板およびチャンバが原子層堆積プロセスのために適切に用意された後、次の工程131は、窒化ハフニウムプリカーサ、すなわち、Hf(NOを導入することである。窒化ハフニウムプリカーサが基板上に吸着された後、工程132において、プリカーサはオフにされ、その後プロセスチャンバからパージされる。その後、アルミニウム含有プリカーサが、工程133において、プロセスチャンバに導入される。アルミニウム含有プリカーサ内のアルミニウムと吸着した材料との反応は、酸化ハフニウム/酸化アルミニウムナノラミネート薄膜構造を生成する。酸化ハフニウム/酸化アルミニウムナノラミネートの形成後、工程134において、アルミニウム含有プリカーサはプロセスチャンバからパージされる。上記の4つの工程、工程131、工程132、工程133、および工程134は、工程135において所望の厚さに達するまで繰り返され得る。得られる酸化ハフニウム/酸化アルミニウムナノラミネート薄膜は、工程136において、より高い温度、例えば400〜1000℃でアニーリングされて、膜の質が向上し得る。
図5a〜5dは、半導体ゲート誘電体用途のための酸化ハフニウム/酸化アルミニウムナノラミネート薄膜の堆積プロセスにおける工程を示す図である。図5aは、ゲート誘電体の堆積の前の部分的に処理された集積回路(IC)デバイス構造を示す。示されている構造は、任意の製造法によって形成され得る。図5aに示される構造は、置換ゲートプロセスのための構造であり、シリコン基板141、ゲートスタックパターンを規定するフィールド酸化物142、および水素終端処理されたシリコン表面140を含む。High−kゲート誘電体の堆積の前の最後の工程は、シリコン表面をHFに対して露出させて、水素終端処理された表面を用意する工程である。HFに対する露出は、液体HFタンクへの浸漬であってもよいし、HF蒸気に対する露出であってもよい。HFは、全ての自然酸化シリコンをエッチングして、水素終端処理されたシリコン表面を残す。
図5bは、窒化ハフニウムHf(NOプリカーサのパルス後のICデバイス構造を示す。窒化ハフニウムパルスは、インキュベーション期間または薄いシリコン酸化物界面層を必要とすることなく、酸化ハフニウムの水素終端処理された表面上への直接の堆積を開始させる。この図は、酸化ハフニウム層143を模式的に表した図に過ぎない。実際の酸化ハフニウム層は、構造全体を通じて連続的であってもよいし、連続的でなくてもよい。また、ニトレート配位子は、ハフニウム酸化物層上に存在していてもよいし、存在していなくてもよい。
図5cは、窒化ハフニウムのプロセスチャンバをクリーニングするための窒素パージ後、塩化アルミニウムAlClプリカーサのパルス後のICデバイス構造を示す図である。酸化アルミニウム層144は、塩化アルミニウムパルス後に形成される。塩化アルミニウムプリカーサの目的は、酸化ハフニウム/酸化アルミニウムナノラミネート膜を作成することである。
図5dは、他の酸化ハフニウム層143’が窒化ハフニウムの他のパルスを介して堆積され、他の酸化アルミニウム層144’が塩化アルミニウムの他のパルスを介して堆積された後のICデバイス構造を示す図である。このシーケンスは、ナノラミネート膜が所望の厚さに達するまで繰り返される。その後、製造プロセスでは、堆積後アニーリングおよびゲート金属などのゲート材料の堆積が進む。その後、このプロセスは、ゲートスタック構造をパターニングするための化学機械的研磨(CMP)工程が続く。
酸化ハフニウム/酸化アルミニウムナノラミネート薄膜は、10回のHf(NO/AlClの周期を用いて、図5a〜図5dに示すプロセスに従って堆積された。堆積後のアニーリング条件は、アニーリングが、窒素の中、850℃で、60秒間行われることであった。膜は、堆積後も、堆積後のアニーリング工程の後も、見た目は均一であった。分光楕円偏光測定は、アニール後の厚さが4nmであることを示し、この値は、1周期毎に0.4nmの成長を表す。20周期およびアニーリングを介して堆積される他の酸化ハフニウム/酸化アルミニウムナノラミネート膜は、約15.2nmの厚さであることが分かり、この値は、1周期毎に0.76nmの成長に対応する。この堆積速度の範囲は、Hf(NO/HO堆積またはAl(CH/HO堆積のいずれかについて以前に報告された堆積率の範囲よりも、約3〜5倍早い。
図6に、本発明の方法を用いて堆積される厚さ4nmの酸化ハフニウム/酸化アルミニウムナノラミネート薄膜のキャパシタンス対バイアス電圧のプロットを示す。キャパシタは、シャドーマスクを介して、白金(Pt)ドットをスパッタリングすることによって形成された。閾値電圧は、白金金属ゲートの仕事関数に起因してシフトされる。Pt仕事関数を考慮すると、2.6nmの容量性等価厚さ(CET)は、(−1.2Vのバイアス電圧で)Cmaxから抽出される。このCETは、ナノラミネート膜についての有効な誘電率が約5.9であることを示す。この誘電率は、二酸化シリコンの誘電率よりも約50%高い。Hf(NO/HOのALDを介して堆積される、同じような物理的な厚さの酸化ハフニウム膜は、約10の範囲内の有効な誘電率を有し得る。酸化アルミニウムのバルク誘電率(9)が酸化ハフニウムのバルク誘電率(25)よりも低いので、酸化ハフニウム/酸化アルミニウムナノラミネート膜の誘電率は低いことが予想される。図6におけるCV曲線のわずかな歪みは、インターフェーストラッピングを表し、プロセス最適化とともになくなり得る。CV曲線は、バイアス電圧の正方向151および逆方向152に従うほぼ同一の曲線によって証明されるように、低ヒステリシス効果を示す。この低ヒステリシス効果は、ナノラミネート膜の品質が高くなることを示し、チャージトラッピングが低いことを示唆する。
図7は、図6に示す厚さ4nmの膜と同じ膜の漏れ電流対バイアス電圧のプロットを示す図である。漏れ電流が同様のCETのHfOよりもほぼ3桁分大きいが、依然として、同様のCETの二酸化シリコンよりも2桁分小さい。4nmの膜は、約5Vで、電圧降伏を示す。
以上のように、本発明の好ましい実施形態を用いて本発明を例示してきたが、本発明は、この実施形態に限定して解釈されるべきものではない。本発明は、特許請求の範囲によってのみその範囲が解釈されるべきであることが理解される。当業者は、本発明の具体的な好ましい実施形態の記載から、本発明の記載および技術常識に基づいて等価な範囲を実施することができることが理解される。本明細書において引用した特許、特許出願および文献は、その内容自体が具体的に本明細書に記載されているのと同様にその内容が本明細書に対する参考として援用されるべきであることが理解される。
(要約)
酸化物ナノラミネート薄膜を堆積する原子層堆積法が提供される。この方法は、第1のプリカーサのニトレート配位子を、第2のプリカーサのための酸化剤として用いて、酸化物ナノラミネートを形成する。窒化ハフニウムプリカーサおよびアルミニウムプリカーサを用いることによって、この方法は、水素終端処理されたシリコン表面上に、ゲート誘電体またはキャパシタ誘電体に用いるHigh−k酸化ハフニウム/酸化アルミニウムナノラミネート誘電体を堆積することによく適している。
図1は、ナノラミネート膜を堆積する従来技術のプロセスのフローチャートである。 図2は、窒化プリカーサを酸化剤として用いてナノラミネート膜を堆積するプロセスのフローチャートである。 図3は、窒化ハフニウムプリカーサを酸化剤として用いてナノラミネート膜を堆積するプロセスのフローチャートである。 図4は、窒化ハフニウムプリカーサおよびアルミニウムプリカーサを用いて、半導体プロセスにおいて用いられる酸化ハフニウム/酸化アルミニウムナノラミネート膜を堆積するプロセスのフローチャートである。 図5aは、半導体ゲート誘電体の用途のための酸化ハフニウム/酸化アルミニウムナノラミネート薄膜を堆積するプロセスにおける工程を示す図である。 図5bは、半導体ゲート誘電体の用途のための酸化ハフニウム/酸化アルミニウムナノラミネート薄膜を堆積するプロセスにおける工程を示す図である。 図5cは、半導体ゲート誘電体の用途のための酸化ハフニウム/酸化アルミニウムナノラミネート薄膜を堆積するプロセスにおける工程を示す図である。 図5dは、半導体ゲート誘電体の用途のための酸化ハフニウム/酸化アルミニウムナノラミネート薄膜を堆積するプロセスにおける工程を示す図である。 図6は、本発明の方法を用いて堆積される厚さ4nmの酸化ハフニウム/酸化アルミニウムナノラミネート薄膜のキャパシタンス対バイアス電圧のプロットを示す図である。 図7は、同じ厚さ4nmの酸化ハフニウム/酸化アルミニウムナノラミネート薄膜の漏れ電流対バイアス電圧のプロットを示す図である。
符号の説明
140 シリコン表面
141 シリコン基板
142 フィールド酸化物
143 酸化ハフニウム層
143’ 他の酸化ハフニウム層
144 酸化アルミニウム層
144’ 他の酸化アルミニウム層

Claims (20)

  1. 酸化物ナノラミネート膜を形成する原子層堆積プロセスにおいて、第1の窒化金属含有プリカーサを第2の金属含有プリカーサのための酸化剤として用いる方法であって、
    a.第1の窒化金属含有プリカーサを導入する工程と、
    b.該第1の窒化金属含有プリカーサをパージする工程と、
    c.第2の金属含有プリカーサを導入する工程と、
    d.該第2の金属含有プリカーサをパージする工程と
    を包含する、方法。
  2. 前記金属は、Cu、Zn、Y、Ti、Zr、Hf、V、Nb、Ta、Cr、Mo、W、Mn、Co、Ni、Al、Ga、In、Ge、Sn、La、Ce、Nd、Sm、およびGdからなる群から選択される、請求項1に記載の方法。
  3. 前記第1の窒化金属含有プリカーサのニトレート配位子のうちの一部であって、全てではないニトレート配位子が、置換基Rと置換され、該置換基Rは、水素、酸素、オキシニトレート、ヒドロキシル、芳香族、アミン、アルキル、シリル、アルコキシド、ジケトンおよびこれらの混合物からなる群から選択される、請求項1に記載の方法。
  4. 前記第2の金属含有プリカーサは、酸素非含有プリカーサである、請求項1に記載の方法。
  5. 前記第2の金属含有プリカーサは、金属アルキル、ハロゲン化金属、金属ジケトネート、金属アルコキシド、金属水素化物、金属シリル、金属アミド、金属アセチルアセトネート、金属t−ブトキシド、金属エトキシド、ならびにこれらの混合物および組合せからなる群から選択される、請求項1に記載の方法。
  6. 酸化ハフニウム/金属酸化物ナノラミネート膜を形成する原子層堆積プロセスにおいて、窒化ハフニウム含有プリカーサを金属含有プリカーサのための酸化剤として用いる方法であって、
    a.窒化ハフニウム含有プリカーサを導入する工程と、
    b.該窒化ハフニウム含有プリカーサをパージする工程と、
    c.金属含有プリカーサを導入する工程と、
    d.該金属含有プリカーサをパージする工程と
    を包含する、方法。
  7. 前記第1の窒化金属含有プリカーサのニトレート配位子のうちの一部であって、全てではないニトレート配位子が、置換基Rと置換され、該置換基Rは、水素、酸素、オキシニトレート、ヒドロキシル、芳香族、アミン、アルキル、シリル、アルコキシド、ジケトンおよびこれらの混合物からなる群から選択される、請求項6に記載の方法。
  8. 前記金属含有プリカーサは、酸素非含有プリカーサである、請求項6に記載の方法。
  9. 前記金属含有プリカーサは、金属アルキル、ハロゲン化金属、金属ジケトネート、金属アルコキシド、金属水素化物、金属シリル、金属アミド、金属アセチルアセトネート、金属t−ブトキシド、金属エトキシド、ならびにこれらの混合物および組合せからなる群から選択される、請求項6に記載の方法。
  10. 半導体基板上に酸化ハフニウム/酸化アルミニウムナノラミネート膜を形成する原子層堆積プロセスにおいて、窒化ハフニウムプリカーサをアルミニウム含有プリカーサのための酸化剤として用いる方法であって、
    a.水素終端処理されたシリコン表面を原子層堆積チャンバ内の半導体基板上に提供する工程と、
    b.窒化ハフニウムプリカーサを該チャンバに導入する工程と、
    c.該チャンバをパージする工程と、
    d.アルミニウム含有プリカーサを該チャンバに導入する工程と、
    e.該チャンバをパージする工程と
    を包含する、方法。
  11. 前記窒化ハフニウムプリカーサは、無水窒化ハフニウムプリカーサである、請求項10に記載の方法。
  12. 前記アルミニウム含有プリカーサは、ハロゲン化アルミニウムプリカーサである、請求項10に記載の方法。
  13. 前記アルミニウム含有プリカーサは、トリメチルアルミニウムおよびトリエチルアルミニウムからなる群から選択される有機金属プリカーサである、請求項10に記載の方法。
  14. 工程aの後、工程bの前に、200℃未満の温度まで前記基板を加熱する工程をさらに包含する、請求項10に記載の方法。
  15. 前記チャンバをパージする工程は、窒素または不活性ガスを該チャンバに流すことによって達成される、請求項10に記載の方法。
  16. 前記チャンバをパージする工程は、該チャンバから全てのガスを排出することによって達成される、請求項10に記載の方法。
  17. 所望の厚さが得られるまで前記工程b〜前記工程eが繰り返され、最後の工程は、工程bまたは工程cである、請求項10に記載の方法。
  18. 所望の厚さが得られるまで前記工程b〜前記工程eが繰り返され、最後の工程は、工程dまたは工程eである、請求項10に記載の方法。
  19. 前記所望の厚さが得られた後に、堆積後アニーリング工程をさらに包含する、請求項18に記載の方法。
  20. 前記堆積後アニーリングの時間は、10秒から5分の間の時間であり、該堆積後アニーリングの温度は、400〜1000℃の間の温度である、請求項19に記載の方法。
JP2004042166A 2003-02-27 2004-02-18 ナノラミネート膜の原子層堆積 Expired - Fee Related JP4158975B2 (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/376,794 US6930059B2 (en) 2003-02-27 2003-02-27 Method for depositing a nanolaminate film by atomic layer deposition

Publications (2)

Publication Number Publication Date
JP2004260168A true JP2004260168A (ja) 2004-09-16
JP4158975B2 JP4158975B2 (ja) 2008-10-01

Family

ID=32908002

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2004042166A Expired - Fee Related JP4158975B2 (ja) 2003-02-27 2004-02-18 ナノラミネート膜の原子層堆積

Country Status (4)

Country Link
US (2) US6930059B2 (ja)
JP (1) JP4158975B2 (ja)
KR (1) KR100591507B1 (ja)
TW (1) TWI276700B (ja)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006169556A (ja) * 2004-12-13 2006-06-29 Horiba Ltd 金属酸化物薄膜の成膜方法
KR100696765B1 (ko) * 2005-11-17 2007-03-19 주식회사 하이닉스반도체 반도체소자의 유전체막 및 그 형성방법
JPWO2006028215A1 (ja) * 2004-09-09 2008-05-08 東京エレクトロン株式会社 薄膜キャパシタ及びその形成方法、及びコンピュータ読み取り可能な記憶媒体
JP2010538498A (ja) * 2007-09-05 2010-12-09 インターモレキュラー,インク. 蒸気に基づく組合せ処理
JP2011520035A (ja) * 2008-05-02 2011-07-14 インターモレキュラー,インク. 組合わせプラズマ励起堆積技術
JP2014116626A (ja) * 2009-09-30 2014-06-26 Hitachi Kokusai Electric Inc 半導体装置の製造方法、基板処理方法および基板処理装置
JP2022091739A (ja) * 2018-04-13 2022-06-21 アプライド マテリアルズ インコーポレイテッド 選択的原子層堆積方法

Families Citing this family (467)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8026161B2 (en) 2001-08-30 2011-09-27 Micron Technology, Inc. Highly reliable amorphous high-K gate oxide ZrO2
US6846516B2 (en) * 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
US7160577B2 (en) 2002-05-02 2007-01-09 Micron Technology, Inc. Methods for atomic-layer deposition of aluminum oxides in integrated circuits
US7221586B2 (en) 2002-07-08 2007-05-22 Micron Technology, Inc. Memory utilizing oxide nanolaminates
US7101813B2 (en) 2002-12-04 2006-09-05 Micron Technology Inc. Atomic layer deposited Zr-Sn-Ti-O films
US20040168627A1 (en) * 2003-02-27 2004-09-02 Sharp Laboratories Of America, Inc. Atomic layer deposition of oxide film
US7192892B2 (en) * 2003-03-04 2007-03-20 Micron Technology, Inc. Atomic layer deposited dielectric layers
KR101159070B1 (ko) * 2003-03-11 2012-06-25 삼성전자주식회사 고유전율 산화막 형성방법, 이 방법으로 형성된 유전막이구비된 커패시터 및 그 제조방법
US7135369B2 (en) 2003-03-31 2006-11-14 Micron Technology, Inc. Atomic layer deposited ZrAlxOy dielectric layers including Zr4AlO9
US7442415B2 (en) * 2003-04-11 2008-10-28 Sharp Laboratories Of America, Inc. Modulated temperature method of atomic layer deposition (ALD) of high dielectric constant films
US7183186B2 (en) 2003-04-22 2007-02-27 Micro Technology, Inc. Atomic layer deposited ZrTiO4 films
KR100555543B1 (ko) * 2003-06-24 2006-03-03 삼성전자주식회사 원자층 증착법에 의한 고유전막 형성 방법 및 그고유전막을 갖는 커패시터의 제조 방법
KR20050007496A (ko) * 2003-07-08 2005-01-19 삼성전자주식회사 원자층 적층 방식의 복합막 형성방법 및 이를 이용한반도체 소자의 커패시터 형성방법
KR100550641B1 (ko) * 2003-11-22 2006-02-09 주식회사 하이닉스반도체 산화하프늄과 산화알루미늄이 혼합된 유전막 및 그 제조방법
FR2869325B1 (fr) * 2004-04-27 2006-06-16 Commissariat Energie Atomique Procede de depot d'une couche mince sur une couche oxydee d'un substrat
KR100615093B1 (ko) * 2004-08-24 2006-08-22 삼성전자주식회사 나노크리스탈을 갖는 비휘발성 메모리 소자의 제조방법
US7081421B2 (en) 2004-08-26 2006-07-25 Micron Technology, Inc. Lanthanide oxide dielectric layer
US7588988B2 (en) 2004-08-31 2009-09-15 Micron Technology, Inc. Method of forming apparatus having oxide films formed using atomic layer deposition
US7494939B2 (en) 2004-08-31 2009-02-24 Micron Technology, Inc. Methods for forming a lanthanum-metal oxide dielectric layer
KR100714269B1 (ko) * 2004-10-14 2007-05-02 삼성전자주식회사 반도체 소자 제조에 사용되는 금속층 형성방법
US7235501B2 (en) 2004-12-13 2007-06-26 Micron Technology, Inc. Lanthanum hafnium oxide dielectrics
US7560395B2 (en) * 2005-01-05 2009-07-14 Micron Technology, Inc. Atomic layer deposited hafnium tantalum oxide dielectrics
US20060151845A1 (en) * 2005-01-07 2006-07-13 Shrinivas Govindarajan Method to control interfacial properties for capacitors using a metal flash layer
US20060151822A1 (en) * 2005-01-07 2006-07-13 Shrinivas Govindarajan DRAM with high K dielectric storage capacitor and method of making the same
US7316962B2 (en) * 2005-01-07 2008-01-08 Infineon Technologies Ag High dielectric constant materials
KR100620451B1 (ko) * 2005-01-10 2006-09-11 삼성전자주식회사 금속산화 합금막, 금속산화 합금막 형성 방법과 이를이용한 게이트 구조물의 제조 방법 및 커패시터의 제조 방법
US7508648B2 (en) 2005-02-08 2009-03-24 Micron Technology, Inc. Atomic layer deposition of Dy doped HfO2 films as gate dielectrics
US7498247B2 (en) 2005-02-23 2009-03-03 Micron Technology, Inc. Atomic layer deposition of Hf3N4/HfO2 films as gate dielectrics
CN100417744C (zh) * 2005-02-28 2008-09-10 南京大学 锆、铪及与钛复合无水硝酸盐的金属复合无机源及其合成方法
KR100634262B1 (ko) * 2005-03-05 2006-10-13 삼성전자주식회사 복합 유전막을 갖는 반도체 장치의 제조 방법
US7687409B2 (en) 2005-03-29 2010-03-30 Micron Technology, Inc. Atomic layer deposited titanium silicon oxide films
KR100691004B1 (ko) * 2005-04-15 2007-03-09 주식회사 하이닉스반도체 반도체 소자의 캐패시터 형성방법
US7662729B2 (en) 2005-04-28 2010-02-16 Micron Technology, Inc. Atomic layer deposition of a ruthenium layer to a lanthanide oxide dielectric layer
US7390756B2 (en) 2005-04-28 2008-06-24 Micron Technology, Inc. Atomic layer deposited zirconium silicon oxide films
US7572695B2 (en) * 2005-05-27 2009-08-11 Micron Technology, Inc. Hafnium titanium oxide films
US7202535B2 (en) * 2005-07-14 2007-04-10 Infineon Technologies Ag Manufacturing method for an integrated semiconductor structure and corresponding integrated semiconductor structure
US7927948B2 (en) 2005-07-20 2011-04-19 Micron Technology, Inc. Devices with nanocrystals and methods of formation
KR100712521B1 (ko) * 2005-07-28 2007-04-30 삼성전자주식회사 금속-절연체-금속형 커패시터의 제조 방법
KR100753411B1 (ko) * 2005-08-18 2007-08-30 주식회사 하이닉스반도체 반도체 소자의 캐패시터 형성방법
US7402534B2 (en) * 2005-08-26 2008-07-22 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
US7544596B2 (en) 2005-08-30 2009-06-09 Micron Technology, Inc. Atomic layer deposition of GdScO3 films as gate dielectrics
US8110469B2 (en) 2005-08-30 2012-02-07 Micron Technology, Inc. Graded dielectric layers
KR100760962B1 (ko) * 2006-03-14 2007-09-21 학교법인 포항공과대학교 금속-알킬아마이드과 금속-알콕사이드 전구체 조합을사용한 원자층 화학 증착법을 이용한 하프늄 실리케이트박막 제조
JP2007273949A (ja) * 2006-03-30 2007-10-18 Korea Univ Industrial & Academic Collaboration Foundation ナノ粒子を用いたトップゲート型薄膜トランジスタおよびその製造方法
KR100716654B1 (ko) * 2006-04-04 2007-05-09 주식회사 하이닉스반도체 정방정계 구조의 지르코늄산화막 형성 방법 및 그를 구비한캐패시터의 제조 방법
US7537804B2 (en) * 2006-04-28 2009-05-26 Micron Technology, Inc. ALD methods in which two or more different precursors are utilized with one or more reactants to form materials over substrates
US7605030B2 (en) 2006-08-31 2009-10-20 Micron Technology, Inc. Hafnium tantalum oxynitride high-k dielectric and metal gates
JP2010506408A (ja) 2006-10-05 2010-02-25 エーエスエム アメリカ インコーポレイテッド 金属シリケート膜のald
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
US20080087890A1 (en) * 2006-10-16 2008-04-17 Micron Technology, Inc. Methods to form dielectric structures in semiconductor devices and resulting devices
US7692222B2 (en) * 2006-11-07 2010-04-06 Raytheon Company Atomic layer deposition in the formation of gate structures for III-V semiconductor
KR100825765B1 (ko) * 2006-12-05 2008-04-29 한국전자통신연구원 산화물계 나노 구조물의 제조 방법
US8025932B2 (en) * 2007-02-21 2011-09-27 Colorado School Of Mines Self-limiting thin film synthesis achieved by pulsed plasma-enhanced chemical vapor deposition
KR100877100B1 (ko) * 2007-04-16 2009-01-09 주식회사 하이닉스반도체 비휘발성 메모리 소자 제조 방법
US8617456B1 (en) 2010-03-22 2013-12-31 The United States Of America As Represented By The Secretary Of The Air Force Bulk low-cost interface-defined laminated materials and their method of fabrication
US9162931B1 (en) 2007-05-09 2015-10-20 The United States Of America As Represented By The Secretary Of The Air Force Tailored interfaces between two dissimilar nano-materials and method of manufacture
US9120245B1 (en) 2007-05-09 2015-09-01 The United States Of America As Represented By The Secretary Of The Air Force Methods for fabrication of parts from bulk low-cost interface-defined nanolaminated materials
US8016945B2 (en) * 2007-12-21 2011-09-13 Applied Materials, Inc. Hafnium oxide ALD process
US7816200B2 (en) * 2008-04-22 2010-10-19 Applied Materials, Inc. Hardware set for growth of high k and capping material films
US8076237B2 (en) * 2008-05-09 2011-12-13 Asm America, Inc. Method and apparatus for 3D interconnect
US8227965B2 (en) * 2008-06-20 2012-07-24 Arradiance, Inc. Microchannel plate devices with tunable resistive films
US8237129B2 (en) * 2008-06-20 2012-08-07 Arradiance, Inc. Microchannel plate devices with tunable resistive films
DE102008029385B4 (de) * 2008-06-23 2014-11-27 Carl Von Ossietzky Universität Oldenburg Verfahren zur Herstellung von Seltenerdmetalloxidschichten und Übergangsmetalloxidschichten, Verwendung einer Vorrichtung zur Herstellung von Seltenerdmetalloxidschichten und Übergangsmetalloxidschichten sowie Verwendung eines Metallnitrats
US20100037824A1 (en) * 2008-08-13 2010-02-18 Synos Technology, Inc. Plasma Reactor Having Injector
US8770142B2 (en) * 2008-09-17 2014-07-08 Veeco Ald Inc. Electrode for generating plasma and plasma generator
US8851012B2 (en) * 2008-09-17 2014-10-07 Veeco Ald Inc. Vapor deposition reactor using plasma and method for forming thin film using the same
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8871628B2 (en) * 2009-01-21 2014-10-28 Veeco Ald Inc. Electrode structure, device comprising the same and method for forming electrode structure
KR101172147B1 (ko) 2009-02-23 2012-08-07 시너스 테크놀리지, 인코포레이티드 플라즈마에 의한 라디칼을 이용한 박막 형성 방법
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8071452B2 (en) * 2009-04-27 2011-12-06 Asm America, Inc. Atomic layer deposition of hafnium lanthanum oxides
US8758512B2 (en) * 2009-06-08 2014-06-24 Veeco Ald Inc. Vapor deposition reactor and method for forming thin film
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP2011204852A (ja) * 2010-03-25 2011-10-13 Elpida Memory Inc キャパシタおよびその製造方法、半導体装置
WO2011123792A2 (en) * 2010-04-01 2011-10-06 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Metal nitride containing film deposition using combination of amino-metal and halogenated metal precursors
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
CN101962758B (zh) * 2010-09-09 2013-03-27 南京大学 一种在锗衬底上低温原子层沉积Hf基栅介质薄膜的方法
US8771791B2 (en) * 2010-10-18 2014-07-08 Veeco Ald Inc. Deposition of layer using depositing apparatus with reciprocating susceptor
US8771822B2 (en) * 2011-01-18 2014-07-08 Wisconsin Alumni Research Foundation Methods for the growth of three-dimensional nanorod networks
US8877300B2 (en) 2011-02-16 2014-11-04 Veeco Ald Inc. Atomic layer deposition using radicals of gas mixture
US9163310B2 (en) 2011-02-18 2015-10-20 Veeco Ald Inc. Enhanced deposition of layer on substrate using radicals
US8633114B2 (en) 2011-05-10 2014-01-21 Applied Materials, Inc. Methods for manufacturing high dielectric constant films
US8633119B2 (en) * 2011-05-10 2014-01-21 Applied Materials, Inc. Methods for manufacturing high dielectric constant films
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
WO2013043501A1 (en) * 2011-09-23 2013-03-28 Applied Materials, Inc. Metal-aluminum alloy films from metal amidinate precursors and aluminum precursors
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9006020B2 (en) 2012-01-12 2015-04-14 First Solar, Inc. Method and system of providing dopant concentration control in different layers of a semiconductor device
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9029253B2 (en) 2012-05-02 2015-05-12 Asm Ip Holding B.V. Phase-stabilized thin films, structures and devices including the thin films, and methods of forming same
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP6538300B2 (ja) 2012-11-08 2019-07-03 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 感受性基材上にフィルムを蒸着するための方法
CN103065955B (zh) * 2012-11-21 2015-11-18 中国科学院微电子研究所 一种利用ald制备栅介质结构的方法
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9224607B2 (en) 2013-09-18 2015-12-29 Globalfoundries Inc. Dual epitaxy region integration
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
KR102195139B1 (ko) * 2014-02-20 2020-12-24 삼성전자주식회사 반도체 장치의 제조 방법
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR101522819B1 (ko) * 2014-10-17 2015-05-27 한양대학교 에리카산학협력단 2차원 전자 가스를 포함하는 전자 소자, 및 그 제조 방법
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
TWI717260B (zh) * 2015-05-01 2021-01-21 美商應用材料股份有限公司 使用表面封端化學性質的薄膜介電質之選擇性沉積
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US11013833B2 (en) 2015-08-03 2021-05-25 Advanced Endovascular Therapeutics Coatings for medical devices
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
KR102350589B1 (ko) 2015-08-24 2022-01-14 삼성전자주식회사 박막 형성 방법 및 이를 이용한 집적회로 소자의 제조 방법
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10181397B2 (en) 2015-09-30 2019-01-15 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device and method for forming the same
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
WO2018017360A2 (en) 2016-07-19 2018-01-25 Applied Materials, Inc. High-k dielectric materials comprising zirconium oxide utilized in display devices
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10840350B2 (en) * 2016-10-31 2020-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Nanolaminate structure, semiconductor device and method of forming nanolaminate structure
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10032856B1 (en) 2017-01-24 2018-07-24 International Business Machines Corporation Nanosheet capacitor
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
KR102271008B1 (ko) 2017-10-27 2021-06-29 삼성전자주식회사 반도체 장치
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
EP3768874A4 (en) 2018-03-19 2022-03-30 Applied Materials, Inc. METHODS FOR DEPOSITING COATINGS ON AEROSPACE ELEMENTS
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
EP3784815A4 (en) 2018-04-27 2021-11-03 Applied Materials, Inc. PROTECTION OF COMPONENTS AGAINST CORROSION
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102574322B1 (ko) 2018-06-27 2023-09-05 삼성전자주식회사 반도체 장치
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11009339B2 (en) 2018-08-23 2021-05-18 Applied Materials, Inc. Measurement of thickness of thermal barrier coatings using 3D imaging and surface subtraction methods for objects with complex geometries
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11769692B2 (en) 2018-10-31 2023-09-26 Taiwan Semiconductor Manufacturing Co., Ltd. High breakdown voltage inter-metal dielectric layer
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
US20200203157A1 (en) * 2018-12-20 2020-06-25 Nanya Technology Corporation Method for preparing multiplayer structure
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
WO2020176223A2 (en) * 2019-02-08 2020-09-03 Georgia Tech Research Corporation Systems and methods for high sensitivity stable sensors
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
WO2020219332A1 (en) 2019-04-26 2020-10-29 Applied Materials, Inc. Methods of protecting aerospace components against corrosion and oxidation
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
US11794382B2 (en) * 2019-05-16 2023-10-24 Applied Materials, Inc. Methods for depositing anti-coking protective coatings on aerospace components
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
US11697879B2 (en) 2019-06-14 2023-07-11 Applied Materials, Inc. Methods for depositing sacrificial coatings on aerospace components
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11466364B2 (en) 2019-09-06 2022-10-11 Applied Materials, Inc. Methods for forming protective coatings containing crystallized aluminum oxide
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
EP4061530A4 (en) 2019-11-20 2023-12-27 Nuclera Nucleics Ltd SPATIALLY VARIABLE HYDROPHOBIC LAYERS FOR DIGITAL MICROFLUIDICS
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
CN114945426A (zh) 2020-01-17 2022-08-26 核酸有限公司 用于数字微流体的空间可变介电层
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
WO2021154627A1 (en) 2020-01-27 2021-08-05 E Ink Corporation Method for degassing liquid droplets by electrowetting actuation at higher temperatures
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
WO2021168131A1 (en) 2020-02-18 2021-08-26 Nuclear Nucleics Ltd. Adaptive gate driving for high frequency ac driving of ewod arrays
US11410621B2 (en) 2020-02-19 2022-08-09 Nuclera Nucleics Ltd. Latched transistor driving for high frequency ac driving of EWoD arrays
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
JP2021172884A (ja) 2020-04-24 2021-11-01 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体
WO2021222061A1 (en) 2020-04-27 2021-11-04 Nuclera Nucleics Ltd. Segmented top plate for variable driving and short protection for digital microfluidics
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
US11519066B2 (en) 2020-05-21 2022-12-06 Applied Materials, Inc. Nitride protective coatings on aerospace components and methods for making the same
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20210156985A (ko) 2020-06-19 2021-12-28 삼성전자주식회사 일 함수 층들을 갖는 반도체 소자들
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
KR20210158615A (ko) 2020-06-24 2021-12-31 삼성전자주식회사 게이트 라인을 포함하는 집적회로 소자
KR20210158607A (ko) 2020-06-24 2021-12-31 삼성전자주식회사 캡핑층을 포함하는 반도체 소자
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
EP4175772A1 (en) 2020-07-03 2023-05-10 Applied Materials, Inc. Methods for refurbishing aerospace components
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
WO2022092888A1 (ko) * 2020-10-30 2022-05-05 한양대학교 에리카산학협력단 합금 박막 및 그 제조 방법
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
JP2024506566A (ja) 2021-02-04 2024-02-14 ユーピー ケミカル カンパニー リミテッド ハフニウム前駆体化合物、それを含むハフニウム-含有膜形成用組成物、及び、ハフニウム-含有膜の形成方法
EP4302320A1 (en) * 2021-03-04 2024-01-10 Applied Materials, Inc. Treatments to improve device performance
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5835521A (en) * 1997-02-10 1998-11-10 Motorola, Inc. Long wavelength light emitting vertical cavity surface emitting laser and method of fabrication
US6060755A (en) * 1999-07-19 2000-05-09 Sharp Laboratories Of America, Inc. Aluminum-doped zirconium dielectric film transistor structure and deposition method for same
US6203613B1 (en) 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
US6486080B2 (en) 2000-11-30 2002-11-26 Chartered Semiconductor Manufacturing Ltd. Method to form zirconium oxide and hafnium oxide for high dielectric constant materials
DE10064143A1 (de) * 2000-12-15 2002-06-20 Zeiss Carl Reflexionsminderungsbeschichtung für Ultraviolettlicht bei großen Einfallswinkeln
US6348386B1 (en) 2001-04-16 2002-02-19 Motorola, Inc. Method for making a hafnium-based insulating film
US6420279B1 (en) 2001-06-28 2002-07-16 Sharp Laboratories Of America, Inc. Methods of using atomic layer deposition to deposit a high dielectric constant material on a substrate

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPWO2006028215A1 (ja) * 2004-09-09 2008-05-08 東京エレクトロン株式会社 薄膜キャパシタ及びその形成方法、及びコンピュータ読み取り可能な記憶媒体
JP2006169556A (ja) * 2004-12-13 2006-06-29 Horiba Ltd 金属酸化物薄膜の成膜方法
KR100696765B1 (ko) * 2005-11-17 2007-03-19 주식회사 하이닉스반도체 반도체소자의 유전체막 및 그 형성방법
JP2010538498A (ja) * 2007-09-05 2010-12-09 インターモレキュラー,インク. 蒸気に基づく組合せ処理
JP2011520035A (ja) * 2008-05-02 2011-07-14 インターモレキュラー,インク. 組合わせプラズマ励起堆積技術
JP2014116626A (ja) * 2009-09-30 2014-06-26 Hitachi Kokusai Electric Inc 半導体装置の製造方法、基板処理方法および基板処理装置
JP2022091739A (ja) * 2018-04-13 2022-06-21 アプライド マテリアルズ インコーポレイテッド 選択的原子層堆積方法
JP7290760B2 (ja) 2018-04-13 2023-06-13 アプライド マテリアルズ インコーポレイテッド 選択的原子層堆積方法

Also Published As

Publication number Publication date
US7053009B2 (en) 2006-05-30
TWI276700B (en) 2007-03-21
KR20040077565A (ko) 2004-09-04
US20050170667A1 (en) 2005-08-04
US20040171280A1 (en) 2004-09-02
US6930059B2 (en) 2005-08-16
TW200424348A (en) 2004-11-16
KR100591507B1 (ko) 2006-06-19
JP4158975B2 (ja) 2008-10-01

Similar Documents

Publication Publication Date Title
JP4158975B2 (ja) ナノラミネート膜の原子層堆積
JP4293359B2 (ja) 酸化膜の原子層堆積方法
US7772073B2 (en) Semiconductor device containing a buried threshold voltage adjustment layer and method of forming
Houssa High k gate dielectrics
US8405167B2 (en) Hafnium tantalum titanium oxide films
US7442415B2 (en) Modulated temperature method of atomic layer deposition (ALD) of high dielectric constant films
US8049304B2 (en) Constructions comprising hafnium oxide and/or zirconium oxide
US7833913B2 (en) Method of forming crystallographically stabilized doped hafnium zirconium based films
KR101639464B1 (ko) 유효 산화물 두께가 감소된 하이-k게이트 스택의 형성 방법
US20060258078A1 (en) Atomic layer deposition of high-k metal oxides
US8440520B2 (en) Diffused cap layers for modifying high-k gate dielectrics and interface layers
US7741202B2 (en) Method of controlling interface layer thickness in high dielectric constant film structures including growing and annealing a chemical oxide layer
JP2002343790A (ja) 金属化合物薄膜の気相堆積方法及び半導体装置の製造方法
JP2008544091A (ja) 誘電材料のプラズマ処置
US7755128B2 (en) Semiconductor device containing crystallographically stabilized doped hafnium zirconium based materials
JP2009158927A (ja) Ald法又はcvd法による金属含有膜の調製
KR20080064259A (ko) 개선된 금속 전구체 공급 및 퍼지 단계를 갖는 박막 증착방법
US9064694B2 (en) Nitridation of atomic layer deposited high-k dielectrics using trisilylamine
Ahn et al. Lanthanide doped TiO x films
Mikko Atomic layer deposition

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20060125

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20080404

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080423

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080619

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20080710

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20080710

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110725

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110725

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120725

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120725

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130725

Year of fee payment: 5

LAPS Cancellation because of no payment of annual fees