JP2011520035A - 組合わせプラズマ励起堆積技術 - Google Patents

組合わせプラズマ励起堆積技術 Download PDF

Info

Publication number
JP2011520035A
JP2011520035A JP2011507699A JP2011507699A JP2011520035A JP 2011520035 A JP2011520035 A JP 2011520035A JP 2011507699 A JP2011507699 A JP 2011507699A JP 2011507699 A JP2011507699 A JP 2011507699A JP 2011520035 A JP2011520035 A JP 2011520035A
Authority
JP
Japan
Prior art keywords
plasma
region
substrate
precursor
gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2011507699A
Other languages
English (en)
Other versions
JP5734840B2 (ja
Inventor
シャンカー,スニール
チアン,トニー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Intermolecular Inc
Original Assignee
Intermolecular Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intermolecular Inc filed Critical Intermolecular Inc
Publication of JP2011520035A publication Critical patent/JP2011520035A/ja
Application granted granted Critical
Publication of JP5734840B2 publication Critical patent/JP5734840B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/047Coating on selected surface areas, e.g. using masks using irradiation by energy or particles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • C23C16/45551Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction for relative movement of the substrate and the gas injectors or half-reaction reactor compartments
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • C23C16/45591Fixed means, e.g. wings, baffles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32366Localised processing

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Metallurgy (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Electromagnetism (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

基板の複数の領域を指定するステップと、前駆体を複数の領域の少なくとも第1の領域に供給するステップと、第1の前駆体を用いて形成された第1の領域上に第1の材料を堆積するように、プラズマを第1の領域に供給するステップとを含む組合わせプラズマ励起堆積技術を記載しており、第1の材料は基板の第2の領域上に形成される第2の材料と異なるものである。
【選択図】図3

Description

関連出願の相互参照
本明細書は、参照により本明細書に組み込まれる2008年5月2日出願の米国仮特許出願第61/050,159号、名称「Combinatorial Plasma Enhanced Deposition Techniques(組合わせプラズマ励起堆積技術)」、および参照により本明細書に組み込まれる2009年4月30日出願の米国実用出願第12/433,842号、名称「Combinatorial Plasma Enhanced Deposition Techniques」の権利を主張するものである。
本出願は、参照により本明細書に組み込まれる2008年1月14日出願の米国特許出願第12/013,729号、名称「Vapor Based Combinatorial Processing(気相ベースの組合わせ処理)」と関連するものである。
技術分野
本発明は一般に、半導体処理に関するものである。より具体的には、組合わせプラズマ励起堆積の技術について説明する。
化学気相成長法(CVD)は、半導体製造用に薄膜を堆積するために用いられる処理である。CVDは通常、1またはそれ以上の反応物(例えば、前駆体)を処理チャンバ内の基板に導入するステップを含む。この反応物が反応および/または分解して膜を堆積する。CVD処理時間が長くなると(すなわち、反応物への露出が長くなると)、一般に層の厚さが増加する。プラズマ励起CVD(PECVD)は、処理チャンバ内にプラズマを用いて反応物の反応速度を早め、低温での堆積を可能にする。プラズマ種を用いて、得られる膜の特性を変更することもできる。
原子層堆積法(ALD)は、様々な半導体処理の操作時に原子スケール厚を制御して共形層を堆積するために用いられる方法である。ALDを用いて、障壁層、接着層、シード層、誘電体層、導電層等を堆積することができる。ALDは、少なくとも2つの反応物の使用を含む、複数ステップの自己制限型処理である。通常、第1の反応物(前駆体と称することができる)が基板を収容している処理チャンバ内に導入され、基板の表面に吸着する。余分な前駆体は洗浄および/または排出される。第2の反応物(例えば、水蒸気、オゾン、またはプラズマ)が次いでチャンバ内に導入されて吸着層と反応し、堆積反応によって堆積層を形成する。堆積反応は、一旦最初に吸着層が第2の反応物と完全に反応するとその反応が終了する自己制限型である。余分な第2の反応物は、次いで洗浄および/または排出される。前述のステップが1つの堆積またはALD「サイクル」を構成する。次の層を形成するためにこの処理を繰り返し、サイクル数が堆積される全体の膜厚を決定する。プラズマ励起ALD(PEALD)は、第2の反応物としてプラズマを用いるALDの変形であって、プラズマは構成供給ガスから得られたイオン、ラジカルおよびニュートラルの準静的平衡を構成する。
CVDおよびALDは、基板の上方にシャワーヘッドを具える処理チャンバを用いて実施することができる。反応物は、シャワーヘッドを介して基板に導入される。プラズマ励起処理のため、チャンバ内の2つの電極間における無線周波数(RF)または直流(DC)の点火を利用してプラズマ発生させることができる。この点火を用いて、チャンバ内の反応ガスを刺激する。
半導体の研究および開発は一般に、製造設備を用いて実施される。従って、新しいCVDおよびALD技術を研究する、あるいはCVDまたはALDを用いて堆積した材料を評価するため、層はウエハ全体にわたって堆積していなければならない。このように半導体処理および材料を調査する方法は、時間と費用がかかる場合がある。
従って、組合わせプラズマ励起堆積技術を用いて、半導体開発を改善する必要がある。
本発明の様々な実施形態を以下の詳述および添付の図面に開示する。
図1Aは、複数の領域を有する基板を示す。 図1Bは、組合わせ処理および評価の実施を示す概略図である。 図2A乃至図2Eは、本発明の一実施形態による基板処理システムおよびその構成要素を示す。 図3は、プラズマ励起CVD(PECVD)またはプラズマ励起ALD(PEALD)を利用する様々な状況下において、複数の領域に異なる材料を堆積することができる処理システムを示す簡易図である。 図4Aは、堆積システム用シャワーヘッドの底面図である。 図4Bは、異なる材料が堆積した複数の領域を有する基板を示す。 図5は、組み合わせ材料堆積を実施する代替的なシャワーヘッドを具える組合わせ処理システムを図示している。 図6は、基板の領域の1つにプラズマを点火し、他の領域には点火しないことを示す電気等価回路である。 図7は、基板を組み合わせて処理するために、基板の複数の領域にわたってプラズマを変更する処理を示すフローチャートである。 図8は、組合わせプラズマ励起ALD処理を実行するタイミング図である。 図9は、組合わせプラズマ励起ALD処理を実行するタイミング図である。 図10は、組合わせプラズマ励起ALD処理を実行するタイミング図である。 図11は、組合わせプラズマ励起ALD処理を実行するタイミング図である。
1またはそれ以上の実施形態の詳述を、添付の図面に従って以下に示す。このような実施形態に関連する詳述を示すが、特定の実施例に限定するものではない。この範囲は特許請求の範囲によってのみ限定され、多くの代替、改変、および均等物は包含される。多くの具体的な詳細が、十分に理解できるようにするために以下の明細書に示される。これらの詳細は例示を目的として示されており、記載された技術は、これらの具体的詳述の範囲を幾らかまたは総て超えることなく、特許請求の範囲に従って実施することができる。明確にする目的で、実施形態に関連する技術分野において既知の技術材料については、必要のない不明瞭な説明を避けるために詳細を記載していない。
様々な実施形態によると、プラズマ励起化学気相成長法(PECVD)およびプラズマ励起原子層堆積法(PEALD)のパラメータまたは状態は、基板の領域にわたって組み合わせて変更することができる。この組み合わせの変形を用いて、プラズマ励起技術を用いた新しい材料の研究、あるいはプラズマ励起技術を実施する最適な処理パラメータまたは状態を判定することができる。いくつかの実施形態では、反応物または基板全体の増強としてプラズマを用いることができ、他のパラメータ(例えば、前駆体の種類、露出時間)は基板の領域にわたって変更される。他の実施形態では、プラズマの種類またはプラズマの有無を領域にわたって変更することができる。例えば、基板の2つの領域をプラズマに露出して、基板の2つ領域を補強または他の反応物の何れにも露出させないこともできる。得られた材料は、その後特性付けられて評価され、最適な処理の解決策を判定する。基板の領域に差別的にプラズマを与える技術および装置を以下に示す。
2つの電極間にプラズマガスを供給し、2つの電極間に電位差を発生させることにより、処理チャンバ内にプラズマを発生させることができる。供給ガスをイオン化するのに必要な力は、容量結合源または誘導結合源のうちの一方から得られる。プラズマはイオン、ラジカルおよびニュートラルの準静的平衡を意味しており、これは加電圧がある状態でガスが最適圧力の場合に、特定の状態からもたらされる。幾つかのガスは、他のガスよりも分解しやすく、従って点火しやすい(すなわち、プラズマを作り出しやすい)。さらに、2つの電極間の距離は、プラズマが当たるか否かに影響を与えることがある。以下の様々な実施形態に応じて、プラズマガスの成分、チャンバ圧力および電極間の距離を変更して、プラズマ励起堆積を組み合わせて実施することができる。他の実施形態は、プラズマの遠隔源を用いて、組合わせてプラズマを提供する。
[I.組合わせ処理]
「組合わせ処理」は一般に、基板の複数の領域を差別的に処理する技術を意味する。組合わせ処理を用いて、半導体の製造に関連する異なる材料、化学物質、処理および技術を作りだして評価し、さらには構造を作るか、上述のものを既存の構造に如何にコーティング、充填または一体化するかを決定することができる。組合わせ処理は、基板上の複数の領域にわたって、材料、単位工程および/または工程順序を変更する。
A.基板の複数領域
図1Aは、複数の領域を有する基板100を図示している。この基板100は、複数のウェッジ形状領域102を具えている。ウェッジ形状領域102は、CVD、ALD、PECVD、およびPEALDといった技術を用いて形成することができる。例えば、前駆体、反応物、露出時間、温度、圧力、あるいは他の処理パラメータまたは状態を変更することにより、それぞれの領域102に異なる材料を堆積することができる。その後、この領域102を試験および比較して、どちらの材料または技術が更なる研究に値する、あるいは製造に有用であるかを決定することができる。ここに示すように、基板100は4つのウェッジに分かれているが、任意の形状を有する任意の数の領域を使用できることを理解されたい。さらに、基板100は円形ウエハであるが、大型のウエハから切り取られた矩形のクーポン(coupon)を含む、任意の形状またはサイズの基板を使用してもよい。基板またはウエハは、集積回路、半導体装置、フラットパネル画面、光電子装置、データ記憶装置、磁電気装置、磁気光学装置、分子電子素子、太陽電池、光素子、パッケージ装置、およびその種のものに用いられるものであってもよい。
例として、2つの前駆体を用いて、基板100上に2つの異なる材料を堆積することができる。第1の前駆体Aを用いて、例えばアルミニウムを領域102aおよび102bに堆積することができ、第2の前駆体Bを用いて、例えばハフニウムを領域102cおよび102dに堆積することができる。前駆体Aは、領域102aにおいて領域102bと異なる露出時間、流量等であってもよい。さらに、1またはそれ以上の領域は、補強または反応物としてプラズマを用いてもよい。以下の説明は、プラズマを基板の一部に供給する実施形態を含む。
単位工程とは、半導体製造に用いる個々の工程である。CVDおよびALD処理に関する単位工程の例は、反応物または前駆体を導入するステップと、洗浄するステップと、2つの電極間に電圧を加えるステップとを含む。工程順序とは、半導体処理(例えば、層を堆積する)を実行するのに用いられる一連の個々の単位工程である。
組合わせ処理を用いて、1またはそれ以上の基板の領域にわたって任意の材料、単位工程、または工程順序を変更することができる。例として:
異なる材料(または異なる性質を有する同一材料)を1またはそれ以上の基板の異なる領域上に堆積することができる。
異なる単位工程を領域にわたって実施することができる、あるいは多様な単位工程(例えば、前駆体をある領域に10秒間、別の領域に10秒間にわたって露出する)を実施することができる。
単位工程の順序、例えば1またはそれ以上の層を堆積するために用いる一連の各単位工程を変更することができる。さらに、単位工程を工程順序に追加または省略することができる。
B.組合わせ評価
図1Bは、組合わせ処理および評価の実施を示す概略図140である。概略図140は、特定の材料および/または処理を選択するにつれて、1組の基板に行う組合わせ処理の相対数が減少することを示している。通常、組合わせ処理は、第1のスクリーニングの間に多くの処理および材料を選択するステップと、これらの処理から有望な候補を選択するステップと、第2のスクリーニングの間に選択した処理を実行するステップと、第2のスクリーニングから有望な候補を選択するステップと、その繰り返しを含む。さらに、後の段階から初期の段階へのフィードバックを利用して、成功基準を正確にし、さらに優れたスクリーニング結果をもたらすことができる。
例えば、材料確認ステージ142の間に数千の材料を評価する。材料確認ステージ142は、第1次スクリーニング技術を用いて実行される第1次スクリーニングステージとしても知られている。第1次スクリーニング技術は、ウエハを領域に分割し、異なる処理を用いて材料を堆積するステップを含んでもよい。この材料は次いで評価され、有望な候補は第2次スクリーニング、または材料および処理発展ステージ144に進められる。材料の評価は、物理的かつ電気的テスタおよび画像設備といった計測設備を用いて実施する。
材料および処理発展ステージ144は数百の材料(すなわち、第1次ステージよりも規模が小さい)を評価することができ、これらの材料を堆積または発展させるために用いる処理に集中させることができる。有望な材料および処理が再び選択され、第3次スクリーニングまたは処理統合ステージ146に進められて、数十の材料および/または処理および組み合わせが評価される。第3次スクリーニングまたは処理統合ステージ146は、構造内へ選択された処理および材料を他の処理および材料に統合するように集中することができる。
第3次スクリーニングからの最も有望な材料および処理は、装置適正148に進められる。装置適正では、大量生産するために選択された材料および処理が評価され、通常は製造設備内のウエハ全体に実施されるが、このような方法で必ずしも実施する必要はない。この結果が評価され、選択した材料、処理、および統合の有効性を確定する。成功すれば、スクリーニングした材料および処理の利用を製造150に進めることができる。
概略図140は、半導体装置を開発するために、材料、処理、および統合を評価および選択するのに用いられうる様々な技術の例である。第1次、第2次等のスクリーニングおよび様々なステージ142乃至150の種類は任意であり、このステージは、重複する、ランダムな順序で生じる、他の多くの方法で記載および実行してもよい。
[II.組合わせCVD/ALD処理システム]
図2A乃至図2Eは、本発明の一実施形態による、基板処理システム200およびその構成要素を図示している。基板処理システム200は、例えばアルミニウムまたは陽極処理アルミニウムのような処理互換性材料で形成された囲いアセンブリ202を具えている。囲いアセンブリ202は、処理チャンバ206を規定するハウジング204と、処理チャンバ206の開口部を覆う真空フタアセンブリ208とを具えている。ウエハ移動チャネル210がハウジング204内に位置しており、以下で更に十分説明するように、処理チャンバ206とその外側との間における基板の移動が容易となる。真空フタアセンブリ208に取り付けるものは、反応流体およびキャリア流体を処理チャンバ206内に供給する処理流体注入アセンブリであり、以下で更に十分説明する。そのため、流体注入アセンブリは、複数の通路212a、212b、212cおよび212dと、シャワーヘッド214とを具える。チャンバハウジング204、真空フタアセンブリ208、およびシャワーヘッド214は、従来の方法によって望ましい温度の範囲内に維持することができる。シャワーヘッド214の様々な実施形態は、以下に記載する(例えば、図2C乃至図2Eおよび図4A参照)。
ヒータ/リフトアセンブリ216は、処理チャンバ206内に配置される。ヒータ/リフトアセンブリ216は、支持シャフト220に連結された支持台座218を具える。真空フタアセンブリ208が閉鎖位置にある場合、支持台座218はシャフト220と真空フタアセンブリ208との間に位置している。支持台座218は任意の処理互換性材料、例えば窒化アルミニウムおよび酸化アルミニウム(Alまたはアルミナ)で形成することができ、その上の基板を保持するように構成されており、例えば、支持台座218は、真空チャックあるいは静電チャック(ESC)または物理的クランプ機構といった他の従来技術であってもよい。ヒータ/リフトアセンブリ216は、支持台座218とシャワーヘッド214との間の距離を変更するために制御可能に移動するよう構成されており、基板からシャワーヘッドまでの間隔を制御する。本明細書に記載するように、シャワーヘッド214と台座218との間の距離は変更することができ、基板の領域にわたってプラズマの点火を可能にするか不可能にする。センサ(図示せず)が処理チャンバ206内の支持台座218の位置に関する情報を提供する。台座アセンブリ内に組み込まれた抵抗加熱要素のような加熱要素(図示せず)を使用することによって、支持台座218を用いて基板を加熱することができる。
図2Aおよび図2Bの双方を参照すると、流体供給システム222は、一連の導管を介して通路212a、212b、212cおよび212dと流体連通している。ターボポンプ224および粗引きポンプ226といった1またはそれ以上のポンプを具えうる圧力制御システムによって、流体供給システム222から処理チャンバ206に処理流体がある程度流れており、これらの両ポンプはバタフライ弁228およびポンプチャネル230を介して処理チャンバ206と流体連通している。そのため、制御装置232は、システム200の様々な要素の動作を制御する。制御装置232は、ランダムアクセスメモリ236およびハードディスクドライブ238といったメモリとデータ通信しているプロセッサ234を具えており、必要に応じて、ターボポンプ224、温度制御システム240、流体供給システム222および他の様々なシステムの側面と信号通信する。システム200は、支持台座218上に配置された基板246の表面244と近位に位置する処理チャンバ206の処理領域242内の状態を確立して、薄膜のような所望の材料を形成することができる。そのため、ハウジング204は支持台座218を囲む周囲流れチャネル248を作るように構成され、処理位置に配置された場合に、システム200によって実現できる化学処理に基づいて所望の寸法を処理領域242にもたらすことができる。処理領域242がポンプチャネル230とシャワーヘッド214との間に位置するように、ハウジング204内にポンプチャネル230が配置される。
周囲流れチャネル248の寸法は、当該チャネルを通過する処理流体の所望のコンダクタンスをもたらすように規定され、ほぼ一様な方法、および更に以下に示すような軸対称の方法で基板246の表面上に処理流体の流れをもたらす。このため、ポンプチャネル230を通るコンダクタンスは、周囲流れチャネル248を通るコンダクタンスよりも大きくなるように選択される。一実施形態では、ポンプチャネル230および周囲流れチャネル248を通る処理流体の相対的なコンダクタンスは、例えば10:1であり、ポンプチャネル230のコンダクタンスは、周囲流れチャネル248を通る処理流体のコンダクタンスよりも少なくとも10倍大きくなるように設定される。このようなコンダクタンスにおける大きな差異は他の比率でもよく、処理領域242を通って移動し、ポンプチャネル230に向かって基板246および支持台座218を実質的に通過する流れAおよびBに示すように、基板246の表面にわたって軸対称流れが容易となるように機能する。
プラズマを基板246に与えるため、シャワーヘッド214と台座218との間に電位差を発生させながら、プラズマガスを処理領域242に供給することができる。このため、(1)シャワーヘッド214を無線周波数(RF)電源のような電源に、かつ台座218をアースに連結する;(2)シャワーヘッド214をアースに、かつ台座218をRF電源のような電源に連結する;または(3)シャワーヘッド214および台座218の双方を異なる位相を有する電源(例えば、RF)に連結することによって、電位を作りだすことができる。シャワーヘッド214と台座218との間に電位を作る任意の他の技術もまた使用できることを理解されたい。例えば、RF電源を用いる代わりに、直流(DC)電源も使用することができる。プラズマガスは、電位差によって点火するガスである。例えば、プラズマガスは、アルゴン、水素、酸素、窒素、またはそれらの任意の組み合わせであってもよい。以下に示すように、基板のいくつかの領域にわたってプラズマを点火して他の領域には点火しないように、複数のプラズマガスを用いてもよい。
図2B乃至図2Dを参照すると、流れAおよびBの発生を促進するため、シャワーヘッド214は中心軸254の周りを放射状に対称となるように形成されたバッフルプレート252を具えるが、必ずしも必要ではない。バッフルプレート252は、第1および第2の対向する表面256aおよび256bを有しており、その間にわたって延在する複数の貫通ポート258a、258b、258cおよび258dを有している。バッフルプレート252にはマニホールド部260が連結され、当該マニホールド部260を貫通して延在する複数の注入ポート262を有する。マニホールド部260は通常、軸254の周りを放射状に対称となるように配置される。マニホールド部260は、表面256bから間隔をあけて配置され、その間にプレナムチャンバ264を規定する。ファスナ、溶接およびその種のものを含む半導体処理技術において知られている任意の手段を用いて、マニホールド部260をバッフルプレート252に連結することができる。バッフルプレート252およびシャワーヘッド214は、ステンレス鋼、アルミニウム、陽極処理アルミニウム、ニッケル、セラミックスおよびその種のものを含む、この用途に適した任意の既知の材料で形成することができる。
図2B乃至図2Dを参照すると、マニホールド部260からバッフルプレート252に向かって延在している胴部266を具える流体分離機構が、マニホールド部260から延在している。胴部が表面から延在している距離は、特定の設計パラメータに依存しており、以下に更に完全に示すように、プレナム264内に区分を作るように距離の一部または距離全体に及ぶまで延在することができる。一実施形態では、胴部266は2つの直交方向へマニホールド260とバッフル252との間に延在していてもよく、四分円または区分268a、268b、268cおよび268dと呼ばれる4つの領域を作る。4つの四分円を示しているが、必要な領域の数または基板246上に規定できる領域の数に応じて、更なる胴部分266を加えるか、ポートの位置および/またはシャワーヘッドの出口パターンを変更することにより、任意の数の区分をつくることができる。胴部266の頂点270は通常、軸254に位置合わせされる。通路212a乃至212dは、258a乃至258dに示すように、4つのポートを通して流体を誘導するように構成される。この方法では、ポート258a乃至258dは、四分円268a乃至268dのうち対応する1つと関連付けられた処理流体の流れを作るように配置される。胴部266は、ポート258a乃至258dを出る流体が近接する四分円268a乃至268d間で拡散するのを防がない場合でも最低限となるように、十分な仕切りを提供する。この方法では、4つのポート258a乃至258dのそれぞれは、残りのポート258a乃至258dが処理流体の流れを誘導する四分円268a乃至268dとは異なる四分円268a乃至268dの1つに処理流体の流れを誘導する。
図2Eは、シャワーヘッド214の下側から延在している任意の突起部を図示している。突起部272を用いて、基板の領域を分割する。基板の各領域を分割する突起部があるように、突起部272を配置することができる。例えば、図2Eに示すように、4つの突起部272を用いて、基板246上の4つの領域を分割、または実質的に分割する。突起部272は、隣接する領域に導入するように意図された反応物のようなガスが領域から移動するのを実質的に防ぐ場合がある。さらに、突起部272はまた、ある領域に発生したプラズマが他の領域に拡散したり、ガスを点火するのを防ぐ。突起部272は、基板246と接触するか、基板の表面244から多少の距離(例えば、0.5乃至5mm)離れていてもよい。突起部とウエハとの間の間隔は、プラズマを維持することができない黒い空間を確保するのに重要となる。
[III.組合わせPEVCD/PEALD処理システム]
A.組合わせPECVD/PEALDの分割シャワーヘッド
図3は、プラズマ励起CVD(PECVD)またプラズマ励起ALD(PEALD)を用いる様々な状況下において、異なる材料を堆積することができる処理システム300を示す簡易図である。図4Aは、シャワーヘッド214の下面図である。図4Bは、異なる材料302が堆積した複数の領域402を具える基板246を図示している。
処理システム300を用いると、プラズマを基板246の領域に選択的に加えることができ、これにより、異なる材料(例えば、材料302a乃至302d)が基板246の異なる領域402a乃至402d上に形成される。この材料302a乃至302dは、様々な処理パラメータを用いて形成される場合、異なるものであるとみなすことができる。例えば、異なる前駆体を異なる領域に用いることができ、同一の領域に同一の前駆体を用いるがプラズマを加えたり、加えなくてもよく、いくつかのパラメータ(例えば、RF動力、時間等)を組み合わせてもよい。領域402およびシャワーヘッド214の区分268は、任意のサイズ、形状、または構成を有していてもよいが、一実施形態によると、領域402は共通のサイズおよび形状を有する。様々な実施形態によると、組合わせ処理によって変更されうるPECVDおよびPEALDのパラメータまたは状態は、プラズマを点火する動力、プラズマおよび他のガスの流量、プラズマガスの種類、圧力、前駆体の選択、露出時間、間隔等を含む。
ALDまたはCVD処理の前に、プラズマを用いて基板の前処理を行うこともできる。プラズマを用いて、例えば、基板の表面上の不要な酸化といった汚染物質を除去することができる。例えば、銅の基板に表面酸化物がある場合、プラズマを加えて、不要な酸化物を除去できる。基板の湿潤性を向上させるといった他のプラズマ前処理を用いてもよい。プラズマを基板全体に加えてもよく、あるいはいくつかの領域に加えて他には加えないように組み合わせてもよい。プラズマのパラメータ(例えば、プラズマガスの組成)またはプラズマの使用量に対する使用しないプラズマの量を基板の領域にわたって変更して、組合わせ処理を評価してもよい。いくつかの実施形態では、組合わせプラズマ前処理を、後の組合わせないALDまたはCVD処理(すなわち、基板全体にわたって同じ処理状態を用いる)と共に用いてもよい。
さらに、基板246全体にプラズマを加えてもよいが、異なる材料を堆積するように、異なる領域にわたって異なる前駆体または他の処理状態を用いてもよい。本明細書に使用するように、材料が異なる組成、粒状構造、形態、厚さ等を有している場合、材料(例えば、薄膜または層を含む)は別の材料とは異なる。一実施形態では、チャンバ206に流入する流体は、それぞれの領域にわたってほぼ一定の量(例えば、250sccm)である。図8乃至図11のタイミング図は、全ての流体の流れをより詳しく説明している。
ALDサイクルの後半でプラズマまたは他の反応物を用いる、あるいはCVD処理を励起させるためにプラズマを用いると、様々な実施形態によって組合わせて変化させることができる。様々な技術を用いて、チャンバ206内に分割してプラズマを供給できる。本明細書に記載の実施形態によると、インシチュまたはエクスシチュの何れかにおいてプラズマを基板246の各領域に供給(および他には供給しない)することができる。
プラズマのエクスシチュ方式は、イオン、アトム、ラジカルおよび他のプラズマ種を生成する遠隔のプラズマ源304を用いて実施することができる。遠隔のプラズマ源304から生成されたプラズマ種は、流体供給システム222を用いて基板246に供給される。遠隔のプラズマ源304は、酸素、水素、アンモニア、またはアルゴンのような供給ガス314(すなわち、プラズマガス)を受け、ラジカル、イオン、アトム等のようなプラズマ種を生成する。遠隔のプラズマ源304は、無線周波数、マイクロ波、または電子サイクロトロン共鳴(ECR)上流プラズマ源といった任意の種類のプラズマ源であってもよい。
流体供給システム222は、複数の供給源から流体を供給することができる。例えば、1またはそれ以上のALDまたはCVDの前駆体306を、基板246の領域402に同時または連続して供給することができる。プラズマ励起ALDシステムを用いる場合、前駆体およびプラズマの双方は、基板246上に層を形成するように反応する反応物である。エクスシチュ方式のプラズマは、いくつかの領域402にプラズマ種を流入して他には流入しない、あるいは異なる領域402に対して異なる特徴のプラズマまたはパラメータを用いることによって、差別的に加えることができる。
インシチュ方式のプラズマは、2つの電極(例えば、シャワーヘッド214と台座218)間に電位差を作ることによって供給することができる。インシチュ方式のプラズマは、異なるガスが基板246の異なる領域402に流入することによって差別的に加えることができる。パッシェンの法則は、ガスを介してプラズマが形成される状況を決定する。パッシェンの法則によると、2つの電極間に与えられたガスに対して、降伏電圧(V)よりも大きいか等しい電位差が2つの電極(例えば、シャワーヘッド214と台座218)間に適用された場合にプラズマが形成される。Vは、電極間の距離(例えば、距離d308)およびチャンバ内のガス圧に依存し:
= f(pd)
であって、fはチャンバにあるガスに固有の性質である。従って、電極間の距離が一定かつチャンバ206内の圧力が一定であるとして、電位差が適用されるときのプラズマの点火はプラズマガスの固有の性質による。本発明の実施形態によると、シャワーヘッド214の区分268の1つは容易に点火するガス(例えば、Ar)を供給して、別の区分268は点火しにくいガス(例えば、H)を供給してもよい。使用できる他のプラズマガスは、酸素、窒素、アンモニア等を含む。この方法では、プラズマを基板246の領域402の1つに供給して、別の領域402には供給しないでもよい。結果として、プラズマを変更することによって組み合わせる方法で、異なる材料を基板246の複数の領域402に形成することができる。例えば、基板246のある領域402aに反応物としてプラズマを用いながら、基板246の第2の領域402bに別の反応物を用いてもよい。これを行う実施例を、図8乃至図11のタイミング図について以下に記載する。プラズマを基板246の幾つかの領域に供給し、他の領域には供給しない他の技術を以下に記載する。
シャワーヘッド214と台座218との間の電位差は、いくつかの方法で提供することができる。一実施形態によると、無線周波数(RF)電源310が、シャワーヘッド214および台座218の一方または両方に取り付けられる。RF電源は、2メガヘルツ(MHz)、3.39MHz、13.56MHz、60MHz、300乃至500キロヘルツ(kHz)および他の周波数を含む任意の周波数を用いることができる。一実施形態では、電源310aを用いてシャワーヘッド214に動力が供給され、台座218はアース312aに取り付けられる。第2の実施形態では、台座218が電源310bに取り付けられて、シャワーヘッドがアース312bに取り付けられる。第3の実施形態では、シャワーヘッド214および台座218の双方が、それぞれRF電源310aおよび310bに取り付けられる。第3の実施形態では、電源310aおよび310bは、周波数または位相の何れかまたは両方をオフセットすることができる。これらの実施形態の何れも、シャワーヘッド214と台座218との間に電位差をもたらし、必要に応じて、チャンバ206内にプラズマを点火するか、点火しないでもよい。直流(DC)電源のような他の種類の電源を用いて電位差を発生させてもよい。一実施形態によると、基板上に予め成形された装置の損傷を避けるため、供給電力は1.0W/cmよりも小さい。しかしながら、任意の電力量を使用できることを理解されたい。
図4Aは、シャワーヘッド214の下面図である。区分268および注入ポート262、ならびに突起部272が可視できる。図4Bは、異なる材料が組み合わせて堆積された基板246の俯瞰図である。この実施形態では、区分268は、基板246の領域402に対応する。従って、材料302を形成する前駆体は、対応するシャワーヘッド214の区分268によって放出される。
「黒い」領域404は、基板246の領域402間の範囲である。この黒い領域404は露出された領域402の間にあり、黒い領域404における反応物への露出は主に、露出された領域402から反応物が移動したことに起因する。突起部272を使用する、あるいはチャンバ内の流れの状態、流量、ポートの位置および/またはシャワーヘッドの構成、および他の可能な技術を調整することにより、いくつかの実施形態において、これらの黒い領域404を最小限にするか取り除くことができる。
前駆体またはガスがガス注入システム222によって導入された場合、化学反応物が基板246上で相互作用して材料302を形成する。基板246は、4つの異なる領域402上に堆積した異なる材料302を有する。上述のように、材料が組成(すなわち化学成分)、形態、厚さ等のような本質的な点で変化する場合、材料は異なるとみなすことができる。例えば、異なる前駆体を用いて材料302のそれぞれを堆積することができる。材料302aはタンタル(トリス(ジエチルアミノ)(tert−ブチルイミド)タンタル(TBTDET)のようなタンタル前駆体を用いて形成)であってもよく、材料302bはチタン(テトラキス・ジエチルアミド・チタン(TDEAT)のようなチタン前駆体を用いて形成)であってもよく、材料302cはハフニウム(テトラキス(ジメチルアミド)ハフニウム(TDMAHf)のようなハフニウム前駆体を用いて形成)であってもよく、材料302dはルテニウム(ビス(メチルシクロペンタジエニル)ルテニウム(Ru(MeCp))のようなルテニウム前駆体を用いて形成)であってもよい。この方法では、4つの異なる前駆体を用いて、4つの異なる材料を組み合わせて堆積する。代替的には、処理順序または他の処理状態は、領域によって、あるいは複数の領域にわたって変更することができ、組合わせアレイを作る。特定の変形は一般に、実験計画法において規定されるが、必ずしもそのように規定する必要はない。
実施形態によると、領域にわたって変更できる処理パラメータの1つが、基板の領域内のプラズマの有無である。例えば、プラズマを領域402a内で点火して、領域402b乃至402d内では点火しなくてもよい。プラズマは、PEALDまたはPECVD処理に用いられる反応物であってもよい。他の反応物(例えば、水蒸気)をプラズマが当たらない他の領域に用いてもよい。上述のように、プラズマの点火は、電極(例えば、シャワーヘッド214と台座218)間の距離、チャンバ206内の圧力、およびプラズマに用いるガスに依存する。本発明の実施形態は、プラズマを基板にわたって差別的に供給する、様々な距離およびガス組成を記載する。
B.組合わせPECVD/PEALD用の代替的なシャワーヘッド
図5は、組合わせ材料堆積を実施する、代替的なシャワーヘッド214を具える組合わせ処理システム500を図示している。上述のように、プラズマの点火(すなわち、降伏電圧)は、電極(例えば、シャワーヘッド214と台座218)間の距離に依存する。図示された代替的なシャワーヘッド214は、台座218から異なる距離(例えば、距離d502aおよびd502b)にある区分268aおよび268bを具えている。単一のプラズマガスをチャンバ内に供給することができ、距離502aがプラズマを点火するには広すぎ、一方で距離502bがプラズマを点火するのに十分である、またはその反対(例えば、距離502aがプラズマを点火し、距離502bがプラズマを点火するには狭すぎる)となるように、プラズマガスおよび台座の位置を選択できる。この方法では、プラズマを幾つかの領域で点火し、他の領域では点火しないようにできる。
他の実施形態によると、区分268を基板246を基準として動的に移動させることができる。例えば、特定の組合わせを実験する必要性に応じて、距離d502aおよびd502bを動的に調整することができる。さらに、シャワーヘッド214(ここに示す代替的なシャワーヘッドを含む)を基板246に対しユニットとして移動させて、距離d502aおよびd502bを変更してもよい。さらに、シャワーヘッド214または台座218の何れか、あるいは両方が回転可能であって、ここで示す代替的なシャワーヘッド214を用いる場合に、シャワーヘッド214と基板246の領域404との間の距離を変更してもよい。
C.領域間のプラズマの移動
プラズマを基板246の領域402の1つに点火し、次いで別の領域402に移動させて組合わせ処理を実現することができる。ある領域から別の領域にプラズマを移動させる2つの技術について記載する。
1.ガス混合の変更
第1の技術は、図2C乃至図2Eおよび図3に示すシャワーヘッド214を用いる。シャワーヘッド214と台座218との間にプラズマガス(例えば、Ar)および電位差をもたらし、基板246の他の領域402内には点火しないガスを用いることによって、シャワーヘッド214は、第1の領域、例えば領域402a内にプラズマを作りだす。後に、電位差は維持されるが、第1の領域内のプラズマガスが、この状況下で点火しないものに変更され(例えば、パージガス)、この状況で点火するプラズマガス(例えば、Ar)が次いで第2の領域(例えば、領域402b)内に供給される。第1の領域から点火しやすいプラズマガスを除去し、第2の領域内に点火しやすいガスを導入すると、第1の領域から第2の領域にプラズマが移動する。実施形態によると、両方の領域にプラズマが存在する重複期間がある場合がある。さらなる実施形態によると、任意の数の領域が何時でもプラズマを有していてもよく、その領域は隣接しているか、隣接していなくてもよい。
2.回転台座
一実施形態によると、台座218は回転可能であってもよい。ある領域に対応するシャワーヘッド214の区分(例えば、区分268a)を介して適切なプラズマガスを供給することにより、プラズマを領域(例えば、領域402a)内に当てることができる。基板246が回転して、プラズマは別の領域(例えば、領域402b)に移動できる。
この実施形態は、図5に示す代替的なシャワーヘッド214と共に用いることができる。例えば、図5に示す代替的なシャワーヘッド214では、領域402aを区分268aから放出された前駆体に露出させることができ、領域402bを区分268bから放出された前駆体に露出させることができる。この実施例では、区分268bは台座218に近く、プラズマは領域402b内で点火するが、領域402a内では点火しない。台座218が回転して、領域402aが区分268bの下に移動することにより、領域402aにプラズマを移動させることができる。
さらに、台座218の回転を利用して、更なる領域を作ることができる。例えば、シャワーヘッド214が4つの区分268に分割されている場合、台座218が回転することにより、基板246上に4つ以上の異なる材料302を作ることができる。台座が1/2領域(すなわち45°)程度回転すると、この例では、8つの領域を作り出す。4つの前駆体を4つの区分268を通して放出できる。これらの前駆体を放出している間、台座218は45°まで回転して、各領域の半分に別の前駆体を露出することにより、さらに4つの領域を作ることができる。例えば、前駆体Aが区分268aを通って領域402a上に放出され、前駆体Bが区分268bを通って領域402b上に放出される。前駆体に露出している間、台座が回転して、これにより領域402aの半分がここで前駆体Bに露出し、領域402aの残りが前駆体Aに露出し続ける。得られる8つの領域は、単一の前駆体に露出した4つの領域と、前駆体の混合に露出した4つの領域を含む。任意の数の領域を任意の量の回転および前駆体への露出と組み合わせて使用すると、任意の数の領域を作ることができることを理解されたい。
D.電気的等価回路
図6は、基板の領域の1つにプラズマを点火して、他の領域には点火しない電気等価回路600を示している。この等価回路600は、区分268および基板の領域402を通る電流の流れを示している。例えば、開放スイッチ602、604、および608は、領域402a、402b、および402dのそれぞれにプラズマがないことを示している。閉鎖スイッチ606は、領域402c内に電流の流れおよびプラズマが存在することを示している。領域内にプラズマを点火すると、その領域内の2つの電極(すなわち、シャワーヘッド214と台座218)間の回路が事実上完成する。この実施例では、閉鎖スイッチ606によって示す領域402cは、他の領域内のプラズマガスよりも簡単に点火するプラズマガスを有している。図5について記載するような他の実施例では、領域402c内のシャワーヘッド214と台座218との間の距離は、他の領域内のシャワーヘッド214と台座218との間の距離と異なる。
[IV.PECVDまたはPEALDを用いて組合わせ評価を実施する処理]
図7は、基板の複数の領域にわたってプラズマを変更して、基板を組み合わせて処理する処理700を示すフローチャートである。図7に記載の処理700は、PECVDまたはPEALDを用いる組合わせ方法において、材料を形成または堆積パラメータ(例えば、前駆体、温度、プラズマの有無)を分析する実施形態である。
操作702では、基板の複数の領域を指定する。いくつかの実施形態では、領域を指定するステップは、基板の領域のおよその位置および境界を決定するステップを含む。例えば、図4Bに示すように、いくつかの領域402が基板246上に指定される。いくつかの実施形態では、領域は、例えば突起部272を用いて少なくとも部分的に、物理的に分割できる。代替的には、突起部272を使用せず、領域をシャワーヘッド214の区分268に対応させる。
操作704では、プラズマ前処理を任意に実施する。堆積処理の前に、プラズマを1またはそれ以上の領域402(または基板246全体)に加えることができる。例えば、プラズマ前処理を利用して、基板上に形成されうる酸化物または他の汚染物を除去することができ、あるいは基板の湿潤性のような他の特徴を変更するために利用してもよい。このプラズマ前処理を利用してさらに、ALDまたはCVDの前駆体の核生成を向上させることができる。異なる領域402内に異なるプラズマガスを用いるエクスシチュ型プラズマを差別的に加える、あるいは図5に示す代替的なシャワーヘッド214を使用することによって、プラズマ前処理を1またはそれ以上の領域402に適用し、他には適用しないようにしてもよい。
操作706では、第1の前駆体を基板の少なくも1つの領域(例えば、領域402a)に供給する。この第1の前駆体は、材料が堆積するよう選択された前駆体、例えばハフニウムベースの層を堆積するTDMAHfであってもよい。操作708では、第2の前駆体が、第1の前駆体を供給した領域以外の少なくとも1つの領域(例えば、領域402b)へ任意に供給される。第2の前駆体は、第1の前駆体によって形成される材料とは異なる別の材料を堆積するように選択され、例えば、チタンを含む層を堆積するようにTDEATまたはTDMATであってもよい。
いくつかの実施形態では、組合わせ変化は、領域へのプラズマの供給に関する。例えば、同一の前駆体を基板の総ての領域に供給して、プラズマを第1の領域に当てて第2の領域には当てないでもよい。代替的には、基板の領域の1つにある前駆体が供給され、基板の別の領域には別の前駆体が供給されてもよい。この方法では、第1の材料および第1の材料と異なる第2の材料が、両方とも基板上に形成される。
操作710では、プラズマを第1の領域に供給して第2の領域には供給しない、あるいは第1の領域および第2の領域の双方に供給する。一実施形態では、プラズマが第1の領域および第2の領域の両方に供給された場合、異なる前駆体がそれぞれ第1および第2の領域に供給され、これにより、基板の第1および第2の領域のそれぞれに第2の材料と異なる第1の材料が形成される。他の実施形態では、プラズマが1以上の領域内に当てられる場合、領域にわたってプラズマのパラメータを変更してもよい。組合わせ処理に対して変化しうるPECVDおよびPEALDのパラメータまたは状態は、プラズマを点火する動力、プラズマおよび他のガスの流量、プラズマガスの種類、圧力、前駆体の選択、露出時間等を含む。いくつかの実施形態では、異なるプラズマガスを異なる領域に供給して、これにより、いくつかのプラズマを点火して、他は点火しなくてもよい。さらなる実施形態では、シャワーヘッド214の幾つかの区分268と台座218との間の距離を変更してもよい(図5参照)。区分268と台座218との間の距離もまた、組み合わせて変更できる。操作706乃至710は、ALDについて望ましい数のサイクルを作るように必要に応じて繰り返され、構想期間を試験するか、所望の層の厚さを作り出す。
操作712では、基板上に堆積した材料を特徴付ける。特性評価は、堆積された材料の物理的および他の性質を測定する複数の技術のうちの1つを含むことができる。例えば、特性評価は、探針および他の機器を用いる、厚さ(例えば、偏光解析法)、密度、位相、抵抗、漏出、降伏電圧、電気容量(すなわち、誘電率)、接触角、および他の性質の測定を含んでもよい。特性評価は更に、走査型電子顕微鏡(SEM)、トンネル電子顕微鏡(TEM)、原子間力顕微鏡(AFM)および他の技術のような画像技術を含んでもよい。画像技術を用いて、フィルムの幾つかの特性、例えばフィルム組成および形態を測定することができる。フィルムの位相および蛍光X線(XRF)組成を測定するX線回折(XRD)を含む他の特性評価技術も用いることができる。
操作714では、特性評価が終了後、材料は、図1Bに記載するような更なる処理に対して評価される。上述のように、更なる組合わせ処理(例えば、第2または第3のステージ処理)または製造するために、材料を選択しない、あるいは材料の1つまたは両方を選択することができる。
[V.プラズマ励起堆積の実施例]
A.プラズマ励起ALD
図8乃至図11は、組合わせプラズマ励起ALD処理を実施するタイミング図である。このタイミング図は、組合わせPEALDを用いて基板上に複数の材料を形成するいくつかの状況を示している。図8は、2つの異なる前駆体を連続して加えて、基板にわたってプラズマを加える場合を示している。図9は、2つの異なる前駆体を同時に加えて、基板にわたってプラズマを加える場合を示している。図10は、基板にわたって単一の前駆体を使用して、基板の領域間でプラズマを変更する場合を示している。図11は、2つの異なる前駆体を供給し、基板の領域にわたってプラズマを変更する場合を示している。他の変更も可能であり、これらの実施例は単に可能な種類の実験の代表例に過ぎず、本発明の可能な適用を制限することを意味するものではない。図8乃至図11に示すサイクルを繰り返して、複数の層を堆積してもよい。
1.前駆体を変更し、共通のプラズマを使用
図8に示すように、シャワーヘッドの4つの区分への流れを流れ図800を用いて説明する。シャワーヘッド214の4つの区分268a、268b、268c、および268dを通る流れを、流れ図800に示す。上述のように、各区分268は基板246の領域402に対応しており、この上に材料302を堆積することができる。シャワーヘッド214を通る流量の合計はほぼ一定である。例えば、ここに示すように、任意の適切な流量を用いてもよいが、シャワーヘッドを通る流量の合計は常にほぼ1000sccm(各区分毎に250sccm)である。さらに、各区分268毎の流量は等しいが、区分268が異なるサイズまたは異なる構成を有する実施形態では、各区分268毎に異なる流量が用いられてもよい。
流れ図800は、プラズマガス810、パージガス(例えば、窒素ガス)812、第1の前駆体A816、および第2の前駆体B814の流れを示している。プラズマを所望のときに点火するように、パッシェンの法則(上記)によってプラズマガスを選択することができる。RF動力818を用いてプラズマを点火する。前駆体B814および前駆体A816は通常、キャリアガスにおいて少量の前駆体化学物質である。例えば、アルゴンのキャリアガスが249sccmで流れる間、前駆体化学物質は1sccm相当が流れうる。
このタイミング図は、幾つかの異なる期間820乃至838に分割される。期間820乃至838それぞれの間に、合計でおよそ1000sccmが基板246の上を流れる。この1000sccmは、プラズマガス810、パージガス812、前駆体Bおよびキャリアガス814、前駆体Aおよびキャリアガス816の任意の組み合わせを含みうる。この実施例では、各区分268および領域402がおよそ250sccmの流れを受ける。前駆体が領域402に供給されると、それぞれ他の領域402がパージガスに露出される。そのため、期間820において、区分268aを利用して250sccmの前駆体Aおよびキャリアガスが供給され、区分268b、268c、および268dを利用して750sccmのパージガスが供給される。
一般に、上述のようなALDは、2つの反応物を用いる自己制御型処理であるとみなすことができる。この記載では、第1の反応物は前駆体(例えば、ハフニウム前駆体またはアルミニウム前駆体のような前駆体AまたはB)であり、第2の反応物は水蒸気、オゾン、またはプラズマ(例えば、酸素プラズマ)のような反応物質である。通常のALDサイクルは、前駆体を流すステップと、洗浄して余分な前駆体を除去するステップと、単分子層が堆積するように第2の反応物を前駆体と反応させるステップと、次いで洗浄して余分な反応物を除去するステップとを含むことがある。サイクルを繰り返すことにより、更なる単分子層を堆積することができる。いくつかの実施形態では、準単分子層または1以上の単分子層が1サイクルで堆積される。
ここに示すように、前駆体Aは、期間820および824において、それぞれ区分268aおよび区分268bを利用して供給される。期間820および828は、期間824および832よりも長く、従って、より多くの前駆体Aおよび前駆体Bが、期間824および832中よりも期間820および828中に供給される。前駆体が基板の上を流れる時間の長さは、例えば、基板上に飽和状態の吸着層を形成するのに必要な時間量を決定するために用いる組合わせ変量であってもよい。期間820乃至834は、区間268それぞれに対するALDサイクルの前半(前駆体を供給し、洗浄するステップ)を示している。
ALDサイクルの後半は、期間836でプラズマを点火することにより終了する。台座218とシャワーヘッド214との間に電位差をもたらすことによって、プラズマが当てられる。この実施形態では、共通のプラズマガスが基板の総ての領域にわたって流れており、プラズマは総ての領域内に当てられる。ALD堆積処理は、残りのガスが洗浄されたときに期間838において終了する。このサイクルを繰り返して、複数の層を堆積することができる。
4つの領域402内に4つの異なる材料302を形成した後、異なる材料302のそれぞれを特徴付けて(例えば、電気的な試験および/または画像化を利用)、後の処理を評価することができる。
図9は、2つの異なる前駆体を基板の2つの異なる領域に同時に供給するALDサイクルを示すタイミング図900である。タイミング図800のように、タイミング図900は、区分268a乃至dへの流れを示している。第1の前駆体Aおよびキャリアガス910の流れ、第2の前駆体Bおよびキャリアガス912の流れ、パージガス914の流れ、プラズマガス916の流れ、およびRF動力の量918を線グラフで示している。それぞれの区分268a乃至d内に流入するガスおよび流量または電力910乃至918を、期間920乃至930について示している。
タイミング図900に見られるように、期間920において、前駆体Aおよびキャリアガスが区分268aを通って流れ、前駆体Bおよびキャリアガスが区分268cを通って流れ、区分268bおよび268dにはパージガスが流れる。期間922において、基板246全体を洗浄して、余分な前駆体を除去する。期間924において、前駆体Aおよびキャリアガスが区分268bを通って流れ、前駆体Bおよびキャリアガスが区分268dを通って流れ、区分268aおよび268cにはパージガスが流れる。再び、期間926において、基板246全体を洗浄して余分な前駆体を除去する。期間920乃至926は、ALDサイクルの前半である。期間920は期間924よりも長く、この露出時間は組み合わて変更できるパラメータである。
期間928において、プラズマガスが総ての区分268a乃至dを通って流れ、シャワーヘッド214と台座218との間にRF動力918を加えて電位差を作ることによってプラズマを当てる。プラズマが当たるとALDサイクルが終了し、基板246の領域のそれぞれに単分子層が形成される。基板246は、期間930において再び洗浄される。いくつかの実施形態では、堆積される層が準単分子層か多くの単分子層であってもよい。このサイクルを繰り返して、複数の層を堆積することができる。
2.領域にわたるプラズマの変更
図10は、組合わせPEALDを実施する場合に、領域にわたってプラズマを変更するタイミング図1000である。区分268a乃至dは、組合わせて処理される基板246上の領域402a乃至dに対応する。この実施例は、単一の前駆体と、複数のプラズマガスと、露出時間とを利用して、堆積する材料のパラメータの効果を評価する。
グラフ1010は、前駆体とキャリアガスの流れを示している。グラフ1012は、パージガスの流れを示している。グラフ1014は、第1のプラズマガス1の流れを示し、グラフ1016は第2のプラズマガス2の流れを示している。このグラフ1018は、使用されるRF動力の量を示している。
この実施例では、それぞれの区分268a乃至dが、期間1020において250sccmの前駆体Aとキャリアガスを受ける。グラフ1010に示すように、前駆体Aとキャリアガスは、1000sccm(すなわち、それぞれの区分268a乃至d毎に250sccm)で流れる。期間1022では、パージガスが基板にわたって流れ、余分な前駆体を除去する。期間1020および1022は、ALDサイクルの前半を示している。期間1024乃至1038は、ALDサイクルの後半を示している。
ここで使用するALDサイクルは、プラズマガスおよび露出時間を組み合わせて変更する。例えば、区分268aおよび268bは、期間1024および1028において、それぞれプラズマガス1が流れる。期間1024は期間1028よりも長く、より多くの動力(すなわち、500Wに対して750W)を用いて、期間1024中にプラズマを当てる。いくつかの実施形態では、区分268b内にプラズマを当てるには状態が十分ではない(例えば、動力が十分ではない、または距離/間隔が広すぎる)ように、プラズマガスを選択してもよい。必要に応じて、別の第2の反応物を用いて、完全にALDの層を形成してもよい。
区分268cおよび268dに対応する領域は、期間1032および1036それぞれにおいて、プラズマガス2に露出される。期間1032は期間1036よりも長く、より多くの動力(すなわち、500Wに対して750W)を用いて、期間1036よりも期間1032においてプラズマを当てる。
これらの実施形態では、2つの異なるプラズマガスの影響、異なる動力レベル、および異なる露出時間を評価し、これにより最適な解決策を得ることができる。この堆積層は、様々な実施形態では、単分子層、準単分子層、または多くの単分子層であってもよい。
図11は、2つの異なる前駆体を同時に供給し、2つの異なるプラズマガスを同時に供給する実施形態を示すタイミング図1100である。他のタイミング図のように、タイミング図1100は、期間1122乃至1132において区分268a乃至dを通るガスの供給を示している。動力またはそれぞれのガスまたは電源の流れを、グラフ1110乃至1120に示す。
期間1122において、第1の前駆体Aが区分268aに供給され、第2の前駆体Bが区分268cに供給される。期間1124において、基板246を洗浄して、余分な前駆体を除去する。期間1126において、前駆体Aは区分268bに供給され、前駆体Bは区分268dを通って供給される。期間1128において、基板246を再び洗浄して、余分な前駆体を除去する。期間1122は期間1126よりも長く、従って、基板への前駆体の露出時間が組み合わせて変更される。期間1122乃至1128は、ALDサイクルの前半である。
期間1130および1132は、ALDサイクルの後半である。第2の反応物は再びプラズマであって、期間1130において供給される。2つの異なるプラズマガスが基板へ同時に供給される、つまりプラズマガス1は区分268aおよび268bに、プラズマガス2は区分268cおよび268dに供給される。期間1130において完全なRF動力1120が供給され、基板全体にプラズマを点火する。いくつかの実施形態では、プラズマガス1が点火しやすいガス(例えば、Ar)であって、プラズマガス2が点火しにくいガス(例えば、H)であってもよく、これにより、プラズマが区分268aおよび268bに対応する領域内に供給され、区分268cおよび268dに対応する領域内には供給されない。この堆積層は、様々な実施形態では、単分子層、準単分子層、または多くの単分子層であってもよい。
3.他の実施例
4つのタイミング図800、900、1000、および1100は、組み合わせて変更されるPEALDの実施例である。本発明の実施形態に応じて、他の様々な処理を発展して利用することができる。例えば、幾つかの実施形態では、プラズマをALDサイクルに用いて、基板の1またはそれ以上の領域内に材料が堆積してもよく、別の反応物(例えば、水蒸気)を用いて、他の領域内に材料が堆積したALDを形成してもよい。この方法では、従来のALDとPEALDとの間の差異は、単一の実験で調査することができる。さらに他の実施形態によると、2つ以上の異なる前駆体を用いてもよく、他の変量を調査することができる。
B.プラズマ励起CVD
PECVDは、反応速度を高め、処理温度を低下させる増強機能としてプラズマを用いる。プラズマをCVDに用いて、例えば、密度、組成、ステップ範囲、接着力、誘電率、フィルム漏れ、降伏電圧等のフィルム特性を変更することも可能である。様々な異なる状況を利用して、PECVDを用いる組合わせ処理を実施することができる。組合わせPEALDのように、領域にわたって前駆体を変更して、プラズマを基板の総ての領域に適用してもよい。別の実施例によると、プラズマを1またはそれ以上の領域に供給し、他の領域には供給しないでもよい。この第2の実施例では、同一の前駆体を総ての領域に供給してもよく、あるいは前駆体またはPECVDの他のパラメータを変更してもよい。
ALDと異なり、CVDは自己制御型ではなく、CVDフィルムは成長し続け、基板はCVDの前駆体およびプラズマに長く露出される。いくつかのCVD処理について、1またはそれ以上の前駆体およびプラズマを所望の時間にわたって同時に供給し、所望の厚さの層に成長させることができる。結果として、組合わせPECVDに対して、CVDに関するいくつかのパラメータを変更して、前駆体の露出時間、前駆体の混合、プラズマガス組成および電圧といった最適な解決策を決定することができる。
例えば、2つの領域を同一の前駆体に異なる時間にわたって露出し、前駆体の成長速度を調査することができる。代替的に、2つの領域を同一の前駆体に露出し、同じ時間にわたってある領域にはプラズマを当て他の領域には当てずに、プラズマを用いる場合の成長速度の変化を調査することができる。PEALDのように、異なるプラズマガス、台座218とシャワーヘッド214との間の異なる距離(図5参照)、および他のプラズマの変量をPECVDと比較して、最適なプラズマの解決策を明らかにすることができる。上述の他の実施形態、例えば台座218の回転もまた、組合わせPECVDに用いることができる。
一実施形態では、材料堆積システムが記載されている。材料堆積システムは、台座と、台座の反対側に配置されたシャワーヘッドとを具える。シャワーヘッドは異なる流体を同時に流す複数の区分を具えており、シャワーヘッドの第1の区分は、台座とシャワーヘッドとの間に第1の前駆体およびプラズマを供給するように構成されて第1材料を堆積し、シャワーヘッドの第2の区分は、第1の材料とは異なる第2の材料を堆積するように構成される。
他の実施形態では、シャワーヘッドおよび台座は導電性であって、シャワーヘッドを通って放出された第1のガスによって、シャワーヘッドと台座との間に動力を生成することによりプラズマをもたらす。
他の実施形態では、第1の区分と台座との間の第1の距離が、動力が発生した場合に降伏電圧が生じて第1の区分の下にプラズマを点火する程度に十分であり、第2の領域内にはプラズマは発生しない。
他の実施形態では、シャワーヘッドは複数の区分の間に複数の突起部を具えており、複数の領域を指定する。
他の実施形態では、材料堆積システムがシャワーヘッドのプレナム内に胴部を具えており、第1の領域に向かって第1の前駆体を誘導する。
他の実施形態では、プラズマがシャワーヘッドから外側に生成され、シャワーヘッドを通って基板に供給される。
他の実施形態では、第1のガスと異なる第2のガスが第2の区分を通って放出され、第2のガス内においてプラズマは点火しない。
他の実施形態では、材料堆積システムは、化学気相成長法(CVD)システム、原子層堆積法(ALD)システム、プラズマ励起CVD(PECVD)システム、およびプラズマ励起ALD(PEALD)システムのうちの1つである。
他の実施形態では、台座はアースが取られており、シャワーヘッドはRF動力供給装置に取り付けられる。
他の実施形態では、シャワーヘッドはアースが取られており、台座はRF動力供給装置に取り付けられる。
一実施形態では、基板の複数の領域を指定するステップと、複数の領域の少なくとも第1の領域に第1の前駆体を供給するステップを含む基板の複数の領域に流体の全体的な流れを供給するステップと、第1の前駆体を用いて形成される第1の領域上に第1の材料が堆積するように、複数の領域にプラズマを供給するステップとを含む方法が記載されている。この実施形態では、第1の材料は、基板の第2の領域上に形成される第2の材料と異なる。
他の実施形態では、全体的な流れを供給するステップが、複数の領域のそれぞれにほぼ同等の流量の流体を供給するステップを含む。
一実施形態では、基板上の複数の領域を指定するステップと、第1のプラズマを複数の領域の第1の領域に加えて、複数の領域の第2の領域には加えないステップと、第1の領域内に第1の材料が堆積するように、第1の前駆体を第1の領域に供給するステップとを具える方法が記載されている。
他の実施形態では、この方法はさらに、第2の領域内に第1の材料が堆積するように、第1の前駆体を第2の領域に供給するステップを含む。
他の実施形態では、この方法はさらに、第2の領域内に第2の材料が堆積するように、第2の前駆体を第2の領域に供給するステップを含む。
他の実施形態では、この方法はさらに、第1の材料が堆積するように、第1の領域内に第2のプラズマを供給するステップを含む。
他の実施形態では、この方法はさらに、第2の材料が堆積するように、第2の領域内に第2のプラズマを供給するステップを含む。
他の実施形態では、この方法はさらに、第2の材料が堆積するように、第2の領域内にプラズマを供給しないステップを含む。
前述の実施例は、理解を明確にする目的で多少詳しく記載してきたが、提供した詳細に本発明を限定するものではない。本発明を実施する多くの代替的な方法が存在する。開示した例は、実施例であって限定的なものではない。

Claims (29)

  1. 基板の複数の領域を指定するステップと;
    第1の前駆体を前記複数の領域の少なくとも第1の領域に供給するステップと;
    前記第1の前駆体を用いて形成された前記第1の領域上の第1の材料が堆積するように、第1のプラズマを前記第1の領域に供給するステップと、を具える方法であって、前記第1の材料は前記基板の第2の領域上に形成される第2の材料と異なることを特徴とする方法。
  2. 請求項1に記載の方法がさらに:
    前記第1のプラズマを前記第2の領域内に供給することなく、前記第1のプラズマを前記第1の領域内に供給するステップを具えることを特徴とする方法。
  3. 請求項1に記載の方法において、前記第1のプラズマを供給するステップが:
    第1のガスを前記第1の領域に供給するステップと;
    前記第1の領域内の前記第1のプラズマを点火するように、前記第1のガスを供給するシャワーヘッドと前記基板を保持する台座との間に、前記第1のガスの降伏電圧と等しいかそれよりも大きい電位差を発生させるステップと、を具えることを特徴とする方法。
  4. 請求項1に記載の方法において、前記第1のプラズマを供給するステップが、遠隔のプラズマ源から前記第1のプラズマを供給するステップを具えることを特徴とする方法。
  5. 請求項1に記載の方法において、前記第1の前駆体を供給するステップと、前記第1のプラズマを供給するステップが、連続的に実施されることを特徴とする方法。
  6. 請求項1に記載の方法において、前記第1の前駆体を供給するステップと、前記第1のプラズマを供給するステップが、ほぼ同時に実施されることを特徴とする方法。
  7. 請求項1に記載の方法がさらに、第2のガスを前記第2の領域に供給するステップを具えることを特徴とする方法。
  8. 請求項7に記載の方法がさらに:
    前記第1のガスおよび前記第2のガスがシャワーヘッドの第1の区分および第2の区分から放出され;
    前記基板が前記シャワーヘッドと反対側の台座上に配置され;
    前記第1のプラズマを前記第1の領域内に供給し、前記第1のプラズマを前記第2の領域内には供給しないように、前記台座と前記シャワーヘッドとの間に電位差を発生させるステップを具えることを特徴とする方法。
  9. 請求項8に記載の方法において、前記台座と前記シャワーヘッドとの間の距離および前記第1のガスは、前記第1のプラズマを前記第1の領域内に供給するには十分であって、前記距離および前記第2のガスは、前記第1のプラズマを前記第2の領域内に供給するには十分でないことを特徴とする方法。
  10. 請求項1に記載の方法がさらに、前記第1の領域から前記第2の領域に前記第1のプラズマを移動させるステップを具えることを特徴とする方法。
  11. 請求項10に記載の方法において、前記第1のプラズマを移動させるステップが、前記第1の領域内の前記第1のプラズマを消して前記第2の領域内の前記第1のプラズマを点火するように、前記第1の領域内の第1のガスの混合を変更するステップと、前記第2の領域内の第2のガスの混合を変更するステップとを具えることを特徴とする方法。
  12. 請求項1に記載の方法がさらに、前記第1の前駆体と異なる第2の前駆体を前記第2の領域に供給するステップを具えることを特徴とする方法。
  13. 請求項1に記載の方法がさらに、前記第1の前駆体を前記第2の領域に供給するステップを具えることを特徴とする方法。
  14. 請求項1に記載の方法において、前記複数の領域のそれぞれが、ほぼ同じサイズおよびほぼ同じ形状を有することを特徴とする方法。
  15. 請求項1に記載の方法において、前記複数の領域の各領域内への合計流量が、ほぼ等しいことを特徴とする方法。
  16. 請求項15に記載の方法において、前記合計流量が、前記基板にわたって軸対称であることを特徴とする方法。
  17. 請求項1に記載の方法において、前記材料が、化学気相成長法(CVD)および原子層堆積法(ALD)の一方を用いて堆積されることを特徴とする方法。
  18. 請求項3に記載の方法がさらに:
    前記第1のガスを前記第2の領域に供給するステップと;
    前記台座と前記シャワーヘッドとの間に前記電位差を発生させるステップと;を具えており、
    前記第1の領域に対応する前記シャワーヘッドの第1の区分と前記基板との間の第1の距離は、前記降伏電圧が生じて前記第1の領域内に前記第1のプラズマを点火するには十分であって;
    前記第2の領域に対応する前記シャワーヘッドの第2の区分と前記基板との間の第2の距離は、前記降伏電圧が生じて前記第2の領域内に前記第1のプラズマを点火するには十分ではないことを特徴とする方法。
  19. 請求項1に記載の方法がさらに、前記第1のプラズマと異なる第2のプラズマを前記第2の領域内に供給するステップを具えることを特徴とする方法。
  20. 請求項17に記載の方法において、前記第2のプラズマを供給するステップが、前記第1のプラズマを供給するステップと連続して起こることを特徴とする方法。
  21. 請求項1に記載の方法がさらに、前記第1のプラズマを前記複数の領域のそれぞれに供給するステップを具えることを特徴とする方法。
  22. 請求項21に記載の方法がさらに、前記第1の前駆体を供給するステップの前に、第2のプラズマを用いて前記複数の領域の少なくとも1つを前処理するステップを含むことを特徴とする方法。
  23. 請求項22に記載の方法がさらに、前記第1の前駆体を供給するステップの前に、プラズマを前記複数の領域の少なくとも1つの他の領域に供給しないことにより、前記複数の領域の少なくとも1つの他の領域の前処理を行わないステップを含むことを特徴とする方法。
  24. 請求項22に記載の方法がさらに、前記第2のプラズマを用いて、前記複数の領域の総てを前処理するステップを具えることを特徴とする方法。
  25. 基板上に複数の領域を指定するステップと;
    シャワーヘッドの第1の区分を利用して、第1の前駆体および第1のガスを前記基板の第1の領域に供給するステップと;
    前記シャワーヘッドの第2の区分を利用して、第2の前駆体および第2のガスを前記基板の第2の領域に供給するステップと;
    前記シャワーヘッドと、前記第1の領域上に材料を形成するよう前記基板が上に配置された台座との間に電位差を発生させることにより、前記基板の前記第1の領域上のプラズマを点火するステップと;を具える方法であって、
    前記第1の区分と前記台座との間の第1の距離は、前記電位差が発生した場合に前記第1のガスが点火してプラズマを形成するような距離であって、前記第2の区分と前記第2の領域との間の第2の距離は、前記電位差が発生した場合に前記第2のガスが点火しないような距離であることを特徴とする方法。
  26. 請求項25に記載の方法において、前記第1の距離および前記第2の距離が、ほぼ等しいことを特徴とする方法。
  27. 請求項25に記載の方法において、前記第1の前駆体および前記第2の前駆体が、同一であることを特徴とする方法。
  28. 請求項25に記載の方法がさらに:
    前記第1の距離をわたって前記プラズマを点火するように、前記第1のガスを供給するステップと;
    前記第2の距離をわたって前記プラズマを点火するように、前記第2のガスを供給するステップと、を具えることを特徴とする方法。
  29. 請求項25に記載の方法がさらに:
    前記第1の前駆体および前記プラズマを用いて、前記第1の領域上に第1の材料を堆積させるステップと;
    前記第2の前駆体を用いて、前記第2の領域上に前記第1の材料と異なる第2の材料を堆積させるステップと、を具えることを特徴とする方法。
JP2011507699A 2008-05-02 2009-05-01 組合わせプラズマ励起堆積技術 Expired - Fee Related JP5734840B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US5015908P 2008-05-02 2008-05-02
US61/050,159 2008-05-02
US12/433,842 US8129288B2 (en) 2008-05-02 2009-04-30 Combinatorial plasma enhanced deposition techniques
US12/433,842 2009-04-30
PCT/US2009/042611 WO2009135182A2 (en) 2008-05-02 2009-05-01 Combinatorial plasma enhanced deposition techniques

Publications (2)

Publication Number Publication Date
JP2011520035A true JP2011520035A (ja) 2011-07-14
JP5734840B2 JP5734840B2 (ja) 2015-06-17

Family

ID=41255886

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2011507699A Expired - Fee Related JP5734840B2 (ja) 2008-05-02 2009-05-01 組合わせプラズマ励起堆積技術

Country Status (6)

Country Link
US (8) US8129288B2 (ja)
EP (1) EP2279518A4 (ja)
JP (1) JP5734840B2 (ja)
KR (1) KR101563030B1 (ja)
CN (1) CN102017083B (ja)
WO (1) WO2009135182A2 (ja)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2019537218A (ja) * 2016-11-15 2019-12-19 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 移動基板の完全プラズマ被覆のための動的フェーズドアレイプラズマ源
WO2020003591A1 (ja) * 2018-06-28 2020-01-02 株式会社明電舎 シャワーヘッドおよび処理装置
JP2022534383A (ja) * 2019-05-31 2022-07-29 アプライド マテリアルズ インコーポレイテッド 基板上に膜を形成するための方法およびシステム
JP2022541573A (ja) * 2019-07-26 2022-09-26 アプライド マテリアルズ インコーポレイテッド 基板上にフィルムを形成するための蒸発器チャンバ

Families Citing this family (333)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8129288B2 (en) * 2008-05-02 2012-03-06 Intermolecular, Inc. Combinatorial plasma enhanced deposition techniques
US8726838B2 (en) * 2010-03-31 2014-05-20 Intermolecular, Inc. Combinatorial plasma enhanced deposition and etch techniques
US20100075060A1 (en) * 2008-09-24 2010-03-25 Pravin Narwankar process tool including plasma spray for carbon nanotube growth
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
KR20110054840A (ko) * 2009-11-18 2011-05-25 주식회사 아토 샤워헤드 어셈블리 및 이를 구비한 박막증착장치
US9111729B2 (en) 2009-12-03 2015-08-18 Lam Research Corporation Small plasma chamber systems and methods
US9190289B2 (en) 2010-02-26 2015-11-17 Lam Research Corporation System, method and apparatus for plasma etch having independent control of ion generation and dissociation of process gas
US8741394B2 (en) * 2010-03-25 2014-06-03 Novellus Systems, Inc. In-situ deposition of film stacks
US9155181B2 (en) 2010-08-06 2015-10-06 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
US9967965B2 (en) 2010-08-06 2018-05-08 Lam Research Corporation Distributed, concentric multi-zone plasma source systems, methods and apparatus
US9449793B2 (en) 2010-08-06 2016-09-20 Lam Research Corporation Systems, methods and apparatus for choked flow element extraction
US8999104B2 (en) 2010-08-06 2015-04-07 Lam Research Corporation Systems, methods and apparatus for separate plasma source control
US9140978B2 (en) * 2010-10-12 2015-09-22 Weng-Dah Ken Semiconductor multi-project or multi-product wafer process
US10658161B2 (en) * 2010-10-15 2020-05-19 Applied Materials, Inc. Method and apparatus for reducing particle defects in plasma etch chambers
US20120108072A1 (en) * 2010-10-29 2012-05-03 Angelov Ivelin A Showerhead configurations for plasma reactors
US20120149209A1 (en) * 2010-12-14 2012-06-14 Ed Haywood Process sequencing for hpc ald system
US8906160B2 (en) * 2010-12-23 2014-12-09 Intermolecular, Inc. Vapor based processing system with purge mode
US8746284B2 (en) 2011-05-11 2014-06-10 Intermolecular, Inc. Apparatus and method for multiple symmetrical divisional gas distribution
US9175391B2 (en) * 2011-05-26 2015-11-03 Intermolecular, Inc. Apparatus and method for combinatorial gas distribution through a multi-zoned showerhead
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8835212B2 (en) * 2011-09-19 2014-09-16 Intermolecular, Inc. Combinatorial methods for developing superstrate thin film solar cells
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9177762B2 (en) 2011-11-16 2015-11-03 Lam Research Corporation System, method and apparatus of a wedge-shaped parallel plate plasma reactor for substrate processing
US10283325B2 (en) 2012-10-10 2019-05-07 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
US8900364B2 (en) 2011-11-29 2014-12-02 Intermolecular, Inc. High productivity vapor processing system
US20130136862A1 (en) * 2011-11-30 2013-05-30 Intermolecular, Inc. Multi-cell mocvd apparatus
US8974649B2 (en) * 2011-12-12 2015-03-10 Intermolecular, Inc. Combinatorial RF bias method for PVD
CN102592974B (zh) * 2012-03-20 2014-07-02 中国科学院上海微系统与信息技术研究所 一种高k介质薄膜的制备方法
US9315899B2 (en) 2012-06-15 2016-04-19 Novellus Systems, Inc. Contoured showerhead for improved plasma shaping and control
US8735210B2 (en) * 2012-06-28 2014-05-27 International Business Machines Corporation High efficiency solar cells fabricated by inexpensive PECVD
EP2872668B1 (en) * 2012-07-13 2018-09-19 Gallium Enterprises Pty Ltd Apparatus and method for film formation
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20140110764A1 (en) * 2012-10-19 2014-04-24 Intermolecular Inc. Method to control amorphous oxide layer formation at interfaces of thin film stacks for memory and logic components
US8821985B2 (en) * 2012-11-02 2014-09-02 Intermolecular, Inc. Method and apparatus for high-K gate performance improvement and combinatorial processing
US20140134849A1 (en) * 2012-11-09 2014-05-15 Intermolecular Inc. Combinatorial Site Isolated Plasma Assisted Deposition
US8821987B2 (en) * 2012-12-17 2014-09-02 Intermolecular, Inc. Combinatorial processing using a remote plasma source
US9023438B2 (en) * 2012-12-17 2015-05-05 Intermolecular, Inc. Methods and apparatus for combinatorial PECVD or PEALD
KR20140086607A (ko) * 2012-12-28 2014-07-08 주식회사 테스 박막 고속 증착방법 및 증착장치
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
GB2510615A (en) * 2013-02-08 2014-08-13 Glyndwr University Gas blade injection system
US9209134B2 (en) * 2013-03-14 2015-12-08 Intermolecular, Inc. Method to increase interconnect reliability
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9824881B2 (en) 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
KR102115337B1 (ko) * 2013-07-31 2020-05-26 주성엔지니어링(주) 기판 처리 장치
US20150042017A1 (en) * 2013-08-06 2015-02-12 Applied Materials, Inc. Three-dimensional (3d) processing and printing with plasma sources
US9087864B2 (en) * 2013-12-19 2015-07-21 Intermolecular, Inc. Multipurpose combinatorial vapor phase deposition chamber
TWI643971B (zh) * 2014-01-05 2018-12-11 美商應用材料股份有限公司 使用空間原子層沉積或脈衝化學氣相沉積之薄膜沉積
KR101840759B1 (ko) * 2014-01-05 2018-05-04 어플라이드 머티어리얼스, 인코포레이티드 공간적인 원자 층 증착 또는 펄스형 화학 기상 증착을 사용하는 필름 증착
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
JP6242288B2 (ja) * 2014-05-15 2017-12-06 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US9297775B2 (en) 2014-05-23 2016-03-29 Intermolecular, Inc. Combinatorial screening of metallic diffusion barriers
JP5837962B1 (ja) * 2014-07-08 2015-12-24 株式会社日立国際電気 基板処理装置、半導体装置の製造方法およびガス整流部
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9576792B2 (en) 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN
US10273578B2 (en) * 2014-10-03 2019-04-30 Applied Materials, Inc. Top lamp module for carousel deposition chamber
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
JP6354539B2 (ja) * 2014-11-25 2018-07-11 東京エレクトロン株式会社 基板処理装置、基板処理方法、記憶媒体
FI126970B (en) * 2014-12-22 2017-08-31 Picosun Oy Atomic layer cultivation in which the first and second species of source materials are present simultaneously
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
CN106158569B (zh) * 2015-03-26 2018-08-07 理想晶延半导体设备(上海)有限公司 半导体处理设备
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9793097B2 (en) * 2015-07-27 2017-10-17 Lam Research Corporation Time varying segmented pressure control
US10410857B2 (en) 2015-08-24 2019-09-10 Asm Ip Holding B.V. Formation of SiN thin films
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
JP6587514B2 (ja) * 2015-11-11 2019-10-09 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
TWI677009B (zh) * 2016-01-24 2019-11-11 美商應用材料股份有限公司 雙端饋電可調諧電漿源
US9953843B2 (en) * 2016-02-05 2018-04-24 Lam Research Corporation Chamber for patterning non-volatile metals
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) * 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
JP6697640B2 (ja) 2017-02-08 2020-05-20 ピコサン オーワイPicosun Oy 可動構造をもつ堆積またはクリーニング装置および動作方法
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
JP7041269B2 (ja) * 2017-12-13 2022-03-23 アプライド マテリアルズ インコーポレイテッド 電荷損傷を防止するためのパルス状プラズマによる空間的原子層堆積チャンバ
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10580645B2 (en) 2018-04-30 2020-03-03 Asm Ip Holding B.V. Plasma enhanced atomic layer deposition (PEALD) of SiN using silicon-hydrohalide precursors
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10781519B2 (en) * 2018-06-18 2020-09-22 Tokyo Electron Limited Method and apparatus for processing substrate
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11834743B2 (en) * 2018-09-14 2023-12-05 Applied Materials, Inc. Segmented showerhead for uniform delivery of multiple precursors
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
WO2020069901A1 (en) * 2018-10-02 2020-04-09 Evatec Ag Plasma enhanced atomic layer deposition (peald) apparatus
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
WO2020251696A1 (en) 2019-06-10 2020-12-17 Applied Materials, Inc. Processing system for forming layers
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
WO2021034508A1 (en) * 2019-08-16 2021-02-25 Lam Research Corporation Spatially tunable deposition to compensate within wafer differential bow
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
GB202001781D0 (en) * 2020-02-10 2020-03-25 Spts Technologies Ltd Pe-Cvd apparatus and method
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
KR20220081905A (ko) 2020-12-09 2022-06-16 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 증착용 실리콘 전구체
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001329366A (ja) * 2000-05-18 2001-11-27 Japan Science & Technology Corp コンビナトリアル薄膜形成方法及びコンビナトリアルプラズマcvd装置
JP2003502878A (ja) * 1999-06-24 2003-01-21 ナーハ ガジル、プラサード 原子層化学気相成長装置
JP2003277939A (ja) * 2002-03-25 2003-10-02 Japan Science & Technology Corp プラズマ成膜装置および成膜方法
JP2003532794A (ja) * 2000-05-08 2003-11-05 インテマティックス コーポレーション 材料チップのコンビナトリアル合成
US20040067641A1 (en) * 2002-10-02 2004-04-08 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
JP2004260168A (ja) * 2003-02-27 2004-09-16 Sharp Corp ナノラミネート膜の原子層堆積
WO2006065014A1 (en) * 2004-12-16 2006-06-22 Fusionaid Co., Ltd. Apparatus and method for thin film deposition
JP2007046080A (ja) * 2005-08-08 2007-02-22 Seiko Epson Corp 製膜装置、製膜方法、パターニング方法、光学装置の製造方法、および電子装置の製造方法
WO2007060159A1 (de) * 2005-11-22 2007-05-31 Aixtron Ag Verfahren zum abscheiden von schichten in einem cvd-reaktor sowie gaseinlassorgan für einen cvd-reaktor

Family Cites Families (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5453124A (en) 1992-12-30 1995-09-26 Texas Instruments Incorporated Programmable multizone gas injector for single-wafer semiconductor processing equipment
JPH09501612A (ja) * 1994-04-08 1997-02-18 マーク エー. レイ, 選択的プラズマ成長
US5985356A (en) 1994-10-18 1999-11-16 The Regents Of The University Of California Combinatorial synthesis of novel materials
US6045671A (en) 1994-10-18 2000-04-04 Symyx Technologies, Inc. Systems and methods for the combinatorial synthesis of novel materials
KR100249386B1 (ko) 1997-11-19 2000-06-01 김영환 가스 분사장치
US6268296B1 (en) * 1997-12-31 2001-07-31 Texas Instruments Incorporated Low temperature process for multiple voltage devices
US6830663B2 (en) 1999-01-26 2004-12-14 Symyx Technologies, Inc. Method for creating radial profiles on a substrate
US6565661B1 (en) 1999-06-04 2003-05-20 Simplus Systems Corporation High flow conductance and high thermal conductance showerhead system and method
US6444039B1 (en) 2000-03-07 2002-09-03 Simplus Systems Corporation Three-dimensional showerhead apparatus
AU2001288225A1 (en) * 2000-07-24 2002-02-05 The University Of Maryland College Park Spatially programmable microelectronics process equipment using segmented gas injection showerhead with exhaust gas recirculation
US20040025787A1 (en) * 2002-04-19 2004-02-12 Selbrede Steven C. System for depositing a film onto a substrate using a low pressure gas precursor
US7247346B1 (en) 2002-08-28 2007-07-24 Nanosolar, Inc. Combinatorial fabrication and high-throughput screening of optoelectronic devices
KR100497748B1 (ko) 2002-09-17 2005-06-29 주식회사 무한 반도체소자 제조용 원자층 증착 장치 및 원자층 증착 방법
WO2004070810A1 (ja) * 2003-02-05 2004-08-19 Semiconductor Energy Laboratory Co., Ltd. 表示装置の製造方法
US8153281B2 (en) 2003-06-23 2012-04-10 Superpower, Inc. Metalorganic chemical vapor deposition (MOCVD) process and apparatus to produce multi-layer high-temperature superconducting (HTS) coated tape
GB2406860A (en) 2003-10-09 2005-04-13 Univ Southampton Vapour deposition method
US20060042752A1 (en) * 2004-08-30 2006-03-02 Rueger Neal R Plasma processing apparatuses and methods
US20060073276A1 (en) 2004-10-04 2006-04-06 Eric Antonissen Multi-zone atomic layer deposition apparatus and method
JP2005303330A (ja) 2005-06-23 2005-10-27 Hitachi Ltd プラズマエッチング装置用のガス導入手段及びガスシャワープレート
JP4929932B2 (ja) * 2006-09-01 2012-05-09 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US20080246493A1 (en) * 2007-04-05 2008-10-09 Gardner Delrae H Semiconductor Processing System With Integrated Showerhead Distance Measuring Device
US8334015B2 (en) 2007-09-05 2012-12-18 Intermolecular, Inc. Vapor based combinatorial processing
US8039052B2 (en) * 2007-09-06 2011-10-18 Intermolecular, Inc. Multi-region processing system and heads
US8129288B2 (en) * 2008-05-02 2012-03-06 Intermolecular, Inc. Combinatorial plasma enhanced deposition techniques
US8726838B2 (en) * 2010-03-31 2014-05-20 Intermolecular, Inc. Combinatorial plasma enhanced deposition and etch techniques
JP5490585B2 (ja) * 2009-05-29 2014-05-14 株式会社日立国際電気 基板処理装置、基板処理方法および半導体装置の製造方法
US9140978B2 (en) * 2010-10-12 2015-09-22 Weng-Dah Ken Semiconductor multi-project or multi-product wafer process
US8906160B2 (en) * 2010-12-23 2014-12-09 Intermolecular, Inc. Vapor based processing system with purge mode
US9175391B2 (en) * 2011-05-26 2015-11-03 Intermolecular, Inc. Apparatus and method for combinatorial gas distribution through a multi-zoned showerhead
US20140110764A1 (en) * 2012-10-19 2014-04-24 Intermolecular Inc. Method to control amorphous oxide layer formation at interfaces of thin film stacks for memory and logic components
US20140134849A1 (en) * 2012-11-09 2014-05-15 Intermolecular Inc. Combinatorial Site Isolated Plasma Assisted Deposition
US9040465B2 (en) * 2012-11-19 2015-05-26 Intermolecular, Inc. Dielectric doping using high productivity combinatorial methods
US8821987B2 (en) * 2012-12-17 2014-09-02 Intermolecular, Inc. Combinatorial processing using a remote plasma source
KR101451244B1 (ko) * 2013-03-22 2014-10-15 참엔지니어링(주) 라이너 어셈블리 및 이를 구비하는 기판 처리 장치
CN105379428B (zh) * 2013-08-09 2017-07-04 东京毅力科创株式会社 等离子体处理装置和等离子体处理方法
KR102167594B1 (ko) * 2013-12-04 2020-10-19 삼성전자주식회사 기판 처리 방법 및 이를 수행하기 위한 장치
JP6262115B2 (ja) * 2014-02-10 2018-01-17 東京エレクトロン株式会社 基板処理方法及び基板処理装置
KR20150116600A (ko) * 2014-04-08 2015-10-16 삼성전자주식회사 에피텍시얼막 형성 방법 및 이를 수행하는데 사용되는 기판 처리 장치
US9520301B2 (en) * 2014-10-21 2016-12-13 Samsung Electronics Co., Ltd. Etching method using plasma, and method of fabricating semiconductor device including the etching method

Patent Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003502878A (ja) * 1999-06-24 2003-01-21 ナーハ ガジル、プラサード 原子層化学気相成長装置
JP2003532794A (ja) * 2000-05-08 2003-11-05 インテマティックス コーポレーション 材料チップのコンビナトリアル合成
JP2001329366A (ja) * 2000-05-18 2001-11-27 Japan Science & Technology Corp コンビナトリアル薄膜形成方法及びコンビナトリアルプラズマcvd装置
JP2003277939A (ja) * 2002-03-25 2003-10-02 Japan Science & Technology Corp プラズマ成膜装置および成膜方法
US20040067641A1 (en) * 2002-10-02 2004-04-08 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
JP2004260168A (ja) * 2003-02-27 2004-09-16 Sharp Corp ナノラミネート膜の原子層堆積
WO2006065014A1 (en) * 2004-12-16 2006-06-22 Fusionaid Co., Ltd. Apparatus and method for thin film deposition
JP2008524842A (ja) * 2004-12-16 2008-07-10 株式会社フュージョンエード 薄膜蒸着装置及び方法
JP2007046080A (ja) * 2005-08-08 2007-02-22 Seiko Epson Corp 製膜装置、製膜方法、パターニング方法、光学装置の製造方法、および電子装置の製造方法
WO2007060159A1 (de) * 2005-11-22 2007-05-31 Aixtron Ag Verfahren zum abscheiden von schichten in einem cvd-reaktor sowie gaseinlassorgan für einen cvd-reaktor
JP2009516777A (ja) * 2005-11-22 2009-04-23 アイクストロン、アーゲー Cvd反応装置における多層薄膜堆積方法及びcvd反応装置のガス入口部品

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2019537218A (ja) * 2016-11-15 2019-12-19 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 移動基板の完全プラズマ被覆のための動的フェーズドアレイプラズマ源
WO2020003591A1 (ja) * 2018-06-28 2020-01-02 株式会社明電舎 シャワーヘッドおよび処理装置
JP2020004833A (ja) * 2018-06-28 2020-01-09 株式会社明電舎 シャワーヘッドおよび処理装置
KR20210024111A (ko) * 2018-06-28 2021-03-04 메이덴샤 코포레이션 샤워 헤드 및 처리 장치
KR102253872B1 (ko) 2018-06-28 2021-05-20 메이덴샤 코포레이션 샤워 헤드 및 처리 장치
US11220750B2 (en) 2018-06-28 2022-01-11 Meidensha Corporation Shower head and processing device
JP2022534383A (ja) * 2019-05-31 2022-07-29 アプライド マテリアルズ インコーポレイテッド 基板上に膜を形成するための方法およびシステム
JP7304435B2 (ja) 2019-05-31 2023-07-06 アプライド マテリアルズ インコーポレイテッド 基板上に膜を形成するための方法およびシステム
JP2022541573A (ja) * 2019-07-26 2022-09-26 アプライド マテリアルズ インコーポレイテッド 基板上にフィルムを形成するための蒸発器チャンバ
US11692261B2 (en) 2019-07-26 2023-07-04 Applied Materials, Inc. Evaporator chamber for forming films on substrates
JP7464692B2 (ja) 2019-07-26 2024-04-09 アプライド マテリアルズ インコーポレイテッド 基板上にフィルムを形成するための蒸発器チャンバ

Also Published As

Publication number Publication date
US20130042811A1 (en) 2013-02-21
KR101563030B1 (ko) 2015-10-23
US20120100724A1 (en) 2012-04-26
WO2009135182A3 (en) 2010-02-18
US8389419B2 (en) 2013-03-05
JP5734840B2 (ja) 2015-06-17
CN102017083B (zh) 2013-04-03
US8980765B2 (en) 2015-03-17
EP2279518A2 (en) 2011-02-02
US20150144061A1 (en) 2015-05-28
CN102017083A (zh) 2011-04-13
US8148273B1 (en) 2012-04-03
US20120077338A1 (en) 2012-03-29
US20120100723A1 (en) 2012-04-26
US20120094503A1 (en) 2012-04-19
US20090275210A1 (en) 2009-11-05
US8318611B2 (en) 2012-11-27
US8129288B2 (en) 2012-03-06
US8153535B1 (en) 2012-04-10
KR20110002879A (ko) 2011-01-10
US8372758B2 (en) 2013-02-12
EP2279518A4 (en) 2013-08-21
US20120094034A1 (en) 2012-04-19
WO2009135182A2 (en) 2009-11-05

Similar Documents

Publication Publication Date Title
JP5734840B2 (ja) 組合わせプラズマ励起堆積技術
US9245744B2 (en) Combinatorial plasma enhanced deposition and etch techniques
TW578212B (en) Atomic layer deposition reactor
TWI597378B (zh) 利用高頻電漿沉積金屬的方法
JP4281059B2 (ja) マイクロ波励起を用いる堆積方法及び堆積装置
JP5909484B2 (ja) 短寿命種のためのプラズマ源を組み込んだプロセスチャンバ蓋の設計
KR100558922B1 (ko) 박막 증착장치 및 방법
US20060042752A1 (en) Plasma processing apparatuses and methods
US20080241387A1 (en) Atomic layer deposition reactor
WO2004107825A9 (ja) プラズマ源及びプラズマ処理装置
KR20100132779A (ko) 박막 형성 방법 및 이의 제조 장치
WO2005085495A1 (ja) 成膜方法
KR100721504B1 (ko) 플라즈마 강화 원자층 증착 장치 및 이를 이용한 박막형성방법
US20140110764A1 (en) Method to control amorphous oxide layer formation at interfaces of thin film stacks for memory and logic components
JP2020505515A (ja) 基板バイアスald用電気絶縁改善チャックシステムおよび方法
TW202014548A (zh) 電漿處理方法及電漿處理裝置
JPH08241864A (ja) 薄膜堆積方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20120501

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20130909

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20131029

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20140129

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20140205

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140226

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20140924

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20141219

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20150331

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20150415

R150 Certificate of patent or registration of utility model

Ref document number: 5734840

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

LAPS Cancellation because of no payment of annual fees