KR20110002879A - 결합식 플라즈마 강화 증착 기법 - Google Patents

결합식 플라즈마 강화 증착 기법 Download PDF

Info

Publication number
KR20110002879A
KR20110002879A KR1020107026997A KR20107026997A KR20110002879A KR 20110002879 A KR20110002879 A KR 20110002879A KR 1020107026997 A KR1020107026997 A KR 1020107026997A KR 20107026997 A KR20107026997 A KR 20107026997A KR 20110002879 A KR20110002879 A KR 20110002879A
Authority
KR
South Korea
Prior art keywords
plasma
region
substrate
providing
precursor
Prior art date
Application number
KR1020107026997A
Other languages
English (en)
Other versions
KR101563030B1 (ko
Inventor
수닐 샨커
토니 치앙
Original Assignee
인터몰레큘러 인코퍼레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 인터몰레큘러 인코퍼레이티드 filed Critical 인터몰레큘러 인코퍼레이티드
Publication of KR20110002879A publication Critical patent/KR20110002879A/ko
Application granted granted Critical
Publication of KR101563030B1 publication Critical patent/KR101563030B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/047Coating on selected surface areas, e.g. using masks using irradiation by energy or particles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • C23C16/45551Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction for relative movement of the substrate and the gas injectors or half-reaction reactor compartments
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • C23C16/45591Fixed means, e.g. wings, baffles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32366Localised processing

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Metallurgy (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Electromagnetism (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

기판의 복수개의 영역들을 지정하는 단계, 제 1 전구체를 상기 복수개의 영역들의 적어도 제 1 영역에 제공하는 단계, 상기 제 1 영역에 제 1 플라즈마를 제공하여 상기 제 1 전구체를 사용하여 상기 제 1 영역상에 형성된 제 1 물질을 증착시키는 단계를 포함하되, 상기 제 1 물질은 상기 기판의 제 2 영역상에 형성된 제 2 물질과는 상이한 것을 특징으로 하는 결합식 플라즈마 강화 증착 기법이 기술된다.

Description

결합식 플라즈마 강화 증착 기법{COMBINATORIAL PLASMA ENHANCED DEPOSITION TECHNIQUES}
본 발명은 일반적으로 반도체 프로세싱에 관한 것이다. 더 상세하게는, 결합식 플라즈마 강화 증착 기법이 기술된다.
본원과 관련된 상호 참조
본 문서는 본 명세서에 포함되어 있는 2008년 5월 2일에 제출된 "결합식 플라즈마 강화 증착 기법" 이라는 명칭의 미국 가출원 제61/050,159호 및 본 명세서에 포함되어 있는 2009년 4월 30일에 제출된 "결합식 플라즈마 강화 증착 기법" 이라는 명칭의 미국 실용신안 출원 제12/433,842호의 이익을 주장한다.
본 출원은 본 명세서에 포함되어 있는 2008년 1월 14일에 제출된 "증기 기반의 결합식 프로세싱(Vapor Based Combinatorial Processing)" 이라는 명칭의 미국 가출원 제 12/013,729 호에 관한 것이다.
화학기상증착(CVD)은 반도체 제조를 위한 박막의 증착에 이용되는 프로세스이다. CVD는 통상적으로 하나 이상의 시약들(예를 들면, 전구체들)을 프로세싱 챔버의 기판으로 도입하는 단계를 포함한다. 상기 시약들은 반응 및/또는 분해하여 막을 증착시킨다. 통상적으로, CVD 프로세싱 시간이 길면 길수록(즉, 시약에 노출되는 시간이 길면 길수록) 층 두께를 증가시킨다. 플라즈마 강화 화학기상증착(Plasma enhanced CVD: PECVD)은 프로세싱 챔버에서 플라즈마를 이용하여 상기 시약들의 반응 속도를 증가시키고 저온에서의 증착이 가능하도록 한다. 또한, 플라즈마 종을 사용하여 이로 인해 생성된 막 특성을 변화시킬 수 있다.
원자층증착(Atomic layer deposition: ALD)은 다양한 반도체 프로세싱 조작 도중에 원자 단위 두께 제어를 하면서 등각층(conformal layers)을 증착시키는데 이용되는 프로세스이다. ALD는 장벽층(barrier layers), 접착층(adhesion layers), 시드층(seed layers), 유전체층(dielectric layers), 전도층(conductive layers) 등을 증착시키는데 이용될 수 있다. ALD는 적어도 2 개의 시약들을 사용하는 다단계 자기 한정적(self-limiting) 프로세스이다. 일반적으로, (전구체라고 칭해질 수 있는) 제 1 시약은 기판을 포함하는 프로세싱 챔버로 도입되고 기판 표면상에 흡착한다. 여분의 전구체는 퍼지되고(purged)/되거나 펌핑된다(pumped). 이후, 제 2 시약(예를 들면, 수증기, 오존 또는 플라즈마)은 상기 챔버로 도입되고 흡착된 층과 반응하여 증착 반응을 통해 증착층을 형성한다. 상기 증착 반응은 일단 초기 흡착된 층이 상기 제 2 시약과 반응하면 상기 반응이 종결한다는 점에서 자기 한정적이다. 이후 여분의 제 2 시약은 퍼지되고/되거나 펌핑된다. 상기 언급된 단계는 하나의 증착 또는 ALD "사이클"을 구성한다. 전체 증착된 막 두께를 결정하는 수많은 사이클들로 구성된 상기 프로세스는 반복되어 다음 층을 형성하게 된다. 플라즈마 강화 원자층증착(Plasma enhanced ALD: PEALD)은 플라즈마를 상기 제 2 시약으로 사용하는 ALD의 변형으로서; 플라즈마는 구성체(constituent) 공급 기체들로부터 유래된 이온, 라디칼 및 중성자(neutrals)의 준 정적 평형(quasi-static equilibrium)을 구성한다.
CVD 및 ALD는 기판 위의 샤워헤드(showerhead)를 포함하는 프로세싱 챔버를 사용하여 수행될 수 있다. 시약들은 상기 샤워헤드를 통해 기판으로 도입된다. 플라즈마 강화 프로세스들에 대하여, 상기 챔버의 2 개 전극들 사이에서 무선 주파수(RF) 또는 직류(DC) 방전을 사용하여 플라즈마가 발생될 수 있다. 상기 방전은 챔버에서 반응 기체들을 점화시키기 위해 사용된다.
반도체 연구 및 개발은 통상적으로 생산 도구를 사용하여 수행된다. 그러므로, 신규한 CVD 및 ALD 기법들을 모색하거나 CVD 또는 ALD를 이용한 증착된 물질들을 평가하기 위하여, 전체 웨이퍼에 걸쳐서 층이 증착되어야 한다. 이 방식으로 반도체 프로세스들 및 물질들을 조사하는 프로세스는 느리고 비용이 많이 들 수 있다.
따라서, 결합식 플라즈마 강화 증착 기법을 이용한 반도체 개발에 있어서 진보가 필요하다.
본 발명의 양태에 따라서, 본 발명에서는, 기판의 복수개의 영역들을 지정하는 단계; 제 1 전구체를 상기 복수개의 영역들 중 적어도 제 1 영역에 제공하는 단계; 상기 제 1 전구체를 사용하여 형성된 제 1 영역상에 제 1 물질을 증착시키기 위해 상기 제 1 영역에 제 1 플라즈마를 제공하는 단계를 포함하고, 상기 제 1 물질은 상기 기판의 제 2 영역상에 형성된 제 2 물질과는 상이한 것을 특징으로 하는 방법이 제공된다.
본 발명의 또 다른 양태에 따라서, 본 발명에서는, 기판상에 복수개의 영역들을 지정하는 단계; 샤워헤드의 제 1 세그먼트를 사용하여 상기 기판의 제 1 영역에 제 1 전구체및 제 1 기체를 전달하는 단계; 상기 샤워헤드의 제 2 세그먼트를 사용하여 상기 기판의 제 2 영역에 제 2 전구체와 제 2 기체를 전달하는 단계; 상기 샤워헤드와 받침대 사이의 전압차를 발생시켜 상기 기판의 제 1 영역상에 플라즈마를 점화하는 단계(상기 받침대 상에는 상기 제 1 영역상에 물질을 형성하도록 상기 기판이 배치됨)를 포함하고, 상기 제 1 세그먼트와 상기 받침대 사이의 제 1 거리는 상기 전압차가 발생되는 경우에 상기 제 1 기체가 플라즈마를 점화하고 형성하도록 하는 거리이고, 상기 제 2 세그먼트와 상기 제 2 영역 사이의 제 2 거리는 상기 전압차가 발생되는 경우에 상기 제 2 기체가 점화하지 않도록 하는 거리인 것을 특징으로 하는 방법이 제공된다.
본 발명의 다양한 실시예들은 아래 상세한 설명 및 첨부한 도면들에 개시되어 있다:
도 1a는 복수의 영역들을 갖는 기판을 도시한다;
도 1b는 결합식 프로세싱 및 평가의 수행을 도시하는 개략적인 다이아그램이다;
도 2a 내지 2e는 본 발명의 일 실시예에 따른 기판 프로세싱 시스템 및 그 구성요소들을 도시한다;
도 3은 플라즈마-강화 CVD(PECVD) 또는 플라즈마-강화 ALD(PEALD)를 이용한 다양한 조건하에서 복수의 영역들에 상이한 물질들을 증착시킬 수 있는 프로세싱 시스템을 도시하는 단순화된 다이아그램이다;
도 4a는 증착 시스템용 샤워헤드의 아랫면의 도면이다;
도 4b는 기판상에 증착된 상이한 물질들이 있는 복수의 영역들을 갖는 기판을 도시한다;
도 5는 결합식 물질 증착의 수행을 위한 다른 샤워헤드를 포함하는 결합식 프로세싱 시스템을 도시한다;
도 6은 일 기판의 하나의 영역에서 플라즈마의 점화가 일어나고 다른 영역들에서는 그렇지 않은 것을 도시하는 전기 등가 회로이다;
도 7은 기판의 복수 영역들의 도처에 플라즈마를 변화시켜 상기 기판을 결합식으로 프로세싱하는 프로세스를 기술하는 순서도이다; 그리고
도 8 내지 11은 결합식 플라즈마 강화 ALD 프로세싱을 수행하기 위한 타이밍 다이아그램들(timimg diagrams)이다.
하나 이상의 실시예들의 상세한 설명이 첨부한 도면들과 함께 아래에 제공된다. 상세한 설명은 그러한 실시예들과 연계하여 제공되지만, 임의의 특정 예에 한정되지 않는다. 청구항에 의하여서만 범위가 한정되며 수많은 대안, 변형 및 균등물들이 포함된다. 철저한 이해를 위하여 아래 설명에 수많은 특이적인 상세사항들이 설명되어 있다. 이러한 상세사항들은 실시예의 목적을 위해 제공되며 기술된 기법들은 이러한 특이적인 상세사항들의 일부 또는 전부 없이도 청구항에 따라 수행될 수 있다. 명료성을 위해, 실시예와 관련된 기술계에서 알려진 기술적 물질은 설명을 불필요하게 모호하게 하는 것을 회피하기 위하여 상세히 기술되지 않았다.
다양한 실시예에 따라, 플라즈마 강화 화학 기상 증착(PECVD) 및 플라즈마 강화 원자층증착(PEALD)용의 매개변수들 또는 조건들은 기판 영역의 도처에서 결합식으로 변화될 수 있다. 상기 결합식 변화를 이용하여 플라즈마 강화 기법을 이용한 신규한 물질들을 조사할 수 있거나 플라즈마 강화 기법 수행용 최적 프로세스 매개변수들 또는 조건들을 결정할 수 있다. 일부 실시예들에서, 플라즈마는 전체 기판에 걸쳐서 시약 또는 향상제로서 사용될 수 있는 반면에, 다른 매개변수들(예를 들면, 전구체들의 유형, 노출 시간)은 기판 영역의 도처에서 변화된다. 다른 실시예들에서, 플라즈마의 유형들 또는 플라즈마의 존재는 영역들 도처에 걸쳐서 변화될 수 있다. 예를 들면, 기판의 2 개 영역들이 플라즈마에 노출될 수 있는 반면에, 상기 기판의 2 개 영역들은 향상제 또는 다른 시약 어디에도 노출되지 않는다. 이후, 이로 인한 물질들은 특성화되고 평가되어 최적 프로세스 솔루션을 결정할 수 있다. 기판의 플라즈마 영역들을 차등적으로 제공하는 기법들 및 장치들은 아래에 기술되어 있다.
플라즈마는 2 개 전극들 사이에 플라즈마 기체를 제공하고 상기 전극들 사이에 전압차를 발생시켜 프로세싱 챔버 내에서 발생될 수 있다. 공급 기체들을 이온화하는데 필요한 전원(power)은 용량적으로 결합되거나 유도적으로 결합된 소스들(sources)로부터 유도된다. 플라즈마는 상기 기체들이 인가 전위가 존재는 최적 압력인 경우의 특정 조건으로부터 유래하는 이온, 라디칼 및 중성자들의 준-정적 평형을 지칭한다. 일부 기체들은 다른 기체들보다 더 분해하고 점화하기 더 용이하다(즉, 플라즈마 발생이 더 용이하다). 또한, 상기 2 전극들 사이의 거리는 플라즈마가 일어나는냐의 여부에 영향을 줄 수 있다. 아래에 설명된 다양한 실시예들에 따라, 플라즈마 기체들의 조성, 챔버 압력 및 전극들 사이의 거리를 변화시켜 플라즈마 강화 증착을 결합식으로 수행할 수 있다. 다른 실시예들은 플라즈마의 원격 소스를 이용한 결합식 플라즈마를 제공한다.
I. 결합식 프로세싱
일반적으로, "결합식 프로세싱"은 기판의 다수 영역들을 차등적으로 프로세싱하는 기법을 지칭한다. 결합식 프로세싱은 반도체 제조와 관련된 다양한 물질, 화학물질, 프로세스 및 기법들을 생성하고 평가할 뿐 아니라 구조들의 구축 또는 상기 구조들이 코팅, 충전(fill) 또는 기존 구조들과 상호작용하는 방식을 결정할 수 있다. 결합식 프로세싱은 기판상의 복수 영역들에 걸쳐 물질들, 단위 프로세스들 및/또는 프로세스 순서들을 변화시킨다.
A. 기판상의 복수 영역들
도 1a는 복수 영역들을 갖는 기판(100)을 도시한다. 상기 기판(100)은 복수의 쐐기-모양 영역들(102)을 포함한다. 상기 쐐기-모양 영역들(102)은 CVD, ALD, PECVD 및 PEALD와 같은 기법들을 이용하여 형성될 수 있다. 예를 들면, 전구체들, 시약들, 노출 시간, 온도, 압력 또는 다른 프로세싱 매개변수들 또는 조건들을 변화시켜 상기 영역들(102)의 각각에 다른 물질이 증착될 수 있다. 이후, 상기 영역들(102)은 검사되고 비교되어 어느 물질 또는 기법이 심층 연구에 유리하거나 생산에 유용한지의 여부를 결정할 수 있게 한다. 여기에 도시된 바와 같이, 상기 기판(100)은 4 개의 쐐기들로 나뉘지만, 임의의 모양을 갖는 수많은 영역들이 사용될 수 있다는 것을 이해할 것이다. 또한, 대형 웨이퍼들로부터 절단된 직사각형 쿠폰들을 포함하여 임의의 모양 또는 크기의 기판이 사용될 수 있지만, 상기 기판(100)은 원형 웨이퍼이다. 상기 기판들 또는 웨이퍼들은 집적 회로, 반도체 장치, 평판 디스플레이, 광전자 장치, 데이터 저장 장치, 자기전자 장치, 자기광학 장치, 분자 전자 장치, 태양 전지, 광 장치, 패키지 장치 등에 사용되는 것일 수 있다.
일례로, 2 개의 전구체들이 사용되어 상기 기판(100) 상에 2 개의 상이한 물질들을 증착시킬 수 있다. 제 1 전구체 A가 사용되여 예를 들면, 영역들(102a 및 102b)에 알루미늄을 증착시킬 수 있으며, 제 2 전구체 B가 사용되어 예를 들면, 영역들(102c 및 102d)에 하프늄을 증착시킬 수 있다. 상기 전구체 A는 영역(102b)보다 영역(102a)에서 다른 노출 시간, 유량 등을 가질 수 있다. 또한, 하나 이상의 영역들은 플라즈마를 향상제 또는 시약으로 사용할 수 있다. 아래 설명은 기판의 일 부분에 플라즈마를 제공하는 실시예들을 포함한다.
단위 프로세스는 반도체 제조에 사용되는 개별 프로세스이다. CVD 및 ALD 프로세싱을 위한 단위 프로세스들의 예는 시약 또는 전구체의 도입, 퍼징 및 2 개 전극들 사이에 전위를 인가하는 단계를 포함한다. 프로세스 순서는 반도체 프로세스(예를 들면, 층의 증착)를 수행하는데 사용되는 개별 단위 프로세스들의 순서이다.
결합식 프로세싱을 이용하면 임의의 물질들, 단위 프로세스들 또는 프로세스 순서들은 하나 이상의 기판들의 영역들의 도처에서 변화될 수 있다. 그 예들로서:
상이한 물질들(또는 상이한 특징들을 갖는 동일 물질)은 하나 이상의 기판들의 상이한 영역들 상에 증착될 수 있다.
상이한 단위 프로세스들은 영역들의 도처에서 수행될 수 있거나 변형된 단위 프로세스들(예를 들면, 전구체를 일 영역상에서 10 초 동안 노출시키고 또 다른 영역상에 10 초 동안 노출시킴)이 수행될 수 있다.
단위 프로세스들의 순서, 예를 들면, 하나 이상의 층들을 증착시키는데 이용되는 개별 단위 프로세스들의 순서는 변경될 수 있다. 또한, 단위 프로세스들이 프로세스 순서에 첨가되거나 프로세스 순서에서 생략될 수 있다.
B. 결합식 평가
도 1b는 결합식 프로세싱 및 평가의 수행을 도시하는 개략도(140)이다. 상기 개략도(140)는 일 군의 기판들로 수행된 결합식 프로세스들의 상대수는 특정 물질들 및/또는 프로세스들이 선택됨에 따라 감소한다는 것을 도시한다. 일반적으로, 결합식 프로세싱은 제 1 스크린 도중에 수많은 프로세스들 및 물질 선택들을 수행하는 단계, 그러한 프로세스들로부터 유망한 후보들을 선택하는 단계, 제 2 스크린 도중에 선택된 프로세싱을 수행하는 단계, 상기 제 2 스크린으로부터 유망한 후보들을 선택하는 단계 등을 포함한다. 또한, 나중 스테이지들로부터 앞선 스테이지들로의 피드백을 이용하여 성공 기준을 개선하고 더 나은 스크리닝 결과들을 제공할 수 있다.
예를 들면, 수천 가지 물질들이 물질 발견 스테이지(142) 도중에 평가된다. 또한, 물질 발견 스테이지(142)는 일차 스크리닝 기법을 이용하여 수행되는 일차 스크리닝 스테이지로 알려져 있다. 일차 스크리닝 기법은 웨이퍼들을 영역들로 분할하는 단계 및 변화된 프로세스들을 이용하여 물질들을 증착시키는 단계를 포함할 수 있다. 이후 상기 물질들은 평가되며, 유망한 후보들이 상기 이차 스크린 또는 물질 및 프로세스 개발 스테이지(144)로 나아간다. 물질들의 평가는 물리 및 전자 시험기들 및 영상 도구들과 같은 계측 도구들을 사용하여 수행된다.
물질들 및 프로세스 개발 스테이지(144)는 수천 가지 물질들을 평가할 수 있으며(즉, 일차 스테이지보다 더 작은 크기) 그러한 물질들의 증착 또는 개발에 사용된 프로세스들에 집중할 수 있다. 유망한 물질들 및 프로세스들이 다시 선택되어 10 여가지 물질들 및/또는 프로세스들 및 그 조합들이 평가되는 삼차 스크린 또는 프로세스 집적 스테이지(146)로 나아간다. 상기 삼차 스크린 또는 프로세스 집적 스테이지(146)는 다른 프로세스들 및 물질들과 선택된 프로세스들 및 물질들을 구조로의 집적에 집중할 수 있다.
상기 삼차 스크린으로부터 가장 유망한 물질들과 프로세스들은 장치 자격 검정(device qualification: 148)으로 나아간다. 장치 자격 검정에 있어서, 선택된 물질들 및 프로세스들은 주로 생산 도구들 내에서 전체 웨이퍼들상에서 수행되는 고 체적 제조에 대하여 평가되지만, 이와 같은 방식으로 수행될 필요는 없다. 상기 결과들은 평가되어 선택된 물질들, 프로세스들 및 집적의 유효성을 결정한다. 만약 성공적이라면, 스크리닝된 물질들과 프로세스들의 사용은 제조 단계(150)로 이동할 수 있다.
개략 다이아그램(140)은 반도체 장치들의 개발을 위한 물질들, 프로세스들 및 집적의 평가 및 선택에 사용될 수 있는 다양한 기법들의 예이다. 일차, 이차 등의 스크리닝 및 다양한 스테이지들(142 내지 150)의 설명은 임의적이며 상기 스테이지들은 중복할 수 있고, 순서 외로 발생할 수 있으며 수많은 다른 방식으로 기술되고 수행될 수 있다.
II. 결합식 CVD / ALD 프로세싱 시스템
도 2a 내지 2b는 본 발명의 일 실시예에 따른 기판 프로세싱 시스템(200) 및 그 구성요소들을 도시한다. 상기 기판 프로세싱 시스템(200)은 예를 들면, 알루미늄 또는 양극산화된 알루미늄과 같은 프로세스-적합 물질로부터 형성된 인클로져 어셈블리(enclosure assembly: 202)를 포함한다. 인클로져 어셈블리(202)는 프로세싱 챔버(206)를 정의하는 하우징(204) 및 프로세싱 챔버(206)에 개구부를 덮는 진공 덮개 어셈블리(208)를 포함한다. 웨이퍼 이송 채널(wafer transfer channel: 210)은 하우징(204)에 위치되어 아래에서 더 자세히 논의되어 있는, 프로세싱 챔버(206)와 그 외부 사이의 기판의 이송을 용이하게 한다. 아래에서 더 자세히 논의되어 있는, 프로세싱 챔버(206)로 반응성 및 운반 유체를 전달하는 프로세스 유체 주입 어셈블리가 진공 덮개 어셈블리(208)에 장착되어 있다. 이 목적을 위해, 상기 유체 주입 어셈블리는 복수의 통로들(212a, 212b, 212c 및 212d) 및 샤워헤드(214)를 포함한다. 상기 챔버 하우징(204), 진공 덮개 어셈블리(208) 및 샤워헤드(214)는 종래 방식으로 소기의 온도 범위 내에서 유지될 수 있다. 상기 샤워헤드(214)의 다양한 실시예들이 아래에 논의되어 있다(예를 들면, 도 2c 내지 2e 및 4a 참조).
히터/리프트 어셈블리(heater/lift assembly)(216)는 프로세싱 챔버(206) 내에 배치된다. 히터/리프트 어셈블리(216)는 지지축(220)에 연결된 지지 받침대(support pedestal: 218)를 포함한다. 지지 받침대(218)는 진공 덮개 어셈블리(208)가 폐쇄 위치에 있는 경우, 축(220)과 진공 덮개 어셈블리(208) 사이에 위치된다. 지지 받침대(218)는 예를 들면, 질화알루미늄 및 산화알루미늄(Al2O3 또는 알루미나)와 같은 임의의 프로세스-적합 물질로부터 형성될 수 있으며, 그 위에 기판을 지탱하도록 구성되나, 예를 들면, 지지 받침대(218)는 진공척(vacuum chuck)일 수 있거나 정전척(electrostatic chuck: ESC) 또는 물리적 클램핑 메커니즘과 같은 다른 종래 기법들을 활용할 수 있다. 히터 리프트 어셈블리(216)는 지지 받침대(218)와 샤워헤드(214) 사이의 거리를 변화시켜 기판 대 샤워헤드 간격을 제어할 수 있도록 제어가능하게 이동되도록 개조된다. 본 명세서에 기술된 바와 같이, 샤워헤드(214) 및 받침대(218) 사이의 거리를 변화시켜 기판 영역들 도처에서 플라즈마 점화를 가능하게 하거나 불능으로 할 수 있다. 센서(미도시)는 프로세싱 챔버(206) 내에서 지지 받침대(218)의 위치에 관한 정보를 제공한다. 지지 받침대(218)는 받침대 어셈블리에 끼워져 있는 저항 가열 구성요소들과 같은 가열 구성요소들(미도시)의 사용을 통해 기판을 가열시키는데 사용될 수 있다.
도 2a 및 2b를 참조하면, 유체 공급 시스템(222)은 도관들의 순서를 통해 통로들(212a, 212b, 212c 및 212d)과 유체 소통을 이루고 있다. 프로세싱 챔버(206) 내에서 유체 공급 시스템(222)으로부터 프로세싱 유체들의 유동은 버터플라이 밸브(228)와 펌프 채널(230)을 통해 프로세싱 챔버(206)와 유체 소통하고 있는 터보 펌프(224) 및 러핑 펌프(roughing pump)(226)와 같은 하나 이상의 펌프들을 포함할 수 있는 압력 제어 시스템에 의해 부분적으로 제공된다. 상기 목적을 위해, 컨트롤러(232)는 시스템(200)의 다양한 구성요소들의 작동을 조절한다. 컨트롤러(232)는 랜덤 액세스 메모리(236) 및 하드 디스크 드라이브(238)과 같은 메모리와 데이터 소통 중인 프로세서(234)를 포함하며, 필요하다면 터보 펌프(224), 온도 제어 시스템(240), 유체 공급 시스템(222) 및 시스템의 다양한 다른 측면들과 신호 소통하고 있다. 시스템(200)은 지지 받침대(218) 상에 배치된 기판(246)의 표면(244)에 근접하게 위치한 프로세싱 챔버(206)의 프로세싱 영역(242)에 조건들을 설정하여 그 위에 박막과 같은 원하는 물질을 형성할 수 있다. 상기 목적을 위해, 하우징(204)은 프로세싱 위치에 위치하여 시스템(200)에 의해 도달될 수 있는 화학 프로세스에 기반한 원하는 수치를 프로세싱 영역(242)에 제공하는 경우 지지 받침대(218)를 둘러싸는 주변 유동 채널(peripheral flow channel)을 생성하도록 구성된다. 펌프 채널(230)은 하우징(204) 내에 위치하여 프로세싱 영역(242)이 펌프 채널(230)과 샤워헤드(214) 사이에 위치하게 된다.
주변 유동 채널(248)의 수치는 프로세싱 유체로서, 아래에 더 기술하는 바와 같이 실질적으로 균일한 방식과 축대칭적으로 기판(246)의 표면에 걸쳐 프로세싱 유체의 유동을 제공하는 프로세싱 유체의 원하는 컨덕턴스(conductance)를 제공하도록 정의된다. 이 목적을 위해, 펌프 채널(230)을 통한 컨덕턴스는 주변 유동 채널(248)을 통한 컨덕턴스보다 더 크게 선택된다. 일 실시예에서, 펌프 채널(230) 및 주변 유동 채널(248)을 통한 프로세싱의 상대 컨덕턴스는 예를 들면 10:1로서, 펌프 채널(230)의 컨덕턴스가 주변 유동 채널(248)을 통한 프로세싱 유체의 컨덕턴스보다 적어도 10 배 더 크게 설정되어 있다. 다른 비율일 수 있지만, 상기 컨덕턴스에 있어서 그러한 큰 차이는 프로세싱 영역(242)을 통해 이동하고 이후 기판(246) 및 지지 받침대(218)를 통해 펌프 채널(230)로 향하는 유체 A 및 B에 의해 도시된 바와 같이 기판(246) 표면의 도처에 축대칭 유동을 용이하게 하는 역할을 한다.
상기 기판(246)에 플라즈마를 제공하기 위하여, 상기 샤워헤드(214) 및 상기 받침대(218) 사이에 전압차를 생성할 수 있는 반면에 플라즈마 기체는 상기 프로세싱 영역(242)에 공급된다. 이 목적을 위해, 1) 상기 샤워헤드(214)를 무선 주파수(RF) 전원과 같은 전원에 연결시키고 상기 받침대(218)를 접지시키고; 2) 상기 샤워헤드(214)를 접지시키고 상기 받침대(218)를 RF 전원과 같은 전원에 연결시키고; 또는 3) 상기 샤워헤드(214) 및 상기 받침대(218) 양쪽 모두를 다른 위상을 갖는 전원들(예를 들면, RF)에 접속시켜 전위가 발생한다. 상기 샤워헤드(214) 및 상기 받침대(218) 사이에 전위차를 발생시키는 임의의 다른 기법도 이용될 수 있음을 알 것이다. 예를 들면, RF 전원을 사용하는 대신에, 직류(DC) 전원도 사용할 수 있다. 플라즈마 기체는 전압차에 의하여 점화될 기체이다. 예를 들면, 상기 플라즈마 기체는 아르곤, 수소, 산소, 질소 또는 임의의 그 조합일 수 있다. 아래에 기술되는 바와 같이, 플라즈마가 기판의 일부 영역에 걸쳐서 점화하고 다른 영역은 점화시키지 않도록 복수의 플라즈마 기체들이 사용될 수 있다.
도 2b 내지 2d를 참조하면, 유동 A 및 B의 발생을 용이하게 하기 위하여, 샤워헤드(214)는 중심축(254)에 대하여 방사상으로 대칭으로 형성되는 배플 플레이트(252)를 포함하지만, 그러한 필요는 없다. 배플 플레이트(252)는 제 1 및 제 2 대항면들(256a 및 256b)을 가지며, 이들 사이에 뻗어져 나가는 복수의 관통 포트들(258a, 258b, 258c 및 258d)을 갖는다. 다기관 부분(manifold portion: 260)을 통해 뻗어져 나가는 복수의 주입 포트들(262)을 갖는 다기관 부분(260)이 배플 플레이트(252)에 결합되어 있다. 다기관 부분(260)은 통상적으로 축(254)에 대하여 방사상 대칭으로 배치된다. 다기관 부분(260)은 표면(256b)으로부터 이격되어 이들 사이에 플레넘 챔버(plenum chamber: 264)를 정의한다. 다기관 부분(260)은 조임장치, 용접 등을 포함하는 반도체 프로세싱 기술분야에 공지된 임의의 수단을 이용하여 배플 플레이트(252)에 결합될 수 있다. 배플 플레이트(252) 및 샤워헤드(214)는 스테인레스 강철, 알루미늄, 양극처리된(anodized) 알루미늄, 니켈, 세라믹 등을 포함하는 응용에 적당한 임의의 공지된 물질로부터 형성될 수 있다.
도 2b 내지 2d를 참조하면, 다기관 부분(260)으로부터 뻗어 나와 배플 플레이트(252)로 향하는 바디(266)를 포함하는 유체 분리 메커니즘이 다기관 부분(260)으로부터 뻗어져 나간다. 바디가 표면으로부터 뻗어져 나가는 거리는 특이적인 설계 매개변수들에 의존하며 아래에서 더 논의되는 바와 같이, 상기 플레넘(264) 내에 세그먼트들을 생성하도록 거리의 일부 또는 전체 거리를 감당하도록 뻗어져 나갈 수 있다. 일 실시예에서, 바디(266)는 상기 다기관(260) 및 배플(252) 사이에서 2 개의 수직 방향으로 뻗어져 나가 사분면들(quadrants) 또는 세그먼트들(268a, 268b, 268c 및 268d)이라고 불리는 4 개의 영역을 생성할 수 있다. 4 개의 사분면들은 도시되어 있지만, 바람직하게는 또는 기판(246) 상에서 정의할 수 있는 영역들의 수에 따라서, 다른 바디 부분들(266)을 추가하거나 상기 포트 위치 및/또는 샤워헤드 아웃렛 패턴(showerhead outlet pattern)을 변경하여 많은 세그먼트들이 제공될 수 있다. 바디(266)의 꼭지점(270)은 일반적으로 축(254)과 정렬된다. 통로들(212a 내지 212d)은 258a 내지 258d에 도시된 바와 같이 4 개의 포트들을 통해 유체의 방향을 이끌도록 구성되어 있다. 이런 방식으로, 포트들(258a 내지 258d)은 사분면들(268a 내지 268d) 중 해당하는 하나와 연계된 프로세싱 유체들의 유동을 생성하도록 배열된다. 상기 바디(266)는 포트들(258a 내지 258d)을 빠져나가는 유체들이 인접한 사분면들(268a 내지 268d) 사이에 확산하지 않도록 방지하지는 않는다고 해도 이를 최소화하도록 충분하게 분리한다. 이런 방식으로, 상기 4 개 포트들(258a 내지 258d)의 각각은 나머지 포트들(258a 내지 258d)이 프로세싱 유체들의 유동을 이끄는 사분면들(268a 내지 268d)과는 다른 사분면들(268a 내지 268d) 중 하나로 프로세싱 유체들의 유동을 이끈다.
도 2e는 샤워헤드(214)의 아랫면으로부터 뻗어나가는 선택적인 돌출부들을 도시한다. 상기 돌출부들(272)은 기판의 영역들을 분리하는데 사용된다. 돌출부들(272)은 상기 기판의 각 영역을 분리하는 돌출부가 존재하도록 배열될 수 있다. 예를 들면, 도 2e에 도시된 바와 같이, 상기 기판(246) 상에서 4 개의 영역들을 분리하거나 실질적으로 분리하는데 4 개의 돌출부들(272)이 사용된다. 상기 돌출부들(272)은 시약들과 같은 기체들이 인접 영역으로 도입되려고 하는 영역으로부터 이동하지 않도록 방지할 수 있다. 또한, 상기 돌출부들(272)은 일 영역에서 발생된 플라즈마가 확산되고 다른 영역에서 기체들을 점화시키지 않도록 방지한다. 상기 돌출부들(272)은 기판(246)과 접촉하거나 기판 표면(244)으로부터 다소간 이격(예를 들면, 0.5 내지 5 ㎜)되어 있다. 상기 돌출부들과 웨이퍼간의 간격은 플라즈마가 그 자체를 지탱할 수 없는 어두운 공간을 확보하는데 있어서 중요하다.
III . 결합식 PECVD / PEALD 프로세싱 시스템
A. 결합식 PECVD / PEALD 세그먼트된 샤워헤드
도 3은 플라즈마-강화 CVD(PECVD) 또는 플라즈마-강화 ALD(PEALD)를 이용한 변화하는 조건하에서 다른 물질들을 증착시킬 수 있는 프로세싱 시스템(300)을 도시하는 간략한 다이아그램이다. 도 4a는 샤워헤드(214)의 아랫면의 도면이다. 도 4b는 기판상에 증착된 상이한 물질들(302)이 있는 복수의 영역들(402)을 갖는 기판(246)을 도시한다.
프로세싱 시스템(300)을 사용하여, 플라즈마는 상이한 물질들(예를 들면, 물질들(302a 내지 302d))이 기판(246)의 상이한 영역들(402a 내지 402d)상에 형성되도록 기판(246)의 영역들에 선택적으로 적용될 수 있다. 물질들(302a 내지 302d)은 이들이 다양한 프로세싱 매개변수들을 이용하여 형성된다면 상이한 것으로 간주될 수 있다. 예를 들면, 상이한 전구체들은 상이한 영역들 내에서 사용될 수 있고, 동일한 전구체들이 일부 영역들에서 플라즈마와 함께 그리고 플라즈마 없이 또는 매개변수(예를 들면, RF 전원, 기간 등) 들의 일부 조합과 함께 그리고 이 조합 없이 사용될 수 있다. 상기 샤워헤드(214)의 영역들(402) 및 상기 세그먼트들(268)은 임의의 크기, 모양 또는 구성을 가질 수 있지만, 일 실시예에 따라, 상기 영역들(402)은 공통의 크기 및 모양을 갖는다. 다양한 실시예들에 따라, 결합식 프로세싱에 대하여 변경할 수 있는 PECVD 및 PEALD의 매개변수들 및 조건들은 플라즈마를 점화시키는 전원, 플라즈마 및 다른 기체들의 유동, 플라즈마 기체의 유형, 압력, 전구체들의 선택, 노출 시간, 간격 등을 포함한다.
또한, 플라즈마는 ALD 또는 CVD 프로세스 이전에 기판을 사전처리하는데 사용될 수 있다. 플라즈마는 예를 들면, 기판 표면상에 원하지 않는 산화와 같은 오염을 제거하는데 이용될 수 있다. 예를 들면, 구리 기판이 표면 산화물을 갖는 경우, 원하지 않는 산화물들을 제거하는데 플라즈마가 적용될 수 있다. 또한, 기판의 습윤성을 향상시키는 것과 같은 다른 플라즈마 사전-처리도 이용될 수 있다. 플라즈마는 기판 전체에 또는 일부 영역들에 결합식으로 적용되지만 다른 영역들에서는 적용되지 않을 수 있다. 플라즈마의 매개변수들(예를 들면, 플라즈마 기체 조성물) 또는 플라즈마의 이용 대 플라즈마의 불용은 기판 영역들의 도처에서 변화되고 결합식 프로세스에서 평가될 수 있다. 일부 실시예들에서, 결합식 플라즈마 예비-처리는 후속 비-결합식 ALD 또는 CVD 프로세스들(즉, 전체 기판의 도처에 동일한 프로세싱 조건들의 이용)과 함께 이용될 수 있다.
또한, 전체 기판(246)은 이에 적용되는 플라즈마를 가질 수 있지만, 다른 물질들이 증착되도록 상이한 영역들의 도처에 상이한 전구체들 또는 다른 프로세싱 조건들을 이용한다. 본 명세서에 사용된 바와 같이, 물질(예를 들면, 박막 또는 층 포함)은 물질들이 상이한 조성, 입자 구조, 형태, 두께 등을 갖는다면 또 다른 물질과 상이하다. 일 실시예에서, 챔버(206)으로의 유체 유동은 각 영역의 도처에 흐르는 유동의 거의 일정한 양(예를 들면, 250 sccm)이다. 도 8 내지 11의 타이밍 다이아그램들은 전체 유체 유동을 더 자세히 설명한다.
ALD 사이클의 후반(second half) 동안 플라즈마 또는 다른 시약들의 사용 또는 CDV 프로세스의 향상을 위한 플라즈마 사용은 다양한 실시예에 따른 결합식 변수일 수 있다. 다양한 기법들을 이용하여 챔버(206) 내에서 분리된 플라즈마를 제공할 수 있다. 본 명세서에 기술된 실시예들에 따라, 플라즈마는 제자리(in situ) 또는 다른 자리(ex situ)에서 상기 기판(246)의 개별 영역들에 제공될 수 있다(다른 영역들에는 제공되지 않음):
이온, 원자, 라디칼 및 다른 플라즈마 종을 발생시키는 원격 플라즈마 소스(304)를 이용하여 플라즈마의 다른 자리 적용이 수행될 수 있다. 유체 공급 시스템(222)을 사용하여 상기 원격 플라즈마 소스(304)로부터 플라즈마 종이 기판(246)에 제공된다. 상기 원격 플라즈마 소스(304)는 산소, 수소, 암모니아 또는 아르곤과 같은 공급 기체(314)(예를 들면, 플라즈마 기체)를 수용하고, 라디칼, 이온, 원자 등과 같은 플라즈마 종을 생성시킨다. 원격 플라즈마 소스(304)는 무선주파수, 마이크로파 또는 전자 사이클로트론 공명(ECR) 상류 플라즈마 소스와 같은 임의의 유형의 플라즈마 소스일 수 있다.
유체 공급 시스템(222)은 복수개의 소스들로부터 유체들을 전달할 수 있다. 예를 들면, 하나 이상의 ALD 또는 CVD 전구체들(306)이 동시에 또는 순차적으로 기판(246)의 영역들(402)에 제공될 수 있다. 플라즈마 강화 ALD 시스템을 사용하는 경우, 상기 전구체 및 플라즈마는 양쪽 모두 반응하여 기판(246)상에 층들을 형성하는 시약들이다. 다른 자리 플라즈마는 상기 영역들(402)의 일부에 플라즈마 종을 흘려서, 또는 상이한 영역들(402)에 대하여 상이한 플라즈마 특성들 또는 매개변수들을 이용하여 차등적으로 적용되고 다른 영역들에는 적용되지 않을 수 있다.
2 개의 전극들(예를 들면, 샤워헤드(214) 및 받침대(218)) 사이에 전압차를 발생시켜 제자리(In situ) 플라즈마가 제공될 수 있다. 제자리 플라즈마는 상기 기판(246)의 상이한 영역들(402)에 상이한 기체들을 흘려서 제자리 플라즈마가 차등적으로 적용될 수 있다. 파센의 법칙(Paschen's Law)은 플라즈마가 기체를 통해 형성되는 조건들에 영향을 끼친다. 파센의 법칙에 따르면, 2 개 전극들 사이의 주어진 기체에 대하여 항복 전압(VB) 이상의 전압차가 상기 2 개 전극들(예를 들면, 샤워헤드(214) 및 받침대(218)) 사이에 적용되는 경우 플라즈마가 형성된다. VB는 상기 전극들 사이의 거리(예를 들면, 거리 d(308)) 및 챔버내 기체 압력에 의존한다:
[식 1]
V B = f( pd )
여기서, f는 챔버내에 존재하는 기체의 고유 특성이다. 따라서, 상기 전극들 사이의 일정한 거리 및 챔버(206)의 일정 압력에 대하여, 전압차가 인가되는 경우 플라즈마의 점화는 플라즈마 기체의 고유 특성에 의존한다. 본 발명의 일 실시예에 따라, 샤워헤드(214)의 일 세그먼트(268)는 용이하게 점화하는 기체(예를 들면, Ar)을 제공할 수 있는 반면에, 또 다른 세그먼트(268)는 점화하기 곤란한 기체(예를 들면, H2)를 제공한다. 사용될 수 있는 다른 플라즈마 기체들은 산소, 질소, 암모니아 등을 포함한다. 이런 방식으로, 플라즈마는 기판(246)의 일 영역(402)에 제공될 수 있는 반면에, 또 다른 영역(402)에는 제공되지 않는다. 그 결과, 플라즈마를 달리하면 결합식으로 상기 기판(246)의 복수 영역들(402)에 상이한 물질들이 형성될 수 있다. 예를 들면, 기판(246)의 일 영역(402a)에 플라즈마가 시약으로 사용될 수 있는 반면에, 또 다른 시약이 기판(246)의 제 2 영역(402b)에 사용된다. 이를 실시하는 예들은 아래 도 8 내지 11의 다이밍 다이아그램들에서 기술되어 있다. 기판(246)의 일부 영역들에 플라즈마를 제공하고 다른 영역들에는 제공하는 않는 다른 기법들은 아래에 기술되어 있다.
샤워헤드(214) 및 받침대(218) 사이의 전압차는 몇 가지 방식으로 제공될 수 있다. 일 실시예에 따르면, 무선주파수(RF) 전원(310)은 상기 샤워헤드(214) 및 상기 받침대(218)의 한쪽 또는 양쪽 모두에 부착된다. 상기 RF 전원은 2 메카헤르츠(MHz), 3.39 MHz, 13.56 MHz, 60 MHz, 300 내지 500 킬로헤르츠(kHz) 및 다른 주파수들을 포함하는 임의의 주파수를 사용할 수 있다. 일 실시예에서, 상기 샤워헤드(214)는 전원(310a)을 사용하여 전원을 공급받고 상기 받침대(218)는 접지(312a)에 부착된다. 제 2 실시예에서, 상기 받침대(218)는 전원(310b)에 부착되고 상기 샤워헤드는 접지(312b)에 부착된다. 제 3 실시예에서, 상기 샤워헤드(214) 및 상기 받침대(218) 양쪽 모두는 각각 RF 전원들(310a 및 310b)에 부착된다. 제 3 실시예에서, 상기 샤워헤드(214) 및 상기 받침대(218) 양쪽 모두는 각각 RF 전원들(310a 및 310b)에 부착된다. 상기 제 3 실시예를 이용하면, 상기 전원들(310a 및 310b)은 주파수 또는 위상 어느 하나 또는 양쪽 모두에서 상쇄될 수 있다. 이러한 실시예들 중 임의의 것은 상기 샤워헤드(214) 및 상기 받침대(218) 사이의 전압차를 제공하여 원하는 바와 같이 챔버(206)에서 플라즈마를 점화시키거나 점화시키지 않을 수 있다. 또한, 직류(DC) 전원들과 같은 다른 유형들의 전원들도 사용되어 전압차를 발생시킬 수 있다. 일 실시예에 따라, 기판상에 실행된 장치들에 가해지는 손상을 회피하기 위하여, 공급된 전원은 1.0 W/㎠ 미만이다. 그러나, 임의의 전원량이 사용될 수 있다는 것을 알 것이다.
도 4a는 상기 샤워헤드(214)의 아랫면 도면이다. 돌출부들(272)뿐만 아니라 세그먼트들(268) 및 주입 포트들(262)을 관찰할 수 있다. 도 4b는 기판상에 결합식으로 증착된 상이한 물질들을 갖는 기판(246)의 윗면 도면이다. 이 실시예에서 세그먼트들(268)은 기판(246)의 영역들(402)에 해당한다. 그러므로, 상기 물질들(302)을 형성하는 전구체들은 상기 샤워헤드(214)의 해당 세그먼트들(268)에 의하여 방출된다.
"어두운" 영역들(404)은 기판(246)의 영역들(402) 사이의 면적이다. 상기 어두운 영역들(404)은 노출된 영역들(402) 사이에 있으며, 상기 어두운 영역들(404)에서 시약들에 노출되면 노출된 영역들(402)로부터 시약 이동이 일차적으로 발생한다. 돌출부들(272)을 사용하거나, 또는 챔버, 유동, 포트 위치 및/또는 샤워헤드 구성에 있어서 유동 조건들을 조정함으로써, 그리고 다른 가능한 기법들에 의하여 일부 실시예들에서 이러한 어두운 영역들(404)이 최소화되거나 제거될 수 있다.
전구체 또는 기체가 기체 주입 시스템(222)에 의하여 도입되는 경우, 화학 시약들은 기판(246) 상에서 상호작용하여 물질들(302)을 형성한다. 기판(246)은 4 개의 상이한 영역들(402)상에 증착된 상이한 물질들(302)을 갖는다. 기술한 바와 같이, 물질들은 조성(즉, 화학 구성물들), 형태, 두께 등에서 실질적인 방식으로 변화하면 상이한 것으로 간주될 수 있다. 예를 들면, 각 물질들(302)은 상이한 전구체들을 사용하여 증착될 수 있다. 물질(302a)은 탄탈륨(트리스(디에틸아미노)(터트-부틸이미도)탄탈륨(tris(diethylamino)(tert-butylimido)tantalum: TBTDET)와 같은 탄탈륨 전구체를 사용하여 형성됨)일 수 있으며, 물질(302b)은 티타늄(테트라키스 디에틸아미도 티타늄(tetrakis diethylamido titanium: TDEAT)와 같은 티타늄 전구체를 사용하여 형성됨)일 수 있으며, 물질(302c)은 하프늄(테트라키스(디메틸아미도)하프늄(tetrakis(dimethylamido)hafnium: TDMAHf)과 같은 하프늄 전구체를 사용하여 형성됨)일 수 있으며, 그리고 물질(302d)은 루테늄(비스(메틸시클로펜타디에닐)루테늄(bis(methylcyclopentadienyl)ruthenium: Ru(MeCp)2)일 수 있다. 이러한 방식으로, 4 개의 상이한 물질들이 4 개의 상이한 전구체들을 사용하여 결합식으로 증착된다. 또한, 영역 또는 영역들 도처에 의해 프로세싱 순서 또는 다른 프로세싱 조건들이 변화되어 결합식 어레이를 생성할 수 있다. 일반적으로, 특이적인 변화는 실험의 설계에서 정의되지만, 그렇게 정의될 필요는 없다.
일 실시예에 따라, 영역들 도처에 걸쳐 변화될 수 있는 하나의 프로세스 매개변수는 기판의 일 영역에서의 플라즈마의 존재 여부이다. 예를 들면, 플라즈마는 영역(402a)에서 점화될 수 있지만, 영역들(402b 내지 402d)에서는 점화되지 않는다. 플라즈마는 PEALD 또는 PECVD 프로세스와 함께 사용되는 시약일 수 있다. 플라즈마가 일어나지 않는 다른 영역들에 대하여 다른 시약들(예를 들면, 수증기)이 사용될 수 있다. 상술한 바와 같이, 플라즈마의 점화는 전극들(예를 들면, 샤워헤드(214) 및 받침대(218)) 사이의 거리, 챔버(206)의 압력 및 플라즈마에 사용된 기체에 의존한다. 본 발명의 실시예들은 거리 및 기판의 도처에 걸쳐 플라즈마를 차등적으로 제공하는 기체 조성을 변화시키는 것을 기술한다.
B. 결합식 PECVD / PEALD 용의 다른 샤워헤드
도 5는 결합식 물질 증착을 수행하기 위한 다른 샤워헤드(214)를 포함하는 결합식 프로세싱 시스템(500)을 도시한다. 상기에서 논의된 바와 같이, 플라즈마의 점화(즉, 항복 전압)는 전극들(예를 들면, 샤워헤드(214) 및 받침대(218)) 사이의 거리에 의존한다. 도시된 다른 샤워헤드(214)는 받침대(218)로부터 상이한 거리들(예를 들면, 거리 (d 1 (502a) 및 d 2 (502b))을 갖는 세그먼트들(268a 및 268b)을 포함한다. 단일 플라즈마 기체가 챔버속으로 공급될 수 있으며, 상기 플라즈마 기체 및 받침대의 위치는 상기 거리(502a)가 너무 멀어 플라즈마를 점화할 수 없는 반면에 상기 거리(502b)는 플라즈마를 점화하기에 충분하거나 그 역도 마찬가지(예를 들면, 상기 거리(502a)는 플라즈마를 점화시키고 상기 거리(502b)는 너무 짧아 플라즈마를 점화할 수 없다)가 되도록 선택될 수 있다. 이러한 방식으로, 플라즈마는 일부 영역들에서만 점화될 수 있고 다른 영역들에서는 그러하지 않다.
다른 실시예에 따라, 세그먼트들(268)은 기판(246)에 대하여 동적으로 이동가능할 수 있다. 예를 들면, 상기 거리(d 1 (502a) 및 d 2 (502b))는 특정 결합 실험의 요구사항에 따라 동적으로 조정될 수 있다. 또한, (여기에 도시된 다른 샤워헤드를 포함하는) 샤워헤드(214)는 상기 거리들(d 1 (502a) 및 d 2 (502b))을 변경하기 위하여 기판(246)에 대하여 한 단위로 이동될 수 있다. 또한, 샤워헤드(214) 또는 받침대(218) 중 어느 하나 또는 양쪽 모두가 회전되어 여기에 도시된 다른 샤워헤드(214)를 사용하는 경우 샤워헤드(214)와 기판(246)의 일 영역(404) 사이의 거리를 변경할 수 있다.
C. 영역들 사이의 플라즈마 이동
플라즈마는 기판(246)의 일 영역에서 점화되어 이후 또 다른 영역(402)으로 이동되어 결합식 프로세싱을 수행할 수 있다. 일 영역에서 또 다른 영역으로 플라즈마를 이동시키는 2 가지 기법들이 기술되어 있다.
1. 기체 혼합물 충전
제 1 기법은 도 2c 내지 2e 및 3에 도시된 샤워헤드(214)를 사용하는 것이다. 샤워헤드(214)는 샤워헤드(214) 및 받침대(218) 사이에 플라즈마 기체(예를 들면, Ar) 및 전압차를 제공하는 반면에 기판(246)의 다른 영역들(402)에 점화하지 않는 기체를 사용하여 예를 들면 영역(402a)과 같은 제 1 영역에 플라즈마를 발생시킨다. 이후, 상기 전압차는 유지되지만, 상기 제 1 영역에 있는 플라즈마 기체는 상기 상황하에서 점화하지 않는 기체(예를 들면, 퍼지 기체)로 바뀌며, 상기 상황하에서 점화하는 플라즈마 기체(예를 들면, Ar)는 이후 제 2 영역(예를 들면, 영역(402b))으로 공급된다. 상기 제 1 영역으로부터 점화성 플라즈마 기체를 제거하고 상기 제 2 영역으로 점화성 기체를 도입하면 상기 제 1 영역으로부터 상기 제 2 영역으로 플라즈마를 이동시키게 된다. 일 실시예에 따르면, 양쪽 영역들에서 플라즈마가 있는 중복 시기가 있을 수 있다. 다른 실시예들에 따라, 임의의 영역들은 어느 때라도 플라즈마를 가질 수 있으며, 상기 영역들은 인접하거나 인접하지 않을 수 있다.
2. 받침대 회전
일 실시예에 따르면, 받침대(218)는 회전가능하다. 일 영역(예를 들면, 영역(402a))에서 상기 영역에 대응하는 샤워헤드(214)의 세그먼트(예를 들면, 세그먼트(268a))를 통해 적당한 플라즈마 기체를 제공하여 플라즈마가 점화될 수 있다. 기판(246)은 회전하여 플라즈마를 또 다른 영역(예를 들면, 영역(402b))로 이동시킬 수 있다.
또한, 본 실시예에서는 도 5에 도시된 다른 샤워헤드(214)를 사용할 수 있다. 예를 들면, 도 5에 도시된 다른 샤워헤드(214)를 사용하여 영역(402a)은 세그먼트(268a)에 의하여 방출된 전구체에 노출될 수 있으며 영역(402b)은 세그먼트(268b)에 의하여 방출된 전구체에 노출될 수 있다. 본 예에서, 상기 세그먼트(268b)는 받침대(218)에 더 가까이 있고 플라즈마는 영역(402b)에서는 점화하지만 영역(402a)에서는 점화하지 않는다. 받침대(218)는 영역(402a)을 세그먼트(268b) 아래로 이동시켜 플라즈마를 상기 영역(402a)로 이동시키도록 회전될 수 있다.
또한, 받침대(218)의 회전은 추가적인 영역들을 발생시키는데 이용될 수 있다. 예를 들면, 샤워헤드(214)가 4 개 세그먼트들(268)로 분할되면, 받침대(218)를 회전시켜 4 가지 이상의 상이한 물질들(302)이 기판(246) 상에서 생성될 수 있다. 받침대는 본 예에서 1/2 영역으로 회전되어(즉, 45°) 8 개 영역들을 발생시킬 수 있다. 4 개 전구체들이 4 개 세그먼트들(268)에 의하여 방출될 수 있다. 이러한 전구체들이 방출하는 동안에, 받침대(218)가 45°로 회전되어 각 영역의 절반을 또 다른 전구체에 노출시켜 추가적인 4 개 영역들을 만들어 낼 수 있다. 예를 들면, 전구체 A는 세그먼트(268a)에 의하여 영역(402a) 상으로 방출되고, 전구체 B는 세그먼트(268b)에 의하여 영역(402b) 상으로 방출된다. 상기 전구체들을 노출하는 동안에, 영역(402a)의 절반이 전구체 B에 노출되는 반면에, 영역(402a)의 나머지 부분은 전구체 A에 계속 노출되도록 받침대를 회전시킨다. 이렇게 생성된 8 개 영역들은 단일 전구체에 노출된 4 개 영역들과 전구체들의 혼합물에 노출되는 4 개 영역들을 포함한다. 많은 회전 및 전구체들로의 노출로 조합된 많은 영역들은 많은 영역들을 발생시키는데 사용될 수 있다는 것을 알 것이다.
D. 전기 등가 회로
도 6은 기판의 일 영역에서 플라즈마를 점화시키지만 다른 영역들에서는 점화시키지 않는 것을 도시하는 전기 등가 회로(600)이다. 상기 등가 회로(600)는 기판의 세그먼트들(268) 및 영역들(402)을 통해 전류의 유동이 있음을 도시한다. 예를 들면, 열린 스위치들(602, 604, 608)은 영역들(402a, 402b 및 402d) 각각에 플라즈마가 없음을 나타낸다. 닫힌 스위치(606)는 영역(402c)에서 전류가 흐르고 플라즈마가 있음을 나타낸다. 일 영역에서 플라즈마의 점화는 상기 영역에서 2 개 전극들(즉, 샤워헤드(214) 및 받침대(218)) 사이의 회로를 효과적으로 완성한다. 본 예에서, 상기 닫힌 스위치(606)로 표시된 영역(402c)은 다른 영역들에서의 플라즈마 기체들보다 더 용이하게 점화하는 플라즈마 기체를 갖는다. 도 5에 관하여 기술된 또 다른 예에서, 상기 영역(402c)에서 샤워헤드(214) 및 받침대(218) 사이의 거리는 다른 영역들에서의 샤워헤드(214) 및 받침대(218) 사이의 거리와는 상이할 수 있다.
IV. PECVD PEALD 를 이용한 결합식 평가 수행 프로세스
도 7은 기판을 결합식으로 프로세스하기 위하여 기판의 복수 영역들 도처에서 플라즈마를 변화시키는 프로세스(700)를 기술하는 순서도이다. 도 7에 기술된 프로세스(700)는 PECVD 또는 PEALD를 이용하여 결합식 방식으로 물질을 형성하거나 증착 매개변수들(예를 들면, 전구체, 온도, 플라즈마의 존재)을 분석하는 일 실시예이다.
조작(702)에 있어서, 기판의 복수 영역들이 지정된다. 일부 실시예들에서, 영역들의 지정은 기판 영역들의 근사 위치 및 경계들을 결정하는 단계를 포함한다. 예를 들면, 도 4b에 도시된 바와 같이, 몇몇 영역들(402)은 기판(246) 상에 지정된다. 일부 실시예들에서, 상기 영역들은 예를 들면, 돌출부들(272)을 사용하여 적어도 부분적으로는 물리적으로 분리될 수 있다. 또한, 돌출부들(272)이 전혀 사용되지 않으며, 상기 영역들은 샤워헤드(214)의 세그먼트들(268)에 대응한다.
조작(704)에 있어서, 플라즈마 사전 처리는 선택적으로 수행된다. 플라즈마는 증착 프로세스 이전에 하나 이상의 영역들(402) 또는 (전체 기판(246))에 적용될 수 있다. 예를 들면, 플라즈마 사전 처리는 기판상에 형성될 수 있는 산화 또는 다른 오염을 제거하는데 이용되거나 기판의 습윤성과 같은 다른 특성들을 변화시키는데 이용될 수 있다. 또한, 플라즈마 사전 처리는 ALD 또는 CVD 전구체들의 핵화를 향상시키는데 이용될 수 있다. 상이한 영역들(402)에서 상이한 플라즈마 기체들을 사용하고 다른 자리 플라즈마를 차등적으로 적용하거나 도 5에 도시된 다른 샤워헤드(214)를 사용하여, 플라즈마 사전 처리가 하나 이상의 영역들(402)에 적용될 수 있고 다른 영역들에는 적용되지 않을 수 있다.
조작(706)에 있어서, 제 1 전구체는 기판의 영역들 중 적어도 하나(예를 들면, 영역(402a))에 제공된다. 상기 제 1 전구체는 예를 들면, TDMAHf와 같은 물질을 증착시켜 하프늄계 층을 증착시키도록 선택된 전구체일 수 있다. 조작(708)에 있어서, 상기 제 1 전구체가 제공되는 영역들과는 다른 영역들 중 적어도 하나(예를 들면, 영역(402b)에)에 제 2 전구체가 선택적으로 제공된다. 예를 들면, TDEAT 또는 TDMAT와 같은 상기 제 1 전구체에 의하여 형성된 물질들과는 또 다른 물질을 증착시켜 티타늄 함유 층을 증착시키도록 상기 제 2 전구체가 선택될 수 있다.
일부 실시예들에서, 결합식 변화는 플라즈마를 상기 영역들에 제공하는 것에 관한 것이다. 예를 들면, 동일한 전구체가 기판의 모든 영역들에 제공될 수 있는 반면에, 플라즈마는 제 1 영역에서만 점화되고 제 2 영역에서는 점화되지 않는다. 또한, 기판의 일 영역은 여기에 제공되는 일 전구체를 가질 수 있는 반면에, 기판의 또 다른 영역은 여기에 제공되는 또 다른 전구체를 갖는다. 이런 방식으로, 제 1 물질과 상기 제 1 물질과는 상이한 제 2 물질이 양쪽 모두가 기판에 형성된다.
조작(710)에 있어서, 플라즈마는 제 1 영역에는 제공되고 제 2 영역에는 제공되지 않거나 제 1 영역과 제 2 영역 양쪽 모두에 제공된다. 일 실시예에서, 플라즈마가 상기 제 1 및 제 2 영역들 양쪽 모두에 제공되면, 상이한 전구체들이 상기 제 1 및 제 2 영역들에 각각 제공되어, 제 2 물질과는 상이한 제 1 물질이 기판의 제 1 및 제 2 영역들에 각각 형성된다. 또 다른 실시예에서, 플라즈마가 하나 이상의 영역에서 점화되는 영역들 도처에서 플라즈마의 매개변수들이 변화될 수 있다. 결합식 프로세싱을 위해 변화될 수 있는 PECVD 및 PEALD의 매개변수들 또는 조건들은 플라즈마를 점화시키는 전원, 플라즈마 및 다른 기체들의 유동, 플라즈마 기체의 유형, 압력, 전구체들의 선택, 노출 시간 등을 포함한다. 일부 실시예들에서, 플라즈마 기체들의 일부가 점화할 수 있고 다른 기체들은 점화할 수 없도록, 상이한 플라즈마 기체들이 상이한 영역들에 제공된다. 다른 실시예들에서, 샤워헤드(214)의 일부 세그먼트들(268)에서 받침대(218)까지의 거리는 변할 수 있다(도 5 참조). 그러므로, 세그먼트(268) 및 받침대(218) 사이의 거리는 결합식 변수일 수도 있다. 임신 기간(gestation periods)을 시험하는 ALD에 대한 원하는 사이클 수를 생성하기 위하여 또는 원하는 두께의 층들을 생성하기 위하여 필요한 만큼 조작들(706 내지 710)을 반복한다.
조작(712)에 있어서, 기판에 증착된 물질들은 특성화된다. 특성화는 증착된 물질들의 물리적 특성 및 다른 특성을 측정하는 몇 가지 기법들 중 임의의 하나를 포함할 수 잇다. 예를 들면, 특성화는 두께(예를 들면, 타원편광반사측정법(ellipsometry)), 밀도, 위상, 저항, 누출, 항복 전압, 전기용량(예를 들면, 유전 상수), 접촉각 및 프로브와 다른 기구들을 사용하는 다른 특성들을 측정하는 단계를 포함할 수 있다. 또한, 특성화는 주사 전자 현미경(SEM), 투과 전자 현미경(TEM), 원자간 힘 현미경(AFM) 및 다른 기법들과 같은 영상 기법들을 포함할 수 있다. 예를 들면, 막 조성 및 형태와 같은 막의 일부 특성들을 측정하기 위해 영상 기법들이 사용될 수 있다. 또한, 막 위상 및 x-선 형광(XRF) 조성을 측정하기 위한 x-선 회절(XRD)을 포함하는 다른 특성화 기법들도 사용될 수 있다.
조작(714)에 있어서, 특성화가 완료된 이후, 도 1b에 관해 기술된 바와 같이 다른 프로세싱을 위해 물질들이 평가된다. 상기에 기술된 바와 같이, 다른 결합식 프로세싱(예를 들면, 2차 또는 3차 스테이지 프로세싱) 또는 제조를 위해 상기 물질들 중 그 어느 것도 선택될 수 없거나 이중 하나 또는 양쪽 모두가 선택될 수 있다.
V. 플라즈마 강화 증착 예
A. 플라즈마 강화 ALD
도 8 내지 11은 플라즈마 강화 ALD 프로세싱의 수행을 위한 타이밍 다이아그램들이다. 타이밍 다이아그램들은 결합식 PEALD를 사용하여 기판상에 복수개의 물질들을 형성하는 일부 시나리오들을 기술하고 있다. 도 8은 2 개의 상이한 전구체들이 순차적으로 적용되고 기판 도처에 걸쳐 플라즈마가 적용되는 시나리오를 도시한다. 도 9는 2 개의 상이한 전구체들이 동시에 적용되고 기판 도처에 걸쳐 플라즈마가 적용되는 시나리오를 도시한다. 도 10은 단일 전구체가 기판 도처에 걸쳐 사용되고 기판 영역들 사이에 플라즈마가 변화되는 시나리오를 도시한다. 도 11은 2 개의 상이한 전구체들이 제공되고 기판 영역들에 걸쳐서 플라즈마가 변화되는 시나리오를 도시한다. 다른 변형도 가능하며 이러한 예들은 가능한 실험 유형의 대표적인 것에 불과하며 본 발명의 가능한 응용에 있어서 한정적인 것을 의미하지 않는다. 복수개의 층들을 증착시키는데 도 8 내지 11에 도시된 사이클들이 반복될 수 있다.
1. 전구체 변경 및 공통 플라즈마의 이용
도 8에 도시된 바와 같이, 샤워헤드의 4 개 세그먼트들로의 유동은 플로우 다이아그램(800)을 사용하여 설명된다. 상기 샤워헤드(214)의 4 개 세그먼트들(268a, 268b, 268c 및 268d)을 통한 유동은 플로우 다이아그램(800)에 도시되어 있다. 상술한 바와 같이, 각 세그먼트(268)는 기판(246)의 영역(402)에 대응하여 기판상에 물질(302)을 증착시킬 수 있다. 샤워헤드(214)를 통한 전체 유동은 거의 일정하다. 예를 들면, 본 명세서에 도시된 바와 같이, 임의의 적절한 유동을 사용할 수 있다고 해도 샤워헤드를 통한 전체 유동은 항상 거의 1000 sccm(각 세그먼트에 대하여 250 sccm)이다. 또한, 유동이 각 세그먼트(268)에 대하여 동일하다고 해도, 세그먼트들(268)이 상이한 크기 또는 상이한 구성을 갖는 실시예들에서, 다양한 유동량들이 각 세그먼트(268)에 대하여 사용될 수 있다.
유동 다이아그램(800)은 플라즈마 기체(810)의 유동, 퍼지 기체(예를 들면, 질소 기체: 812), 제 1 전구체 A(816) 및 제 2 전구체 B(814)를 도시한다. 플라즈마를 원하는 경우 플라즈마가 점화되도록 플라즈마 기체는 파센의 법칙(상기)에 따라 선택될 수 있다. RF 전원(818)이 사용되어 플라즈마를 점화시킨다. 전구체 B(814) 및 전구체 A(816)는 통상적으로 운반 기체에 포함된 소량의 전구체 화학물질이다. 예를 들면, 전구체 화학물질은 1 sccm 상당량으로 유동될 수 있는 반면에, 아르곤 운반 기체는 249 sccm으로 유동된다.
타이밍 다이아그램은 몇 개의 시간 주기들(820 내지 838)로 분할된다. 각 시간의 기간(820 내지 838) 동안에, 전체 약 1000 sccm이 기판(246)에 걸쳐서 유동된다. 상기 1000 sccm은 플라즈마 기체(810), 퍼지 기체(812), 전구체 B와 운반 기체(814) 및 전구체 A와 운반 기체(816)의 임의의 조합을 포함할 수 있다. 이 예에서, 각 세그먼트(268) 및 영역(402)은 약 250 sccm의 유동을 수용한다. 전구체가 영역(402)으로 전달되는 경우, 각각의 다른 영역(402)은 퍼지 기체에 노출된다. 따라서, 시간(820)에서 세그먼트(268a)를 사용하여 250 sccm의 전구체 A와 운반 기체가 제공되는 반면에, 세그먼트들(268b, 268c 및 268d)를 사용하여 750 sccm의 퍼지 기체가 제공된다.
일반적으로, 상술한 바와 같이, ALD는 2 개 시약들을 사용하는 자기-제한 프로세스로 간주될 수 있다. 이 설명에서, 제 1 시약은 전구체(예를 들면, 하프늄 전구체 또는 알루미늄 전구체와 같은 전구체 A 또는 B)이며 제 2 시약은 수증기, 오존 또는 플라즈마(예를 들면, 산소 플라즈마)와 같은 반응물이다. 통상의 ALD 사이클은 전구체를 유동시키는 것, 여분의 전구체들을 제거시키기 위해 퍼징하는 것, 단일층을 증착시키기 위해 전구제와 제 2 시약을 반응시키는 것, 및 그 이후에 여분의 시약을 제거하기 위해 퍼징하는 것을 포함할 수 있다. 추가적인 단일층들은 사이클을 반복시킴으로써 증착될 수 있다. 일부 실시예들에서, 서브단일층(submonolayer) 또는 그 이상의 단일층도 사이클에서 증착된다.
본 명세서에서 나타난 바와 같이, 전구체 A는 시간들(820 및 824)에서 세그먼트(268a) 및 세그먼트(268b)를 사용하여 각각 제공된다. 시간들(820 및 828)은 시간들(824 및 832)보다 길고, 이로써, 전구체 A 및 전구체 B는 시간들(824 및 832) 동안 보다는 시간들(820 및 828) 동안에 더 많이 제공된다. 전구체가 기판 상에서 유동하는 기간은, 예를 들면, 기판 상에서 포화형 흡착 층을 형성하는데 필요한 시간량을 결정하기 위해 사용된 결합식의 변수일 수 있다. 시간들(820 내지 834)은 세그먼트들(268) 각각에 대해 ALD 사이클의 제 1 절반(전구체를 제공 및 퍼징)을 나타낸다.
ALD 사이클의 제 2 절반은 시간(836)에서 플라즈마를 점화시켜서 완료된다. 플라즈마는 받침대(218)와 샤워헤드(214) 사이의 전압 차이를 제공하여 점화된다. 이 실시예에서, 공통 플라즈마 기체는 기판의 모든 영역들 도처에서 유동되고, 플라즈마는 모든 영역들에서 점화된다. ALD 증착 프로세스는 남아있는 기체들이 퍼징될 시에, 시간(838)에서 완료된다. 사이클은 복수개의 층들을 증착시키기 위해 반복될 수 있다.
4 개의 영역들(402)의 상이한 4 개의 물질(302)을 형성한 후에, 상이한 물질들(302) 각각은 이후 프로세싱에 대해 특성화되고(예를 들면, 전기 검사법 및/또는 영상처리기법(imaging)을 사용함), 평가될 수 있다.
도 9는 ALD 사이클을 나타내는 타이밍 다이어그램(900)으로서, 2 개의 상이한 전구체들이 기판의 2 개의 상이한 영역들로 동시에 전달되는 것을 나타낸다. 상기 타이밍 다이아그램(800)에서와 같이, 타이밍 다이아그램(900)은 세그먼트들(268a 내지 268d)으로 향하는 유동을 도시한다. 제 1 전구체 A와 운반 기체(910)의 유동, 제 2 전구체 B와 운반 기체(912)의 유동, 퍼지 기체(914)의 유동, 플라즈마 기체(916)의 유동 및 RF 전원(918)의 양이 그래프들에 도시되어 있다. 세그먼트들(268a 내지 268d)의 각각으로 유동되는 기체 및 유동 또는 전원(910 내지 918)의 량은 시간들(920 내지 930)에 대하여 나타나 있다.
상기 타이밍 다이아그램(900)에서 볼 수 있는 바와 같이, 시간(920)에서 전구체 A와 운반 기체는 세그먼트(268a)를 통해 유동되고 전구체 B와 운반 기체는 세그먼트(268c)를 통해 유동되는 반면에, 세그먼트들(268b 및 268d)은 퍼지 기체를 유동한다. 시간(922)에서, 전체 기판(246)은 퍼지되어 여분의 전구체를 제거한다. 시간(924)에서, 전구체 A와 운반 기체는 세그먼트(268b)를 통해 유동되고 전구체 B와 운반 기체는 세그먼트(268d)를 통해 유동되는 반면에, 세그먼트들(268a 및 268c)은 퍼지 기체를 유동한다. 시간(926)에서 다시 전체 기판(246)이 퍼지되어 여분의 전구체를 제거한다. 시간들(920 내지 926)은 ALD 사이클의 제 1 절반이다. 시간(920)은 시간(924)보다 더 길고 이 노출 시간은 결합식으로 바뀔 수 있는 매개변수이다.
시간(928)에서, 플라즈마 기체는 모든 세그먼트들(268a 내지 268d)을 통해 유동되고 샤워헤드(214) 및 받침대(218) 사이에 RF 전원(918)을 가하여 전압차를 발생시켜 플라즈마가 점화된다. 플라즈마 점화로 인해 ALD 사이클을 완료하고 기판(246) 영역들의 각각에 단일층이 형성된다. 기판(246)은 다시 시간(930)에서 퍼지된다. 일부 실시예들에서, 증착층은 서브단일층 또는 단일층 이상일 수 있다. 사이클을 반복하여 복수개의 층들을 증착할 수 있다.
2. 영역들 도처에서 플라즈마의 변화
도 10은 결합식 PEALD를 수행하는 경우 영역들 도처에서 플라즈마를 변화시키는 타이밍 다이아그램(1000)이다. 세그먼트들(268a 내지 268d)은 기판(246) 상에서 결합식으로 프로세싱되는 영역들(402a 내지 402d)에 대응한다. 이 예는 단일 전구체와 복수개의 플라즈마 기체들 및 노출 시간을 이용하여 이러한 매개변수들이 물질의 증착에 미치는 효과를 평가한다.
그래프(1010)는 전구체와 운반 기체의 유동을 도시한다. 그래프(1012)는 퍼지 기체의 유동을 도시한다. 그래프(1014)는 제 1 플라즈마 기체(1)의 유동을 도시하고 그래프(1016)는 제 2 플라즈마 기체(2)의 유동을 도시한다. 그래프(1018)은 사용된 RF 전원량을 도시한다.
이 예에서, 세그먼트들(268a 내지 268d)의 각각은 시간(1020)에서 250 sccm의 전구체 A와 운반 기체를 수용한다. 그래프(1010)에 도시된 바와 같이, 전구체 A와 운반 기체는 1000 sccm(즉, 세그먼트들(268a 내지 268d)의 각각에 대하여 250 sccm)으로 유동된다. 시간(1022)에서, 퍼지 기체는 기판 도처에서 유동되어 여분의 전구체를 제거한다. 시간들(1020 및 1022)은 ALD 사이클의 제 1 절반을 기술한다. 시간들(1024 내지 1038)은 상기 ALD 사이클의 제 2 절반을 기술한다.
본 명세서에 사용된 ALD 사이클은 플라즈마 기체와 노출 시간을 결합식으로 변화시킨다. 예를 들면, 세그먼트들(268a 및 268d)은 시간들(1024 및 1028) 각각에서 플라즈마 기체(1)를 유동한다. 시간(1024)은 시간(1028)보다 더 길고, 더 많은 전원(즉, 750 W 대 500 W)이 사용되어 시간(1024) 동안 플라즈마를 점화한다. 일부 실시예들에서, 세그먼트(268b)에서 플라즈마를 점화하는데 조건들이 충분하지 않도록(예를 들면, 전원이 충분하지 않거나 거리/분리가 너무 큰 경우) 플라즈마 기체(1)가 선택될 수 있다. 선택적으로, 또 다른 제 2 시약을 사용하여 ALD 층의 형성을 완료할 수 있다.
세그먼트들(268c 및 268d)에 대응하는 영역들은 각각 시간들(1032 및 1036)에서 플라즈마에 노출된다. 시간(1032)은 시간(1036)보다 더 길고, 더 많은 전원(즉, 750 W 대 500 W)이 사용되어 시간(1036)에서보다 시간(1032)에서 플라즈마를 점화한다.
이러한 실시예들에서, 최적 솔루션이 유도될 수 있도록 2 개의 상이한 플라즈마 기체들, 상이한 전원 수준들 및 상이한 노출 시간들의 효과를 평가할 수 있다. 다양한 실시예들에서, 증착층은 단일층, 서브단일층 또는 단일층 이상일 수 있다.
도 11은 2 개의 상이한 전구체들이 동시에 전달되고 2 개의 상이한 플라즈마 기체들이 동시에 전달되는 일 실시예를 기술하는 타이밍 다이아그램(1100)이다. 다른 타이밍 다이아그램들에서와 같이, 타이밍 다이아그램(1100)은 시간들(1122 내지 1132)에서 세그먼트들(268a 내지 268d)을 통한 기체들의 전달을 도시한다. 전원 또는 각각의 기체 또는 전원의 유동은 그래프들(1110 내지 1120)에 도시되어 있다.
시간(1122)에서 제 1 전구체 A는 세그먼트(268a)로 전달되고 제 2 전구체 B는 세그먼트(268c)로 전달된다. 시간(1124)에서 기판(246)은 퍼지되어 여분의 전구체를 제거한다. 시간(1126)에서 전구체 A는 세그먼트(268b)로 전달되고 전구체 B는 세그먼트(268d)를 통해 전달된다. 시간(1128)에서 기판(246)은 다시 퍼지되어 여분의 전구체를 제거한다. 시간(1122)은 시간(1126)보다 더 길어서 전구체들이 기판에 노출되는 노출 시간은 결합식으로 변하게 된다. 시간들(1122 내지 1128)은 ALD 사이클의 제 1 절반이다.
시간들(1130 및 1132)은 상기 ALD 사이클의 제 2 절반이다. 또한, 제 2 시약은 시간(1130)에 전달되는 플라즈마이다. 2 개의 플라즈마 기체들은 기판에 동시에 전달된다: 플라즈마 기체(1)는 세그먼트들(268a 및 268b)에 그리고 플라즈마 기체(2)는 세그먼트들(268c 및 268d)에 전달된다. 최대 RF 전원(1120)이 시간(1130)에 제공되어 기판 전체를 통해 플라즈마를 점화한다. 일부 실시예들에서, 플라즈마 기체(1)는 점화가 용이한 기체(예를 들면, Ar)인 반면에, 플라즈마 기체(2)는 점화가 곤란한 기체(예를 들면, H2)라서, 플라즈마는 세그먼트들(268a 및 268b)에 대응하는 영역들에는 제공되고 세그먼트들(268c 및 268d)에 대응하는 영역들에는 제공되지 않는다. 다양한 실시예들에서, 증착층은 단일층, 서브단일층 또는 단일층 이상일 수 있다.
3. 다른 예들
4 개의 타이밍 다이그램들(800, 900, 1000 및 1100)은 결합식으로 변화되는 PEALD의 예이다. 다양한 다른 프로세스들이 본 발명의 실시예들에 따라 개발 및 이용될 수 있다. 예를 들면, 일부 실시예들에서, 플라즈마가 ALD 사이클에 사용되어 기판의 하나 이상의 영역들에서 물질을 증착시킬 수 있는 반면에, 또 다른 시약(예를 들면, 수증기)이 사용되어 다른 영역들에 ALD 증착된 물질을 형성한다. 이러한 방식으로, 단일 실험으로 종래의 ALD 및 PEALD 사이의 차이를 모색할 수 있다. 또한, 다른 실시예들에 따라, 2 개 이상의 상이한 전구체들이 사용될 수 있으며 다른 변수들이 모색될 수 있다.
B. 플라즈마 강화 CVD
PECVD는 반응 속도를 향상시키고 프로세싱 온도를 낮추는데 플라즈마를 향상제로 이용한다. 또한, 플라즈마는 CVD와 함께 이용하여 예를 들면, 밀도, 조성, 스텝 커버리지(step coverage), 접착(adhesion), 유전 상수, 막 누출, 항복 전압 등과 같은 막 특성들을 변화시킬 수 있다. 다양한 다른 시나리오들을 이용하여 PECVD를 이용한 결합식 프로세싱을 수행할 수 있다. 결합식 PEALD에서와 같이 전구체들이 영역들 도처에서 변화될 수 있는 반면에 플라즈마는 기판의 모든 영역들에 적용된다. 또 다른 예에 따르면, 플라즈마는 하나 이상의 영역들에 제공될 수 있는 반면에, 다른 영역들에는 제공되지 않는다. 이 제 2 예에서, 동일한 전구체가 모든 영역들에 제공될 수 있거나 상기 PECVD의 전구체 또는 다른 매개변수들이 변화될 수 있다.
ALD와는 달리, CVD는 자기 한정적이지 않으며 기판이 CVD 전구체들과 플라즈마에 노출되는 시간이 길면 길수로 CVD 막은 계속 성장하게 된다. 일부 CVD 기체들에 대하여, 하나 이상의 전구체들 및 플라즈마는 원하는 시간량 동안 동시에 제공되어 원하는 두께의 층을 성장시킬 수 있다. 그 결과, 결합식 PECVD에 대하여 CVD에 대한 몇몇 매개변수들을 변화시켜 전구체 노출 시간, 전구체 혼합물, 플라즈마 기체 조성 및 전압과 같은 최적 솔루션을 결정할 수 있다.
예를 들면, 2 개 영역들은 전구체들의 성장 속도를 연구하기 위해 상이한 시간량 동안에 동일한 전구체에 노출될 수 있다. 또한, 2 개 영역들은 동일한 전구체에 노출될 수 있지만, 하나의 영역은 플라즈마가 있는 경우에 나머지 하나는 플라즈마가 없이 동일한 시간량 동안 노출되어 플라즈마를 사용하는 경우 성장 속도에 있어서 변화를 연구할 수 있게 된다. PEALD에서와 같이, 상이한 플라즈마 기체들, 받침대(218)와 샤워헤드(214) 사이의 상이한 거리(도 5 참조) 및 다른 플라즈마 변수를 PECVD에 대하여 비교하여 최적 플라즈마 솔루션을 결정할 수 있다. 예를 들면, 받침대(218) 회전과 같은 상술한 다른 실시예들도 결합식 PECVD에 대하여 이용될 수 있다.
일 실시예에서, 물질 증착 시스템이 기술되어 있다. 상기 물질 증착 시스템은 받침대, 상기 받침대 맞은편에 배치된 샤워헤드를 포함한다. 상기 샤워헤드는 상이한 유체들을 동시에 유동시키는 복수개의 세그먼트들을 포함하고, 샤워헤드의 제 1 세그먼트는 받침대와 샤워헤드 사이에 제 1 전구체와 플라즈마를 제공하여 제 1 물질을 증착시키도록 구성되어 있으며, 샤워헤드의 제 2 세그먼트는 제 1 물질과는 상이한 제 2 물질을 증착시키도록 구성되어 있다.
또 다른 실시예에서, 샤워헤드와 받침대는 전도성이며, 상기 샤워헤드에서 방출되고 상기 샤워헤드와 상기 받침대 사이에서 제 1 기체를 통해 전원을 발생시켜 플라즈마가 제공된다.
또 다른 실시예에서, 제 1 세그먼트와 받침대 사이의 제 1 거리는 항복 전압을 유발시키고 전원이 발생되는 경우 제 1 세그먼트 하에서 플라즈마를 점화시키는 반면에 제 2 영역에서는 플라즈마가 발생되지 않기에 충분하다.
또 다른 실시예에서, 샤워헤드는 복수개의 세그먼트들 사이에서 복수개의 돌출부들을 포함하여 복수개의 영역들을 지정한다.
또 다른 실시예에서, 물질 증착 시스템은 샤워헤드의 플레늄 내부에 바디를 포함하여 제 1 전구체를 제 1 영역으로 이끈다.
또 다른 실시예에서, 플라즈마는 샤워헤드로부터 외부에서 발생되어 상기 샤워헤드를 통해 기판에 제공된다.
또 다른 실시예에서, 제 1 기체와 상이한 제 2 기체가 제 2 세그먼트에 의하여 방출되고 플라즈마는 제 2 기체 내에서 점화되지 않는다.
또 다른 실시예에서, 물질 증착 시스템은 화학 기상 증착(CVD) 시스템, 원자층증착(ALD) 시스템, 플라즈마 강화 CVD(PECVD) 시스템 및 플라즈마 강화 ALD(PEALD) 시스템 중 하나이다.
또 다른 실시예에서, 받침대는 접지되고 샤워헤드는 RF 전원 공급기에 부착되어 있다.
또 다른 실시예에서, 샤워헤드는 접지되고 받침대는 RF 전원 공급기에 부착되어 있다.
일 실시예에서, 기판의 복수개의 영역들을 지정하는 단계, 상기 복수개의 영역들 중 적어도 제 1 영역에 제 1 전구체를 제공하는 단계를 포함하는 유체들의 구형 유동을 기판의 복수개의 영역들에 제공하는 단계 및 플라즈마를 상기 복수개의 영역들에 제공하여 상기 제 1 전구체를 사용하여 형성된 제 1 영역상에 제 1 물질을 증착시키는 단계를 포함하는 방법이 기술된다. 이 실시예에서, 상기 제 1 물질은 기판의 제 2 영역상에 형성된 제 2 물질과는 상이하다.
또 다른 실시예에서, 구형 유동을 제공하는 단계는 상기 복수개의 영역들의 각각에 거의 동일한 유체 유동을 제공하는 단계를 포함한다.
일 실시예에서, 기판상에 복수개의 영역들을 지정하는 단계, 상기 복수개의 영역들 중 제 1 영역에 제 1 플라즈마를 적용하고 상기 복수개의 영역들 중 제 2 영역에는 제공하지 않는 단계 및 제 1 전구체를 상기 제 1 영역에 제공하여 상기 제 1 영역에 제 1 물질을 증착시키는 단계를 포함하는 방법이 기술된다.
또 다른 실시예에서, 상기 방법은 상기 제 2 영역에 상기 제 1 전구체를 제공하여 상기 제 2 영역에 상기 제 1 물질을 증착시키는 단계를 더 포함한다.
또 다른 실시예에서, 상기 방법은 상기 제 2 영역에 제 2 전구체를 제공하여 상기 제 2 영역에 제 2 물질을 증착시키는 단계를 더 포함한다.
또 다른 실시예에서, 상기 방법은 상기 제 1 영역에 제 2 플라즈마를 제공하여 상기 제 1 물질을 증착시키는 단계를 더 포함한다.
또 다른 실시예에서, 상기 방법은 상기 제 2 영역에 제 2 플라즈마를 제공하여 상기 제 2 물질을 증착시키는 단계를 더 포함한다.
또 다른 실시예에서, 상기 방법은 상기 제 2 영역에 플라즈마를 제공하지 않고 상기 제 2 물질을 증착시키는 단계를 더 포함한다.
앞선 예들은 명료한 이해의 목적을 위해 상세히 기술되었지만, 본 발명은 제공된 상세한 사항들에 한정되지 않는다. 본 발명을 수행하는 수많은 다른 방법들이 있다. 개시된 예들은 예시적이며 한정적이지 않다.

Claims (29)

  1. 기판의 복수개의 영역들을 지정하는 단계;
    제 1 전구체를 상기 복수개의 영역들 중 적어도 제 1 영역에 제공하는 단계;
    상기 제 1 전구체를 사용하여 형성된 제 1 영역상에 제 1 물질을 증착시키기 위해 상기 제 1 영역에 제 1 플라즈마를 제공하는 단계
    를 포함하고,
    상기 제 1 물질은 상기 기판의 제 2 영역상에 형성된 제 2 물질과는 상이한 것을 특징으로 하는 방법.
  2. 제 1 항에 있어서,
    상기 제 2 영역에 상기 제 1 플라즈마를 제공하지 않고 상기 제 1 영역에 상기 제 1 플라즈마를 제공하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  3. 제 1 항에 있어서,
    상기 제 1 플라즈마를 제공하는 단계는:
    상기 제 1 영역에 제 1 기체를 제공하는 단계;
    상기 제 1 영역에 상기 제 1 플라즈마를 점화시키기 위해 상기 제 1 기체를 제공하는 샤워헤드와 상기 기판을 지지하는 받침대 사이에서, 상기 제 1 기체의 항복 전압 이상의 전압차를 발생시키는 단계를 포함하는 것을 특징으로 하는 방법.
  4. 제 1 항에 있어서,
    상기 제 1 플라즈마를 제공하는 단계는 원격 플라즈마 소스로부터 상기 제 1 플라즈마를 제공하는 단계를 포함하는 것을 특징으로 하는 방법.
  5. 제 1 항에 있어서,
    상기 제 1 전구체를 제공하는 단계 및 상기 제 1 플라즈마를 제공하는 단계는 순차적으로 수행되는 것을 특징으로 하는 방법.
  6. 제 1 항에 있어서,
    상기 제 1 전구체를 제공하는 단계 및 상기 제 1 플라즈마를 제공하는 단계는 거의 동시에 수행되는 것을 특징으로 하는 방법.
  7. 제 1 항에 있어서,
    상기 제 2 영역에 제 2 기체를 제공하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  8. 제 7 항에 있어서,
    제 1 기체와 제 2 기체는 샤워헤드의 제 1 세그먼트와 제 2 세그먼트로부터 방출되고;
    상기 기판은 상기 샤워헤드의 반대편 받침대 상에 배치되고; 그리고
    상기 제 1 영역에는 상기 제 1 플라즈마를 제공하고 상기 제 2 영역에는 상기 제 1 플라즈마를 제공하지 않기 위해, 상기 받침대와 상기 샤워헤드 사이에서, 전압차를 발생시키는 단계를 더 포함하는 것을 특징으로 하는 방법.
  9. 제 8 항에 있어서,
    상기 받침대와 상기 샤워헤드 사이의 거리 및 상기 제 1 기체는 상기 제 1 영역에 상기 제 1 플라즈마를 제공하기에 충분하고, 상기 거리와 상기 제 2 기체는 상기 제 2 영역에 상기 제 1 플라즈마를 제공하기에는 충분하지 않는 것을 특징으로 하는 방법.
  10. 제 1 항에 있어서,
    상기 제 1 플라즈마를 상기 제 1 영역에서 상기 제 2 영역으로 이동시키는 단계를 더 포함하는 것을 특징으로 하는 방법.
  11. 제 10 항에 있어서,
    상기 제 1 플라즈마를 이동시키는 단계는 상기 제 1 영역에 제 1 기체 혼합물을 변화시키고 상기 제 2 영역에 제 2 기체 혼합물을 변화시켜서, 상기 제 1 영역에서는 상기 제 1 플라즈마를 소화(extinguish)시키고 상기 제 2 영역에서는 상기 제 1 플라즈마를 점화하는 단계를 포함하는 것을 특징으로 하는 방법.
  12. 제 1 항에 있어서,
    상기 제 1 전구체와는 상이한 제 2 전구체를 상기 제 2 영역으로 제공하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  13. 제 1 항에 있어서,
    상기 제 2 영역에 상기 제 1 전구체를 제공하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  14. 제 1 항에 있어서,
    상기 복수개의 영역들의 각각은 거의 동일한 크기를 가지고 거의 동일한 모양을 갖는 것을 특징으로 하는 방법.
  15. 제 1 항에 있어서,
    상기 복수개의 영역들 각각의 영역으로 흘러가는 전체 유동은 거의 동일한 것을 특징으로 하는 방법.
  16. 제 15 항에 있어서,
    상기 전체 유동은 기판 전체에 걸쳐서 축대칭적인 것을 특징으로 하는 방법.
  17. 제 1 항에 있어서,
    상기 물질들은 화학 기상 증착(CVD) 및 원자층증착(ALD) 중 하나를 이용하여 증착되는 것을 특징으로 하는 방법.
  18. 제 3 항에 있어서,
    상기 제 1 기체를 상기 제 2 영역에 제공하는 단계;
    상기 받침대와 상기 샤워헤드 사이에서 전압차를 발생시키는 단계
    를 더 포함하고,
    상기 제 1 영역에 대응하는 샤워헤드의 제 1 세그먼트와 상기 기판과의 제 1 거리는 항복 전압을 일으키고 상기 제 1 영역에 상기 제 1 플라즈마를 점화하기에 충분하고; 그리고
    상기 제 2 영역에 대응하는 샤워헤드의 제 2 세그먼트와 상기 기판과의 제 2 거리는 항복 전압을 일으키고 상기 제 2 영역에 상기 제 1 플라즈마를 점화하기에 충분하지 않은 것을 특징으로 하는 방법.
  19. 제 1 항에 있어서,
    상기 제 1 플라즈마와는 상이한 제 2 플라즈마를 상기 제 2 영역에 제공하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  20. 제 17 항에 있어서,
    상기 제 2 플라즈마를 제공하는 단계는 상기 제 1 플라즈마를 제공하는 단계와는 순차적으로 일어나는 것을 특징으로 하는 방법.
  21. 제 1 항에 있어서,
    상기 제 1 플라즈마를 상기 복수개의 영역들의 각각에 제공하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  22. 제 21 항에 있어서,
    상기 제 1 전구체를 제공하기 이전에 제 2 플라즈마를 사용하여 상기 복수개의 영역들 중 적어도 하나를 사전 처리하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  23. 제 22 항에 있어서,
    상기 제 1 전구체를 제공하기 이전에 상기 복수개의 영역들 중 적어도 다른 하나에 플라즈마를 제공하지 않음으로써, 상기 복수개의 영역들 중 적어도 다른 하나를 사전 처리하지 않는 단계를 더 포함하는 것을 특징으로 하는 방법.
  24. 제 22 항에 있어서,
    상기 제 2 플라즈마를 사용하여 상기 복수개의 영역들 모두를 사전 처리하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  25. 기판상에 복수개의 영역들을 지정하는 단계;
    샤워헤드의 제 1 세그먼트를 사용하여 상기 기판의 제 1 영역에 제 1 전구체및 제 1 기체를 전달하는 단계;
    상기 샤워헤드의 제 2 세그먼트를 사용하여 상기 기판의 제 2 영역에 제 2 전구체와 제 2 기체를 전달하는 단계;
    상기 샤워헤드와 받침대 사이의 전압차를 발생시켜 상기 기판의 제 1 영역상에 플라즈마를 점화하는 단계(상기 받침대 상에는 상기 제 1 영역상에 물질을 형성하도록 상기 기판이 배치됨)
    를 포함하고,
    상기 제 1 세그먼트와 상기 받침대 사이의 제 1 거리는 상기 전압차가 발생되는 경우에 상기 제 1 기체가 플라즈마를 점화하고 형성하도록 하는 거리이고, 상기 제 2 세그먼트와 상기 제 2 영역 사이의 제 2 거리는 상기 전압차가 발생되는 경우에 상기 제 2 기체가 점화하지 않도록 하는 거리인 것을 특징으로 하는 방법.
  26. 제 25 항에 있어서,
    상기 제 1 거리와 상기 제 2 거리는 거의 동일한 것을 특징으로 하는 방법.
  27. 제 25 항에 있어서,
    상기 제 1 전구체와 상기 제 2 전구체는 동일한 것을 특징으로 하는 방법.
  28. 제 25 항에 있어서,
    상기 제 1 거리에 걸쳐서 플라즈마를 점화하기 위해 상기 제 1 기체를 제공하는 단계; 및
    상기 제 2 거리에 걸쳐서 플라즈마를 점화하지 않기 위해 상기 제 2 기체를 제공하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  29. 제 25 항에 있어서,
    상기 제 1 전구체 및 상기 플라즈마를 사용하여, 상기 제 1 영역상에 제 1 물질을 증착시키는 단계; 및
    상기 제 2 전구체를 사용하여, 상기 제 2 영역상에 상기 제 1 물질과는 상이한 제 2 물질을 증착시키는 단계를 더 포함하는 것을 특징으로 하는 방법.
KR1020107026997A 2008-05-02 2009-05-01 결합식 플라즈마 강화 증착 기법 KR101563030B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US5015908P 2008-05-02 2008-05-02
US61/050,159 2008-05-02
US12/433,842 2009-04-30
US12/433,842 US8129288B2 (en) 2008-05-02 2009-04-30 Combinatorial plasma enhanced deposition techniques

Publications (2)

Publication Number Publication Date
KR20110002879A true KR20110002879A (ko) 2011-01-10
KR101563030B1 KR101563030B1 (ko) 2015-10-23

Family

ID=41255886

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020107026997A KR101563030B1 (ko) 2008-05-02 2009-05-01 결합식 플라즈마 강화 증착 기법

Country Status (6)

Country Link
US (8) US8129288B2 (ko)
EP (1) EP2279518A4 (ko)
JP (1) JP5734840B2 (ko)
KR (1) KR101563030B1 (ko)
CN (1) CN102017083B (ko)
WO (1) WO2009135182A2 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20140086607A (ko) * 2012-12-28 2014-07-08 주식회사 테스 박막 고속 증착방법 및 증착장치

Families Citing this family (332)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8129288B2 (en) * 2008-05-02 2012-03-06 Intermolecular, Inc. Combinatorial plasma enhanced deposition techniques
US8726838B2 (en) * 2010-03-31 2014-05-20 Intermolecular, Inc. Combinatorial plasma enhanced deposition and etch techniques
US20100075060A1 (en) * 2008-09-24 2010-03-25 Pravin Narwankar process tool including plasma spray for carbon nanotube growth
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
KR20110054840A (ko) * 2009-11-18 2011-05-25 주식회사 아토 샤워헤드 어셈블리 및 이를 구비한 박막증착장치
US9111729B2 (en) * 2009-12-03 2015-08-18 Lam Research Corporation Small plasma chamber systems and methods
US9190289B2 (en) 2010-02-26 2015-11-17 Lam Research Corporation System, method and apparatus for plasma etch having independent control of ion generation and dissociation of process gas
US8741394B2 (en) * 2010-03-25 2014-06-03 Novellus Systems, Inc. In-situ deposition of film stacks
US8999104B2 (en) 2010-08-06 2015-04-07 Lam Research Corporation Systems, methods and apparatus for separate plasma source control
US9967965B2 (en) 2010-08-06 2018-05-08 Lam Research Corporation Distributed, concentric multi-zone plasma source systems, methods and apparatus
US9449793B2 (en) 2010-08-06 2016-09-20 Lam Research Corporation Systems, methods and apparatus for choked flow element extraction
US9155181B2 (en) 2010-08-06 2015-10-06 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
US9140978B2 (en) * 2010-10-12 2015-09-22 Weng-Dah Ken Semiconductor multi-project or multi-product wafer process
US10658161B2 (en) * 2010-10-15 2020-05-19 Applied Materials, Inc. Method and apparatus for reducing particle defects in plasma etch chambers
US20120108072A1 (en) * 2010-10-29 2012-05-03 Angelov Ivelin A Showerhead configurations for plasma reactors
US20120149209A1 (en) * 2010-12-14 2012-06-14 Ed Haywood Process sequencing for hpc ald system
US8906160B2 (en) * 2010-12-23 2014-12-09 Intermolecular, Inc. Vapor based processing system with purge mode
US8746284B2 (en) 2011-05-11 2014-06-10 Intermolecular, Inc. Apparatus and method for multiple symmetrical divisional gas distribution
US9175391B2 (en) * 2011-05-26 2015-11-03 Intermolecular, Inc. Apparatus and method for combinatorial gas distribution through a multi-zoned showerhead
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8835212B2 (en) * 2011-09-19 2014-09-16 Intermolecular, Inc. Combinatorial methods for developing superstrate thin film solar cells
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9177762B2 (en) 2011-11-16 2015-11-03 Lam Research Corporation System, method and apparatus of a wedge-shaped parallel plate plasma reactor for substrate processing
US10283325B2 (en) 2012-10-10 2019-05-07 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
US8900364B2 (en) 2011-11-29 2014-12-02 Intermolecular, Inc. High productivity vapor processing system
US20130136862A1 (en) * 2011-11-30 2013-05-30 Intermolecular, Inc. Multi-cell mocvd apparatus
US8974649B2 (en) * 2011-12-12 2015-03-10 Intermolecular, Inc. Combinatorial RF bias method for PVD
CN102592974B (zh) * 2012-03-20 2014-07-02 中国科学院上海微系统与信息技术研究所 一种高k介质薄膜的制备方法
US9315899B2 (en) 2012-06-15 2016-04-19 Novellus Systems, Inc. Contoured showerhead for improved plasma shaping and control
US8735210B2 (en) * 2012-06-28 2014-05-27 International Business Machines Corporation High efficiency solar cells fabricated by inexpensive PECVD
KR102152786B1 (ko) * 2012-07-13 2020-09-08 갈리움 엔터프라이지즈 피티와이 엘티디 필름 형성 장치 및 방법
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20140110764A1 (en) * 2012-10-19 2014-04-24 Intermolecular Inc. Method to control amorphous oxide layer formation at interfaces of thin film stacks for memory and logic components
US8821985B2 (en) * 2012-11-02 2014-09-02 Intermolecular, Inc. Method and apparatus for high-K gate performance improvement and combinatorial processing
US20140134849A1 (en) * 2012-11-09 2014-05-15 Intermolecular Inc. Combinatorial Site Isolated Plasma Assisted Deposition
US8821987B2 (en) * 2012-12-17 2014-09-02 Intermolecular, Inc. Combinatorial processing using a remote plasma source
US9023438B2 (en) * 2012-12-17 2015-05-05 Intermolecular, Inc. Methods and apparatus for combinatorial PECVD or PEALD
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
GB2510615A (en) * 2013-02-08 2014-08-13 Glyndwr University Gas blade injection system
US9209134B2 (en) * 2013-03-14 2015-12-08 Intermolecular, Inc. Method to increase interconnect reliability
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9824881B2 (en) 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
KR102115337B1 (ko) * 2013-07-31 2020-05-26 주성엔지니어링(주) 기판 처리 장치
US20150042017A1 (en) * 2013-08-06 2015-02-12 Applied Materials, Inc. Three-dimensional (3d) processing and printing with plasma sources
US9087864B2 (en) * 2013-12-19 2015-07-21 Intermolecular, Inc. Multipurpose combinatorial vapor phase deposition chamber
TWI643971B (zh) * 2014-01-05 2018-12-11 美商應用材料股份有限公司 使用空間原子層沉積或脈衝化學氣相沉積之薄膜沉積
US9514933B2 (en) * 2014-01-05 2016-12-06 Applied Materials, Inc. Film deposition using spatial atomic layer deposition or pulsed chemical vapor deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
JP6242288B2 (ja) * 2014-05-15 2017-12-06 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US9297775B2 (en) 2014-05-23 2016-03-29 Intermolecular, Inc. Combinatorial screening of metallic diffusion barriers
JP5837962B1 (ja) * 2014-07-08 2015-12-24 株式会社日立国際電気 基板処理装置、半導体装置の製造方法およびガス整流部
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9576792B2 (en) 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN
US10273578B2 (en) * 2014-10-03 2019-04-30 Applied Materials, Inc. Top lamp module for carousel deposition chamber
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
JP6354539B2 (ja) * 2014-11-25 2018-07-11 東京エレクトロン株式会社 基板処理装置、基板処理方法、記憶媒体
FI126970B (en) * 2014-12-22 2017-08-31 Picosun Oy Atomic layer cultivation in which the first and second species of source materials are present simultaneously
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
CN106158569B (zh) * 2015-03-26 2018-08-07 理想晶延半导体设备(上海)有限公司 半导体处理设备
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9793097B2 (en) * 2015-07-27 2017-10-17 Lam Research Corporation Time varying segmented pressure control
US10410857B2 (en) 2015-08-24 2019-09-10 Asm Ip Holding B.V. Formation of SiN thin films
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
JP6587514B2 (ja) * 2015-11-11 2019-10-09 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
KR102662705B1 (ko) * 2016-01-24 2024-04-30 어플라이드 머티어리얼스, 인코포레이티드 파이 형상 처리를 발생시키기 위한 대칭적인 플라즈마 소스
US9953843B2 (en) * 2016-02-05 2018-04-24 Lam Research Corporation Chamber for patterning non-volatile metals
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) * 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
TWI713799B (zh) * 2016-11-15 2020-12-21 美商應用材料股份有限公司 用於移動基板之完整電漿覆蓋的動態相控陣列電漿源
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
DE17895903T1 (de) 2017-02-08 2020-01-16 Picosun Oy Abscheidungs- oder Reinigungsvorrichtung mit beweglicher Struktur und Verfahren zum Betrieb
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
WO2019118601A1 (en) * 2017-12-13 2019-06-20 Applied Materials, Inc. Spatial atomic layer deposition chamber with plasma pulsing to prevent charge damage
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10580645B2 (en) 2018-04-30 2020-03-03 Asm Ip Holding B.V. Plasma enhanced atomic layer deposition (PEALD) of SiN using silicon-hydrohalide precursors
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10781519B2 (en) * 2018-06-18 2020-09-22 Tokyo Electron Limited Method and apparatus for processing substrate
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
JP6575641B1 (ja) 2018-06-28 2019-09-18 株式会社明電舎 シャワーヘッドおよび処理装置
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11834743B2 (en) * 2018-09-14 2023-12-05 Applied Materials, Inc. Segmented showerhead for uniform delivery of multiple precursors
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
JP2022504088A (ja) * 2018-10-02 2022-01-13 エヴァテック・アーゲー プラズマ支援原子層堆積(peald)装置
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
KR20210155812A (ko) * 2019-05-31 2021-12-23 어플라이드 머티어리얼스, 인코포레이티드 기판들 상에 막들을 형성하기 위한 방법들 및 시스템들
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
WO2020251696A1 (en) 2019-06-10 2020-12-17 Applied Materials, Inc. Processing system for forming layers
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
JP7464692B2 (ja) * 2019-07-26 2024-04-09 アプライド マテリアルズ インコーポレイテッド 基板上にフィルムを形成するための蒸発器チャンバ
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
JP2022544221A (ja) * 2019-08-16 2022-10-17 ラム リサーチ コーポレーション ウエハ内の様々な反りを補償するために空間を調整する堆積
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
GB202001781D0 (en) 2020-02-10 2020-03-25 Spts Technologies Ltd Pe-Cvd apparatus and method
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
KR20220081905A (ko) 2020-12-09 2022-06-16 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 증착용 실리콘 전구체
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (48)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5453124A (en) * 1992-12-30 1995-09-26 Texas Instruments Incorporated Programmable multizone gas injector for single-wafer semiconductor processing equipment
WO1995027570A1 (en) * 1994-04-08 1995-10-19 Ray Mark A Selective plasma deposition
US6045671A (en) * 1994-10-18 2000-04-04 Symyx Technologies, Inc. Systems and methods for the combinatorial synthesis of novel materials
US5985356A (en) * 1994-10-18 1999-11-16 The Regents Of The University Of California Combinatorial synthesis of novel materials
KR100249386B1 (ko) 1997-11-19 2000-06-01 김영환 가스 분사장치
US6268296B1 (en) * 1997-12-31 2001-07-31 Texas Instruments Incorporated Low temperature process for multiple voltage devices
US6830663B2 (en) * 1999-01-26 2004-12-14 Symyx Technologies, Inc. Method for creating radial profiles on a substrate
US6565661B1 (en) * 1999-06-04 2003-05-20 Simplus Systems Corporation High flow conductance and high thermal conductance showerhead system and method
WO2000079019A1 (en) * 1999-06-24 2000-12-28 Prasad Narhar Gadgil Apparatus for atomic layer chemical vapor deposition
US6444039B1 (en) * 2000-03-07 2002-09-03 Simplus Systems Corporation Three-dimensional showerhead apparatus
US6911129B1 (en) * 2000-05-08 2005-06-28 Intematix Corporation Combinatorial synthesis of material chips
JP3453720B2 (ja) * 2000-05-18 2003-10-06 科学技術振興事業団 コンビナトリアルプラズマcvd装置
US6821910B2 (en) * 2000-07-24 2004-11-23 University Of Maryland, College Park Spatially programmable microelectronics process equipment using segmented gas injection showerhead with exhaust gas recirculation
JP3762985B2 (ja) * 2002-03-25 2006-04-05 独立行政法人科学技術振興機構 プラズマ成膜方法
CN100439561C (zh) * 2002-04-19 2008-12-03 马特森技术公司 使用低蒸气压气体前体向基材上沉积膜的系统
US7247346B1 (en) * 2002-08-28 2007-07-24 Nanosolar, Inc. Combinatorial fabrication and high-throughput screening of optoelectronic devices
KR100497748B1 (ko) * 2002-09-17 2005-06-29 주식회사 무한 반도체소자 제조용 원자층 증착 장치 및 원자층 증착 방법
US6821563B2 (en) * 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
CN100459060C (zh) * 2003-02-05 2009-02-04 株式会社半导体能源研究所 显示装置的制造方法
US6930059B2 (en) * 2003-02-27 2005-08-16 Sharp Laboratories Of America, Inc. Method for depositing a nanolaminate film by atomic layer deposition
US8153281B2 (en) 2003-06-23 2012-04-10 Superpower, Inc. Metalorganic chemical vapor deposition (MOCVD) process and apparatus to produce multi-layer high-temperature superconducting (HTS) coated tape
GB2406860A (en) 2003-10-09 2005-04-13 Univ Southampton Vapour deposition method
US20060042752A1 (en) * 2004-08-30 2006-03-02 Rueger Neal R Plasma processing apparatuses and methods
US20060073276A1 (en) * 2004-10-04 2006-04-06 Eric Antonissen Multi-zone atomic layer deposition apparatus and method
KR100558922B1 (ko) * 2004-12-16 2006-03-10 (주)퓨전에이드 박막 증착장치 및 방법
JP2005303330A (ja) 2005-06-23 2005-10-27 Hitachi Ltd プラズマエッチング装置用のガス導入手段及びガスシャワープレート
JP4356113B2 (ja) * 2005-08-08 2009-11-04 セイコーエプソン株式会社 製膜方法、パターニング方法、光学装置の製造方法、および電子装置の製造方法
DE102005055468A1 (de) * 2005-11-22 2007-05-24 Aixtron Ag Verfahren zum Abscheiden von Schichten in einem CVD-Reaktor sowie Gaseinlassorgan für einen CVD-Reaktor
JP4929932B2 (ja) * 2006-09-01 2012-05-09 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
TW200849444A (en) * 2007-04-05 2008-12-16 Cyberoptics Semiconductor Inc Semiconductor processing system with integrated showerhead distance measuring device
US8440259B2 (en) * 2007-09-05 2013-05-14 Intermolecular, Inc. Vapor based combinatorial processing
US8039052B2 (en) * 2007-09-06 2011-10-18 Intermolecular, Inc. Multi-region processing system and heads
US8129288B2 (en) * 2008-05-02 2012-03-06 Intermolecular, Inc. Combinatorial plasma enhanced deposition techniques
US8726838B2 (en) * 2010-03-31 2014-05-20 Intermolecular, Inc. Combinatorial plasma enhanced deposition and etch techniques
JP5490585B2 (ja) * 2009-05-29 2014-05-14 株式会社日立国際電気 基板処理装置、基板処理方法および半導体装置の製造方法
US9140978B2 (en) * 2010-10-12 2015-09-22 Weng-Dah Ken Semiconductor multi-project or multi-product wafer process
US8906160B2 (en) * 2010-12-23 2014-12-09 Intermolecular, Inc. Vapor based processing system with purge mode
US9175391B2 (en) * 2011-05-26 2015-11-03 Intermolecular, Inc. Apparatus and method for combinatorial gas distribution through a multi-zoned showerhead
US20140110764A1 (en) * 2012-10-19 2014-04-24 Intermolecular Inc. Method to control amorphous oxide layer formation at interfaces of thin film stacks for memory and logic components
US20140134849A1 (en) * 2012-11-09 2014-05-15 Intermolecular Inc. Combinatorial Site Isolated Plasma Assisted Deposition
US9040465B2 (en) * 2012-11-19 2015-05-26 Intermolecular, Inc. Dielectric doping using high productivity combinatorial methods
US8821987B2 (en) * 2012-12-17 2014-09-02 Intermolecular, Inc. Combinatorial processing using a remote plasma source
KR101451244B1 (ko) * 2013-03-22 2014-10-15 참엔지니어링(주) 라이너 어셈블리 및 이를 구비하는 기판 처리 장치
SG11201600129XA (en) * 2013-08-09 2016-02-26 Tokyo Electron Ltd Plasma processing apparatus and plasma processing method
KR102167594B1 (ko) * 2013-12-04 2020-10-19 삼성전자주식회사 기판 처리 방법 및 이를 수행하기 위한 장치
JP6262115B2 (ja) * 2014-02-10 2018-01-17 東京エレクトロン株式会社 基板処理方法及び基板処理装置
KR20150116600A (ko) * 2014-04-08 2015-10-16 삼성전자주식회사 에피텍시얼막 형성 방법 및 이를 수행하는데 사용되는 기판 처리 장치
US9520301B2 (en) * 2014-10-21 2016-12-13 Samsung Electronics Co., Ltd. Etching method using plasma, and method of fabricating semiconductor device including the etching method

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20140086607A (ko) * 2012-12-28 2014-07-08 주식회사 테스 박막 고속 증착방법 및 증착장치

Also Published As

Publication number Publication date
JP5734840B2 (ja) 2015-06-17
US20090275210A1 (en) 2009-11-05
KR101563030B1 (ko) 2015-10-23
US8129288B2 (en) 2012-03-06
US20120100723A1 (en) 2012-04-26
EP2279518A2 (en) 2011-02-02
US20120077338A1 (en) 2012-03-29
US8389419B2 (en) 2013-03-05
WO2009135182A2 (en) 2009-11-05
WO2009135182A3 (en) 2010-02-18
US8153535B1 (en) 2012-04-10
US20120100724A1 (en) 2012-04-26
US8980765B2 (en) 2015-03-17
US8148273B1 (en) 2012-04-03
EP2279518A4 (en) 2013-08-21
CN102017083B (zh) 2013-04-03
US8372758B2 (en) 2013-02-12
US20120094503A1 (en) 2012-04-19
US20150144061A1 (en) 2015-05-28
JP2011520035A (ja) 2011-07-14
US20130042811A1 (en) 2013-02-21
US8318611B2 (en) 2012-11-27
US20120094034A1 (en) 2012-04-19
CN102017083A (zh) 2011-04-13

Similar Documents

Publication Publication Date Title
KR101563030B1 (ko) 결합식 플라즈마 강화 증착 기법
US9245744B2 (en) Combinatorial plasma enhanced deposition and etch techniques
KR101610773B1 (ko) 박막 형성 방법 및 이의 제조 장치
KR101019293B1 (ko) 플라즈마-강화 원자층 증착 장치 및 방법
US20060137608A1 (en) Atomic layer deposition apparatus
JP4666912B2 (ja) プラズマで補強した原子層蒸着装置及びこれを利用した薄膜形成方法
JP4629110B2 (ja) 薄膜蒸着装置及び方法
US7959985B2 (en) Method of integrating PEALD Ta-containing films into Cu metallization
JP5909484B2 (ja) 短寿命種のためのプラズマ源を組み込んだプロセスチャンバ蓋の設計
US20060249077A1 (en) Multiple inlet atomic layer deposition reactor
US20090061646A1 (en) Vapor based combinatorial processing
US20140110764A1 (en) Method to control amorphous oxide layer formation at interfaces of thin film stacks for memory and logic components
JP2020505515A (ja) 基板バイアスald用電気絶縁改善チャックシステムおよび方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20191010

Year of fee payment: 5