KR102312821B1 - 이동하는 기판의 완전한 플라즈마 커버리지를 위한 동적 단계적 어레이 플라즈마 소스 - Google Patents

이동하는 기판의 완전한 플라즈마 커버리지를 위한 동적 단계적 어레이 플라즈마 소스 Download PDF

Info

Publication number
KR102312821B1
KR102312821B1 KR1020207036518A KR20207036518A KR102312821B1 KR 102312821 B1 KR102312821 B1 KR 102312821B1 KR 1020207036518 A KR1020207036518 A KR 1020207036518A KR 20207036518 A KR20207036518 A KR 20207036518A KR 102312821 B1 KR102312821 B1 KR 102312821B1
Authority
KR
South Korea
Prior art keywords
substrate
plasma
process region
processing steps
plasma process
Prior art date
Application number
KR1020207036518A
Other languages
English (en)
Other versions
KR20210008876A (ko
Inventor
하리 포네칸티
무쿤드 스리니바산
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20210008876A publication Critical patent/KR20210008876A/ko
Application granted granted Critical
Publication of KR102312821B1 publication Critical patent/KR102312821B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/511Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using microwave discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/20Positioning, supporting, modifying or maintaining the physical state of objects being observed or treated
    • H01J2237/202Movement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/20Positioning, supporting, modifying or maintaining the physical state of objects being observed or treated
    • H01J2237/202Movement
    • H01J2237/20214Rotation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Plasma Technology (AREA)

Abstract

개별적인 플라즈마 소스들의 어레이를 갖는 플라즈마 프로세스 구역을 포함하는 가스 분배 조립체를 포함하는, 기판을 프로세싱하기 위한 장치 및 방법들. 제어기가 기판 지지부 및 개별적인 플라즈마 소스들의 어레이에 연결된다. 제어기는 적어도 하나의 기판의 포지션을 모니터링하고, 개별적인 플라즈마 소스들에 대한 기판의 포지션에 기초하여, 개별적인 플라즈마 소스들로의 전력을 제공 또는 디스에이블링하도록 구성된다.

Description

이동하는 기판의 완전한 플라즈마 커버리지를 위한 동적 단계적 어레이 플라즈마 소스{DYNAMIC PHASED ARRAY PLASMA SOURCE FOR COMPLETE PLASMA COVERAGE OF A MOVING SUBSTRATE}
[0001] 본 개시내용은 일반적으로, 박막들을 증착하기 위한 장치에 관한 것이다. 특히, 본 개시내용은 동적 단계적 어레이 플라즈마 소스(dynamic phased array plasma source)를 사용하여 박막들을 증착하기 위한 장치에 관한 것이다.
[0002] 공간적 플라즈마 강화 원자 층 증착은, 반응 구역을 통과하는 기판 이동에 의해 제공되는 생산성과 원자 층 증착/에피택시 성능의 조합을 제공한다. 이는 전형적으로, 기판이 플라즈마 구역 내외로 이동하는 것을 수반하고, 그에 따라, 기판이 그 구역에 진입하거나 또는 그 구역에서 빠져나갈 때, 기판의 일부만이 플라즈마에 노출된다. 이러한 부분적인 노출은, 기판에 걸쳐 전하가 축적되기 때문에, 민감한 디바이스들에 전하 관련 손상을 초래할 수 있다.
[0003] 따라서, 플라즈마에 전체 기판을 동시에 노출시키기 위한 장치 및 방법들이 본 기술분야에서 필요하다.
[0004] 본 개시내용의 하나 이상의 실시예들은, 개별적인 플라즈마 소스들의 어레이를 갖는 플라즈마 프로세스 구역을 갖는 가스 분배 조립체를 포함하는 프로세싱 챔버들에 관한 것이다. 기판 지지부가 가스 분배 조립체 근방에서 적어도 하나의 기판을 지지하고 이동시킨다. 제어기가 기판 지지부 및 개별적인 플라즈마 소스들의 어레이에 연결된다. 제어기는 적어도 하나의 기판의 포지션을 모니터링하고, 개별적인 플라즈마 소스들로의 전력을 제공 또는 디스에이블링(disable)하도록 구성된다.
[0005] 본 개시내용의 부가적인 실시예들은, 개별적인 플라즈마 소스들의 어레이를 갖는 플라즈마 프로세스 구역 및 열 프로세스 구역을 포함하는 원형 가스 분배 조립체를 포함하는 프로세싱 챔버들에 관한 것이다. 플라즈마 프로세스 구역은 원의 대략 절반 이상을 포함한다. 개별적인 플라즈마 소스들 각각은 약 1 cm 내지 약 5 cm의 범위의 독립적인 폭을 갖는다. 서셉터 조립체가 원형 가스 분배 조립체 근방에 포지셔닝되고, 그리고 복수의 기판들을 지지하기 위한 복수의 오목부들을 갖는 상단 표면을 갖는다. 서셉터 조립체는, 가스 분배 조립체 근방에서 아치형(arcuate) 경로로 기판들을 이동시키기 위해, 중심 축을 중심으로 회전가능하다. 제어기가 서셉터 조립체 및 개별적인 플라즈마 소스들의 어레이에 연결된다. 제어기는 서셉터 조립체 내의 오목부들의 포지션을 모니터링하고, 개별적인 플라즈마 소스들 각각으로의 전력을 제공하거나, 또는 개별적인 플라즈마 소스들 각각으로의 전력을 디스에이블링하도록 구성된다. 제어기는, 기판이 플라즈마 프로세스 구역에 완전히 진입한 후에, 기판 위의 개별적인 플라즈마 소스들로의 전력을 제공하고, 그리고 기판이 경로를 따라 이동할 때, 경로를 따라 기판의 앞에 있는 개별적인 플라즈마 소스들로의 전력을 제공하고, 기판의 뒤에 있는 개별적인 플라즈마 소스들로의 전력을 디스에이블링하도록 구성된다.
[0006] 본 개시내용의 추가적인 실시예들은 프로세싱 방법들에 관한 것이며, 그 방법은 개별적인 플라즈마 소스들의 어레이를 갖는 플라즈마 프로세스 구역을 포함하는 가스 분배 조립체 근방에 위치된 기판 지지부 상에 기판을 포지셔닝하는 단계를 포함한다. 개별적인 플라즈마 소스들의 어레이에 대한 기판의 포지션이 모니터링된다. 플라즈마에 전체 기판이 동시에 노출되도록, 이동 동안, 기판에 인접한 개별적인 플라즈마 소스들에 전력이 공급되고, 그리고 기판에 인접하지 않은 개별적인 플라즈마 소스들로의 전력이 디스에이블링된다.
[0007] 본 개시내용의 상기 열거된 특징들이 상세히 이해될 수 있는 방식으로, 앞서 간략히 요약된 본 개시내용의 보다 구체적인 설명이 실시예들을 참조로 하여 이루어질 수 있는데, 이러한 실시예들의 일부는 첨부된 도면들에 예시되어 있다. 그러나, 첨부된 도면들은 본 개시내용의 단지 전형적인 실시예들을 예시하는 것이므로 본 개시내용의 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 주목되어야 하는데, 이는 본 개시내용이 다른 균등하게 유효한 실시예들을 허용할 수 있기 때문이다.
[0008] 도 1은 본 개시내용의 하나 이상의 실시예에 따른 배치 프로세싱 챔버의 단면도를 도시한다.
[0009] 도 2는 본 개시내용의 하나 이상의 실시예에 따른 배치 프로세싱 챔버의 부분 사시도를 도시한다.
[0010] 도 3은 본 개시내용의 하나 이상의 실시예에 따른 배치 프로세싱 챔버의 개략도를 도시한다.
[0011] 도 4는 본 개시내용의 하나 이상의 실시예에 따른, 배치 프로세싱 챔버에서 사용하기 위한 웨지 형상 가스 분배 조립체의 일부의 개략도를 도시한다.
[0012] 도 5는 본 개시내용의 하나 이상의 실시예에 따른 배치 프로세싱 챔버의 개략도를 도시한다.
[0013] 도 6은 본 개시내용의 하나 이상의 실시예에 따른 프로세싱 챔버의 단면도를 도시한다.
[0014] 도 7은 본 개시내용의 하나 이상의 실시예에 따른 플라즈마 소스의 단면도를 도시한다.
[0015] 도 8a 내지 도 8c는 본 개시내용의 하나 이상의 실시예들에 따른, 플라즈마 소스들의 어레이들의 개략도를 도시한다.
[0016] 도 9a 내지 도 9e는 본 개시내용의 하나 이상의 실시예에 따른, 사용 동안의 선형 플라즈마 프로세스 구역의 개략도들을 도시한다.
[0017] 도 10a 및 도 10b는 본 개시내용의 하나 이상의 실시예에 따른, 사용 동안의 플라즈마 프로세스 구역의 개략도들을 도시한다.
[0018] 본 개시내용의 여러 예시적인 실시예들을 설명하기 전에, 본 개시내용이 다음의 설명에서 제시되는 구성 또는 프로세스 단계들의 세부사항들로 제한되지 않는다는 것이 이해되어야 한다. 본 개시내용에 대해 다른 실시예들이 이루어질 수 있고, 본 개시내용은 다양한 방식들로 실시 또는 수행될 수 있다.
[0019] 본원에서 사용되는 바와 같은 "기판"은 제작 프로세스 동안 막 프로세싱이 수행되는 임의의 기판 또는 기판 상에 형성된 재료 표면을 지칭한다. 예컨대, 프로세싱이 수행될 수 있는 기판 표면은, 애플리케이션에 따라, 재료들, 이를테면 실리콘, 실리콘 산화물, 스트레인드 실리콘(strained silicon), SOI(silicon on insulator), 탄소 도핑된 실리콘 산화물들, 비정질 실리콘, 도핑된 실리콘, 게르마늄, 갈륨 비소, 유리, 사파이어, 및 임의의 다른 재료들, 이를테면 금속들, 금속 질화물들, 금속 합금들, 및 다른 전도성 재료들을 포함한다. 기판들은 반도체 웨이퍼들을 포함한다(그러나 이에 제한되지는 않음). 기판들은 기판 표면을 폴리싱, 에칭, 환원, 산화, 히드록실화, 어닐링, 및/또는 베이킹하기 위해 전처리 프로세스에 노출될 수 있다. 기판 그 자체의 표면에 대한 직접적인 막 프로세싱에 부가하여, 본 개시내용에서, 개시되는 막 프로세싱 단계들 중 임의의 단계는 또한, 아래에서 더 상세히 개시되는 바와 같이, 기판 상에 형성된 하층에 대해 수행될 수 있고, "기판 표면"이라는 용어는, 문맥상 표시되는 바와 같이, 그러한 하층을 포함하는 것으로 의도된다. 따라서, 예컨대, 막/층 또는 부분적인 막/층이 기판 표면 상에 증착된 경우, 새롭게 증착된 막/층의 노출된 표면이 기판 표면이 된다.
[0020] 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, "전구체", "반응물", "반응성 가스" 등이라는 용어들은 기판 표면과 반응할 수 있는 임의의 가스성 종을 지칭하기 위해 상호 교환가능하게 사용된다.
[0021] 도 1은, 인젝터들 또는 인젝터 조립체라고 또한 지칭되는 가스 분배 조립체(120) 및 서셉터 조립체(140)를 포함하는 프로세싱 챔버(100)의 단면을 도시한다. 가스 분배 조립체(120)는 프로세싱 챔버에서 사용되는 임의의 타입의 가스 전달 디바이스이다. 가스 분배 조립체(120)는 서셉터 조립체(140)를 향하는 전방 표면(121)을 포함한다. 전방 표면(121)은 서셉터 조립체(140) 쪽으로 가스들의 유동을 전달하기 위한 임의의 수의 또는 다양한 개구들을 가질 수 있다. 가스 분배 조립체(120)는 또한, 외측 에지(124)를 포함하며, 도시된 실시예들에서, 외측 에지(124)는 실질적으로 둥글다.
[0022] 사용되는 가스 분배 조립체(120)의 특정한 타입은 사용되고 있는 특정한 프로세스에 따라 변화될 수 있다. 본 개시내용의 실시예들은 서셉터와 가스 분배 조립체 사이의 갭이 제어되는 임의의 타입의 프로세싱 시스템에 대해 사용될 수 있다. 다양한 타입들의 가스 분배 조립체들(예컨대, 샤워헤드들)이 채용될 수 있지만, 본 개시내용의 실시예들은 복수의 실질적으로 평행한 가스 채널들을 갖는 공간적 가스 분배 조립체들에 대해 특히 유용할 수 있다. 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, "실질적으로 평행한"이라는 용어는 가스 채널들의 연장 축이 동일한 일반적인 방향으로 연장되는 것을 의미한다. 가스 채널들의 평행성에 약간의 불완전성들이 존재할 수 있다. 바이너리 반응에서, 복수의 실질적으로 평행한 가스 채널들은 적어도 하나의 제1 반응성 가스 A 채널, 적어도 하나의 제2 반응성 가스 B 채널, 적어도 하나의 퍼지 가스 P 채널, 및/또는 적어도 하나의 진공 V 채널을 포함할 수 있다. 제1 반응성 가스 A 채널(들), 제2 반응성 가스 B 채널(들), 및 퍼지 가스 P 채널(들)로부터 유동하는 가스들은 웨이퍼의 상단 표면 쪽으로 지향된다. 가스 유동의 일부는 웨이퍼의 표면에 걸쳐 수평으로 이동하고, 퍼지 가스 P 채널(들)을 통해 프로세스 구역 밖으로 이동한다. 가스 분배 조립체의 하나의 단부로부터 다른 단부로 이동하는 기판은 프로세스 가스들 각각에 차례로 노출될 것이고, 그에 따라, 기판 표면 상에 층이 형성될 것이다.
[0023] 일부 실시예들에서, 가스 분배 조립체(120)는 단일 인젝터 유닛으로 이루어진 강성의 고정된 바디이다. 하나 이상의 실시예들에서, 도 2에 도시된 바와 같이, 가스 분배 조립체(120)는 복수의 개별적인 섹터들(예컨대, 인젝터 유닛들(122))로 구성된다. 설명되는 본 개시내용의 다양한 실시예들에 대해 단일 피스 바디 또는 멀티-섹터 바디가 사용될 수 있다.
[0024] 서셉터 조립체(140)는 가스 분배 조립체(120) 아래에 포지셔닝된다. 서셉터 조립체(140)는 상단 표면(141), 및 상단 표면(141) 내의 적어도 하나의 오목부(142)를 포함한다. 서셉터 조립체(140)는 또한, 바닥 표면(143) 및 에지(144)를 갖는다. 오목부(142)는 프로세싱되고 있는 기판들(60)의 형상 및 사이즈에 따라 임의의 적합한 형상 및 사이즈로 이루어질 수 있다. 도 1에 도시된 실시예에서, 오목부(142)는 웨이퍼의 바닥을 지지하기 위해 평탄한 바닥을 갖지만, 오목부의 바닥은 다양할 수 있다. 일부 실시예들에서, 오목부는 오목부의 외측 주변 에지 주위에 스텝 구역들을 가지며, 그 스텝 구역들은 웨이퍼의 외측 주변 에지를 지지하도록 사이즈가 설정된다. 스텝들에 의해 지지되는 웨이퍼의 외측 주변 에지의 양은, 예컨대, 웨이퍼의 두께 및 웨이퍼의 배면 상에 이미 존재하는 피처(feature)들의 존재에 따라 변화될 수 있다.
[0025] 일부 실시예들에서, 도 1에 도시된 바와 같이, 서셉터 조립체(140)의 상단 표면(141) 내의 오목부(142)는 오목부(142)에서 지지되는 기판(60)이 서셉터(140)의 상단 표면(141)과 실질적으로 동일 평면 상에 있는 상단 표면(61)을 갖도록 사이즈가 설정된다. 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, "실질적으로 동일 평면 상"이라는 용어는 웨이퍼의 상단 표면과 서셉터 조립체의 상단 표면이 ±0.2 mm 내에서 동일 평면 상에 있는 것을 의미한다. 일부 실시예들에서, 상단 표면들은 0.5 mm, ±0.4 mm, ±0.35 mm, ±0.30 mm, ±0.25 mm, ±0.20 mm, ±0.15 mm, ±0.10 mm, 또는 ±0.05 mm 내에서 동일 평면 상에 있다.
[0026] 도 1의 서셉터 조립체(140)는 서셉터 조립체(140)를 리프팅할 수 있고, 하강시킬 수 있고, 회전시킬 수 있는 지지 기둥(160)을 포함한다. 서셉터 조립체는 지지 기둥(160)의 중심 내에 가열기, 또는 가스 라인들, 또는 전기 컴포넌트들을 포함할 수 있다. 지지 기둥(160)은 서셉터 조립체(140)와 가스 분배 조립체(120) 사이의 갭을 증가시키거나 또는 감소시켜서 서셉터 조립체(140)를 적절한 포지션으로 이동시키는 주된 수단일 수 있다. 서셉터 조립체(140)는 또한, 서셉터 조립체(140)와 가스 분배 조립체(120) 사이에 미리 결정된 갭(170)을 생성하기 위해 서셉터 조립체(140)에 대해 미세-조정들을 행할 수 있는 미세 튜닝 액추에이터들(162)을 포함할 수 있다.
[0027] 일부 실시예들에서, 갭(170) 거리는 약 0.1 mm 내지 약 5.0 mm의 범위에 있거나, 또는 약 0.1 mm 내지 약 3.0 mm의 범위에 있거나, 또는 약 0.1 mm 내지 약 2.0 mm의 범위에 있거나, 또는 약 0.2 mm 내지 약 1.8 mm의 범위에 있거나, 또는 약 0.3 mm 내지 약 1.7 mm의 범위에 있거나, 또는 약 0.4 mm 내지 약 1.6 mm의 범위에 있거나, 또는 약 0.5 mm 내지 약 1.5 mm의 범위에 있거나, 또는 약 0.6 mm 내지 약 1.4 mm의 범위에 있거나, 또는 약 0.7 mm 내지 약 1.3 mm의 범위에 있거나, 또는 약 0.8 mm 내지 약 1.2 mm의 범위에 있거나, 또는 약 0.9 mm 내지 약 1.1 mm의 범위에 있거나, 또는 약 1 mm이다.
[0028] 도면들에 도시된 프로세싱 챔버(100)는 서셉터 조립체(140)가 복수의 기판들(60)을 홀딩할 수 있는 캐러셀-타입 챔버이다. 도 2에 도시된 바와 같이, 가스 분배 조립체(120)는 복수의 별개의 인젝터 유닛들(122)을 포함할 수 있으며, 각각의 인젝터 유닛(122)은, 웨이퍼가 인젝터 유닛 아래로 이동될 때, 웨이퍼 상에 막을 증착할 수 있다. 2개의 파이-형상 인젝터 유닛들(122)이 서셉터 조립체(140)의 대략적으로 대향하는 측들 상에 그리고 서셉터 조립체(140) 위에 포지셔닝된 것으로 도시된다. 이러한 수의 인젝터 유닛들(122)은 예시적인 목적들만을 위해 도시된다. 더 많은 또는 더 적은 인젝터 유닛들(122)이 포함될 수 있다는 것이 이해될 것이다. 일부 실시예들에서, 서셉터 조립체(140)의 형상과 일치하는 형상을 형성하도록 충분한 수의 파이-형상 인젝터 유닛들(122)이 존재한다. 일부 실시예들에서, 개별적인 파이-형상 인젝터 유닛들(122) 각각은 다른 인젝터 유닛들(122) 중 어느 것에도 영향을 미치지 않으면서 독립적으로 이동, 제거, 및/또는 교체될 수 있다. 예컨대, 로봇이 서셉터 조립체(140)와 가스 분배 조립체(120) 사이의 구역에 접근하여 기판들(60)을 로딩/언로딩할 수 있게 하도록, 하나의 세그먼트가 상승될 수 있다.
[0029] 다수의 가스 인젝터들을 갖는 프로세싱 챔버들은 웨이퍼들이 동일한 프로세스 유동을 받도록 다수의 웨이퍼들을 동시에 프로세싱하기 위해 사용될 수 있다. 예컨대, 도 3에 도시된 바와 같이, 프로세싱 챔버(100)는 4개의 가스 인젝터 조립체들 및 4개의 기판들(60)을 갖는다. 프로세싱의 착수 시에, 기판들(60)은 인젝터 조립체들(30) 사이에 포지셔닝될 수 있다. 45°만큼 서셉터 조립체(140)를 회전시키는 것(17)은, 가스 분배 조립체들(120) 아래에 점선 원으로 예시된 바와 같이, 가스 분배 조립체들(120) 사이에 있는 각각의 기판(60)이 막 증착을 위해 가스 분배 조립체(120)로 이동되게 할 것이다. 부가적인 45° 회전은 기판들(60)을 인젝터 조립체들(30)로부터 멀어지도록 이동시킬 것이다. 기판들(60) 및 가스 분배 조립체들(120)의 수는 동일할 수 있거나 또는 상이할 수 있다. 일부 실시예들에서, 가스 분배 조립체들의 수와 동일한 수의 웨이퍼들이 프로세싱된다. 하나 이상의 실시예들에서, 프로세싱되는 웨이퍼들의 수는 가스 분배 조립체들의 수의 분수(fraction) 또는 정수배이다. 예컨대, 4개의 가스 분배 조립체들이 존재하는 경우, 4x개의 웨이퍼들이 프로세싱되며, 여기에서, x는 1 이상의 정수 값이다. 예시적인 실시예에서, 가스 분배 조립체(120)는 가스 커튼들에 의해 분리된 8개의 프로세스 구역들을 포함하고, 서셉터 조립체(140)는 6개의 웨이퍼들을 홀딩할 수 있다.
[0030] 도 3에 도시된 프로세싱 챔버(100)는 단지, 하나의 가능한 구성을 표현할 뿐이며, 본 개시내용의 범위를 제한하는 것으로 취해지지 않아야 한다. 여기에서, 프로세싱 챔버(100)는 복수의 가스 분배 조립체들(120)을 포함한다. 도시된 실시예에서, 프로세싱 챔버(100) 주위에 균등하게 이격된 4개의 가스 분배 조립체들(또한, 인젝터 조립체들(30)이라고 호칭됨)이 존재한다. 도시된 프로세싱 챔버(100)가 팔각형이지만, 이는 하나의 가능한 형상일 뿐이며, 본 개시내용의 범위를 제한하는 것으로 취해지지 않아야 한다는 것을 당업자는 이해할 것이다. 도시된 가스 분배 조립체들(120)이 사다리꼴이지만, 단일 원형 컴포넌트일 수 있거나, 또는 도 2에 도시된 바와 같이, 복수의 파이-형상 세그먼트들로 구성될 수 있다.
[0031] 도 3에 도시된 실시예는 로드 락 챔버(180) 또는 버퍼 스테이션과 같은 보조 챔버를 포함한다. 이 챔버(180)는, 예컨대, 기판들(또한, 기판들(60)이라고 지칭됨)이 챔버(100)에서 로딩/언로딩될 수 있게 하도록 프로세싱 챔버(100)의 측에 연결된다. 웨이퍼 로봇이 서셉터 상으로 기판을 이동시키기 위해 챔버(180)에 포지셔닝될 수 있다.
[0032] 캐러셀(예컨대, 서셉터 조립체(140))의 회전은 연속적일 수 있거나 또는 단속적(불연속적)일 수 있다. 연속적인 프로세싱에서, 웨이퍼들은 지속적으로 회전하고, 그에 따라, 웨이퍼들이 차례로 인젝터들 각각에 노출된다. 불연속적인 프로세싱에서, 웨이퍼들은 인젝터 구역으로 이동되어 정지될 수 있고, 그 후, 인젝터들 사이의 구역(84)으로 이동되어 정지될 수 있다. 예컨대, 캐러셀은, 웨이퍼들이 인젝터-간 구역으로부터 인젝터를 가로질러 이동하고(또는, 그 인젝터 근방에서 정지함), 그리고 캐러셀이 다시 멈출 수 있는 그 다음의 인젝터-간 구역으로 이동하도록, 회전할 수 있다. 인젝터들 사이에서 멈추는 것은 각각의 층 증착 사이의 부가적인 프로세싱 단계들(예컨대, 플라즈마에 대한 노출)을 위한 시간을 제공할 수 있다.
[0033] 도 4는, 인젝터 유닛(122)이라고 지칭될 수 있는, 가스 분배 조립체(220)의 섹터 또는 부분을 도시한다. 인젝터 유닛들(122)은 개별적으로 사용될 수 있거나 또는 다른 인젝터 유닛들과 조합하여 사용될 수 있다. 예컨대, 도 5에 도시된 바와 같이, 도 4의 인젝터 유닛들(122) 중 4개가 단일 가스 분배 조립체(220)를 형성하도록 조합된다(4개의 인젝터 유닛들을 분리하는 라인들은 명료성을 위해 도시되지 않음). 도 4의 인젝터 유닛(122)이 퍼지 가스 포트들(155) 및 진공 포트들(145)에 부가하여 제1 반응성 가스 포트(125) 및 제2 가스 포트(135) 둘 모두를 갖지만, 인젝터 유닛(122)이 이들 컴포넌트들 전부를 필요로 하는 것은 아니다.
[0034] 도 4 및 도 5 둘 모두를 참조하면, 하나 이상의 실시예에 따른 가스 분배 조립체(220)는 복수의 섹터들(또는 인젝터 유닛들(122))을 포함할 수 있으며, 각각의 섹터는 동일하거나 또는 상이하다. 가스 분배 조립체(220)는 프로세싱 챔버 내에 포지셔닝되고, 가스 분배 조립체(220)의 전방 표면(121)에 복수의 세장형 가스 포트들(125, 135, 145)을 포함한다. 복수의 세장형 가스 포트들(125, 135, 145, 155)은 가스 분배 조립체(220)의 내측 주변 에지(123)에 인접한 영역으로부터 외측 주변 에지(124)에 인접한 영역을 향하여 연장된다. 도시된 복수의 가스 포트들은 제1 반응성 가스 포트(125), 제2 가스 포트(135), 제1 반응성 가스 포트들 및 제2 반응성 가스 포트들 각각을 둘러싸는 진공 포트(145), 및 퍼지 가스 포트(155)를 포함한다.
[0035] 그러나, 도 4 또는 도 5에 도시된 실시예들을 참조로, 포트들이 적어도 대략 내측 주변 구역으로부터 적어도 대략 외측 주변 구역으로 연장되는 것을 언급하는 경우, 포트들은 내측 구역으로부터 외측 구역으로 단지 반경방향으로만 연장되는 것이 아니라 그 이상의 다른 방향으로 연장될 수 있다. 포트들은 진공 포트(145)가 반응성 가스 포트(125) 및 반응성 가스 포트(135)를 둘러싸는 상태로 접선방향으로 연장될 수 있다. 도 4 및 도 5에 도시된 실시예에서, 웨지 형상 반응성 가스 포트들(125, 135)은 내측 주변 구역 및 외측 주변 구역에 인접한 에지들을 포함하는 모든 에지들이 진공 포트(145)에 의해 둘러싸인다.
[0036] 도 4를 참조하면, 기판이 경로(127)를 따라 이동함에 따라, 기판 표면의 각각의 부분이 다양한 반응성 가스들에 노출된다. 경로(127)를 따르면, 기판은 퍼지 가스 포트(155), 진공 포트(145), 제1 반응성 가스 포트(125), 진공 포트(145), 퍼지 가스 포트(155), 진공 포트(145), 제2 반응성 가스 포트(135), 및 진공 포트(145)에 노출될 것이거나, 또는 이들과 "마주치게(see)'될 것이다. 따라서, 도 4에 도시된 경로(127)의 끝에서, 기판이 제1 반응성 가스(125) 및 제2 반응성 가스(135)에 노출되어 층이 형성되었다. 도시된 인젝터 유닛(122)은 사분원을 이루지만, 더 클 수 있거나 또는 더 작을 수 있다. 도 5에 도시된 가스 분배 조립체(220)는 연속하여 연결된, 도 4의 인젝터 유닛(122) 4개의 조합인 것으로 고려될 수 있다.
[0037] 도 4의 인젝터 유닛(122)은 반응성 가스들을 분리하는 가스 커튼(150)을 도시한다. "가스 커튼"이라는 용어는 반응성 가스들이 혼합되지 않도록 분리하는 가스 유동들 또는 진공의 임의의 조합을 설명하기 위해 사용된다. 도 4에 도시된 가스 커튼(150)은 제1 반응성 가스 포트(125) 옆의 진공 포트(145)의 부분, 중간의 퍼지 가스 포트(155), 및 제2 가스 포트(135) 옆의 진공 포트(145)의 부분을 포함한다. 가스 유동과 진공의 이러한 조합은 제1 반응성 가스와 제2 반응성 가스의 가스 상 반응들을 방지하거나 또는 최소화하기 위해 사용될 수 있다.
[0038] 도 5를 참조하면, 가스 분배 조립체(220)로부터의 가스 유동들과 진공의 조합은 복수의 프로세스 구역들(250)로의 분리를 형성한다. 프로세스 구역들은, 가스 커튼(150)이 프로세스 구역들(250) 사이에 있는 개별적인 반응성 가스 포트들(125, 135) 주위로 대략적으로 정의된다. 도 5에 도시된 실시예는 8개의 별개의 가스 커튼들(150)이 사이에 있는 8개의 별개의 프로세스 구역들(250)을 구성한다. 프로세싱 챔버는 적어도 2개의 프로세스 구역을 가질 수 있다. 일부 실시예들에서, 적어도 3개, 4개, 5개, 6개, 7개, 8개, 9개, 10개, 11개, 또는 12개의 프로세스 구역들이 존재한다.
[0039] 프로세싱 동안, 기판은 임의의 주어진 시간에서 하나 초과의 프로세스 구역(250)에 노출될 수 있다. 그러나, 상이한 프로세스 구역들에 노출되는 부분들은 2개의 구역들을 분리하는 가스 커튼을 가질 것이다. 예컨대, 제2 가스 포트(135)를 포함하는 프로세스 구역에 기판의 선행 에지가 진입하는 경우, 기판의 중간 부분은 가스 커튼(150) 아래에 있을 것이고, 기판의 후행 에지는 제1 반응성 가스 포트(125)를 포함하는 프로세스 구역에 있을 것이다.
[0040] 예컨대 로드 락 챔버일 수 있는 팩토리 인터페이스(280)가 프로세싱 챔버(100)에 연결된 것으로 도시된다. 기판(60)은 참조용 프레임(frame of reference)을 제공하기 위해 가스 분배 조립체(220) 위에 중첩된(superimposed) 것으로 도시된다. 기판(60)은 종종, 가스 분배 플레이트(120)의 전방 표면(121) 근처에 홀딩되도록 서셉터 조립체 상에 놓일 수 있다. 기판(60)은 팩토리 인터페이스(280)를 통해 프로세싱 챔버(100) 내로 기판 지지부 또는 서셉터 조립체 상으로 로딩된다(도 3 참조). 기판(60)은, 기판이 제1 반응성 가스 포트(125) 근방에 그리고 2개의 가스 커튼들(150a, 150b) 사이에 위치되기 때문에, 프로세스 구역 내에 포지셔닝된 것으로 도시될 수 있다. 경로(127)를 따라 기판(60)을 회전시키는 것은 프로세싱 챔버(100) 주위로 반시계 방향으로 기판을 이동시킬 것이다. 따라서, 기판(60)은, 제1 프로세스 구역(250a)과 제8 프로세스 구역(250h) 사이에 있는 모든 프로세스 구역들을 포함하여 제1 프로세스 구역(250a)에서 제8 프로세스 구역(250h)까지 노출될 것이다.
[0041] 본 개시내용의 실시예들은 복수의 프로세스 구역들(250a 내지 250h)을 갖는 프로세싱 챔버(100)를 포함하는 프로세싱 방법들에 관한 것이며, 각각의 프로세스 구역은 가스 커튼(150)에 의해 인접한 구역으로부터 분리된다. 예컨대, 프로세싱 챔버는 도 5에 도시된다. 프로세싱 챔버 내의 가스 커튼들 및 프로세스 구역들의 수는, 가스 유동들의 어레인지먼트에 따라, 임의의 적합한 수일 수 있다. 도 5에 도시된 실시예는 8개의 가스 커튼들(150) 및 8개의 프로세스 구역들(250a 내지 250h)을 갖는다.
[0042] 본 개시내용의 실시예들은 기판이 이동하고 있는 동안, 동시에, 전체 기판 위에서 플라즈마가 턴 온 또는 오프될 수 있게 한다. 본 개시내용의 일부 실시예들은, 개별적으로 또는 구역들로 전력을 공급받을 수 있는 nn 어레이 플라즈마 소스(다수의 전극들)를 포함한다. 일부 실시예들의 기판 이동 메커니즘은 각각의 기판에 대해 정확한 포지션 피드백 시스템을 갖는다.
[0043] 일부 실시예들에 따르면, 기판이 어레이 아래의 구역에 완전히 진입한 후에, 전체 기판을 커버하는 소스들이 동시에 점화된다. 기판이 이동함에 따라, 포지션 정보가 플라즈마 어레이 제어기에 통신되며, 그 플라즈마 어레이 제어기는 이동하는 기판의 바로 앞에 있는 플라즈마 소스들을 점화시키는 한편, 이동하는 기판의 바로 뒤에 있는 전극들을 턴 오프시킨다. 다음 기판이 완전히 어레이 아래에 있게 되면, 플라즈마는 다시 점화된다. 유사하게, 프로세스 구역 밖으로 나가는 동안, 기판의 선행 에지가 플라즈마 어레이 구역에서 빠져나가기 직전에, 기판의 전체 표면 위의 어레이가 동시에 턴 오프된다. 각각의 기판은 플라즈마 어레이 구역에 있는 동안 플라즈마 클라우드를 "체이싱(chasing)"하는 것으로 말할 수 있으며, 각각의 기판이 플라즈마 어레이 구역에 완전히 진입하거나 또는 그 플라즈마 어레이 구역에서 완전히 빠져나간 후에, 각각, 전체 기판 상에서 플라즈마가 턴 온 및 턴 오프된다.
[0044] 일부 실시예들에서, 플라즈마 어레이의 어레인지먼트는 선형 또는 원형 또는 임의의 다른 형상 구성이다. 기판의 이동은 단방향일 수 있거나 또는 진동일 수 있다. 플라즈마 어레이의 길이/사이즈는, 예컨대, 사용될 플라즈마 노출 시간, 프로세스 챔버 내의 기판의 수, 및/또는 기판 이동의 속도에 따라 변화될 수 있다.
[0045] 도 6을 참조하면, 본 개시내용의 하나 이상의 실시예들은 프로세싱 챔버(600)에 관한 것이다. 프로세싱 챔버는 상단(602), 바닥(604), 및 측면들(606)을 갖는다. 프로세싱 챔버(600)는 가스 분배 조립체(610) 및 기판 지지부(630)를 포함한다.
[0046] 가스 분배 조립체(610)는 열 프로세스 구역(612) 및 플라즈마 프로세스 구역(614)을 가질 수 있다. 열 프로세스 구역(612)에서, 하나 이상의 반응성 가스들이 포트(613)를 통해 프로세싱 챔버(600)의 프로세스 구역(601) 내로 유동될 수 있다. 프로세스 구역(601)은 기판(611)과 가스 분배 조립체(610) 사이의 공간으로서 정의된다. 도시된 실시예에서, 가스 분배 조립체(610)는 중간에 플라즈마 프로세스 구역(614)을 갖고, 그 플라즈마 프로세스 구역(614)의 양 측에 열 프로세스 구역들(612)이 있다. 2개의 열 프로세스 구역들(612)이 도시되어 있지만, 2개 초과 또는 2개 미만의 열 프로세스 구역들(612)이 있을 수 있음을 당업자는 이해할 것이다. 일부 실시예들에서, 열 프로세스 구역(612)은 적어도 하나의 가스 커튼(615)에 의해 플라즈마 프로세스 구역(614)으로부터 분리된다. 가스 커튼(615)은, 열 프로세스 구역(612)으로부터 플라즈마 프로세스 구역(614)을 격리시키는 가스성 경계를 형성하기 위한 비활성 가스들 및/또는 진공 포트들의 임의의 조합일 수 있다.
[0047] 프로세싱 챔버(600)는 기판 지지부(630)를 포함하며, 그 기판 지지부(630)는, 가스 분배 조립체(610)에 인접한 포지션에서, 프로세스 챔버(600)를 통해 하나 이상의 기판(611)을 이동시킬 수 있는 임의의 컴포넌트 또는 컴포넌트들의 조합일 수 있다.
[0048] 가스 분배 조립체(610)는 플라즈마 프로세스 구역(614)에서 개별적인 플라즈마 소스들(620)의 어레이를 포함한다. 개별적인 플라즈마 소스들(620) 각각은 프로세스 구역(601)에 플라즈마를 생성할 수 있는 임의의 적합한 플라즈마 소스일 수 있다.
[0049] 도 7은 본 개시내용의 하나 이상의 실시예에 따른, 개별적인 플라즈마 소스(620)의 예의 단면도를 도시한다. 소스(620)가 대체로 원통형 형상을 갖는 것으로 도시되어 있지만, 소스(620)의 형상이 임의의 적합한 형상일 수 있음이 당업자에 의해 이해될 것이다.
[0050] 도시된 소스(620)는 핫 전극(662)이 내부에 있는 하우징(661)을 갖는다. 하우징(661)은, 하우징(661)과 핫 전극(662) 사이에 전기 접촉이 없도록, 핫 전극(662)으로부터 이격되고, 그에 따라, 하우징(661)은 핫 전극(662)을 통해 유동하는 전류를 위한 리턴 경로로서 작용할 수 있다. 지지 구조(663)는 핫 전극(662)과 하우징(661) 둘 모두와, 이들 컴포넌트들 사이에 전기 경로를 제공하지 않으면서 접촉할 수 있다. 지지 구조(663)는 유전체들을 포함하는(그러나 이에 제한되지는 않음) 임의의 적합한 재료로 제조될 수 있다. 핫 전극(662)에 전력을 제공하고, 하우징(661)을 위한 리턴 경로를 제공하기 위해, 커넥터(665)가 소스(620) 상에 포지셔닝될 수 있다. 커넥터(665)는 동축 연결로서 구성될 수 있고, 그에 따라, 전력 케이블(미도시)은 동축 케이블일 수 있다.
[0051] 핫 전극(662)과 하우징(661) 또는 다른 리턴 전극 사이의 거리는 임의의 적합한 거리일 수 있다. 도시된 실시예에서, 핫 전극(662)으로부터 하우징(661)까지의 거리는 하우징(661)의 둘레 주위에서 실질적으로 동일하다. 핫 전극(662)과 리턴 경로 사이의 거리를 일관되게 유지하는 것은 소스(620) 내에 균일한 플라즈마를 제공하는 것을 도울 수 있다. 일부 실시예들에서, 핫 전극(662)의 형상은 하우징(661) 또는 리턴 전극(하우징(661)이 아닌 경우)의 형상과 실질적으로 동일하다. 예컨대, 정사각형 형상 하우징이 정사각형 형상 전극을 가질 수 있거나, 또는 육각형 하우징이 육각형 전극을 가질 수 있다.
[0052] 소스(620)의 바닥에서의 개구(667)는 하우징의 공동(668) 내의 가스성 종이 프로세싱 챔버(600)의 프로세스 구역(601)으로 유동할 수 있게 한다. 개구(667)의 폭은 플라즈마 소스(620)의 폭을 정의하기 위해 사용될 수 있고, 그리고 개구(667)의 중심을 통과하는 가상 선분에 의해 연결되는, 하우징의 둘레 상의 포인트들 사이의 평균 거리로서 측정된다. 일부 실시예들에서, 소스(620)의 폭은 약 1 cm 내지 약 5 cm의 범위에 있다. 일부 실시예들에서, 소스(620)의 폭은 약 1.5 cm 내지 약 4.5 cm의 범위에 있거나, 또는 약 2 cm 내지 약 4 cm의 범위에 있거나, 또는 약 2.5 cm 내지 약 3.5 cm의 범위에 있다.
[0053] 개별적인 플라즈마 소스들(620)은 플라즈마를 생성하는 데 사용되는 임의의 적합한 시스템에 의해 전력을 공급받을 수 있다. 예컨대, 일부 실시예들에서, 소스들(620)은 RF 또는 마이크로파 에너지에 의해 전력을 공급받는다.
[0054] 플라즈마 소스들(620)의 간격 및 사이즈들은 이용가능한 공간 내에 소스들을 패킹하기 위해 변화될 수 있다. 예컨대, 도 8a는 다양한 간격을 갖는 둥근 소스들(620)을 갖는 실시예를 도시한다. 기판(611)은 소스들(620)의 형상 및 커버를 예시하기 위해 도시된다. 도 8b는 다른 실시예를 도시하며, 이 다른 실시예에서, 플라즈마 프로세스 구역(614)을 형성하기 위해 육각형 소스들(620)이 패킹된다. 도 8c는 다른 실시예를 도시하며, 이 다른 실시예에서, 플라즈마 프로세스 구역(614)을 생성하기 위해, 상이한 사이즈의 소스들이 배열되어 있다. 복수의 큰 소스들(620a) 사이에 복수의 작은 소스들(620b)이 배치되어 있다.
[0055] 제어기(650)가 개별적인 소스들(620)의 어레이 및 기판 지지부(630)에 연결된다. 제어기는 개별적인 소스들(620)에 대한 기판 지지부(630)의 포지션을 모니터링하도록 구성된다. 일부 실시예들에서, 제어기(650)는 기판 지지부(630)의 포지션을 모니터링하는 것에 부가하여 기판 지지부(630)의 이동을 제어하도록 구성된다.
[0056] 제어기(650)는 개별적인 소스들(620) 각각에 연결되고, 그에 따라, 각각의 소스(620)에 독립적으로 전력이 공급될 수 있거나 또는 전력이 공급되지 않을 수 있다(즉, 디스에이블링 또는 턴 오프될 수 있음). 일부 실시예들에서, 제어기(650)는 다수의 소스들(620)을 포함하는 구역들을 제어하도록 구성된다.
[0057] 도 9a 내지 도 9e는 본 개시내용의 하나 이상의 실시예에 따른 선형 플라즈마 프로세스 구역(614)을 도시한다. 선형 플라즈마 프로세스 구역(614)은, 예컨대, 도 6에 예시된 선형 프로세스 챔버(600)에서 사용될 수 있다. 프로세스 구역(614)은 제1 단부(671) 및 제2 단부(672)를 갖는다.
[0058] 플라즈마 프로세스 구역(614)의 하나의 가능한 용도를 설명하기 위해, 기판(611)을 지지하는 기판 지지부(630)가 도시된다. 플라즈마 프로세스 구역(614)의 다른 사용들은 당업자에 의해 구상될 수 있고, 본 개시내용의 범위 내에 있다. 설명되는 실시예는 단지 하나의 가능한 용도를 표현하는 것일 뿐이다. 기판 지지부(630)의 포지션이 모니터링되고, 그에 따라, 제어기는 기판 지지부(630), 및 그 기판 지지부(630) 상에 포지셔닝될 수 있는 임의의 기판(611)의 위치를 알고 있다.
[0059] 도 9a는, 기판 지지부(630) 및 기판(611)이 플라즈마 프로세스 구역(614)의 제1 단부(671)에 포지셔닝되어 있는 초기 포지션을 도시한다. 이 시점에서, 제어기(미도시)는 소스들(620) 중 어느 소스에도 전력을 공급하지 않고 있고, 그에 따라, 플라즈마 소스들(620) 중 어느 플라즈마 소스도 점화되지 않는다. 기판(611)에 대하여 설명이 이루어지지만, 기판 지지부(630) 상에 기판(611)이 포지셔닝되어 있는지 여부와 무관하게, 시스템이 기판 지지부(630) 포지션을 모니터링할 수 있음이 이해될 것이다.
[0060] 도 9b에서, 전체 기판(611), 또는 기판을 지지하도록 사이즈가 설정된 오목부가 소스들(620) 근방에 포지셔닝되도록, 기판 지지부(630)가 플라즈마 프로세스 구역(614) 아래로 기판(611)을 이동시켰다. 도 9c에 도시된 바와 같이, 기판(611)이 플라즈마 프로세스 구역(614)에 완전히 진입하였으면, 제어기는 기판(611) 위에 위치된 개별적인 플라즈마 소스들(620)에 전력을 제공한다. 제어기(650)가 기판 지지부(630)의 위치를 알고 있기 때문에, 제어기(650)는 또한, 기판을 지지하도록 구성된 기판 지지부 내의 오목부, 또는 기판 지지부 상에 포지셔닝된 기판의 위치를 알고 있다. 제어기(650)가 기판 지지부(630) 및/또는 기판(611)의 위치를 알고 있음에 따라, 제어기(650)는, 그 기판 지지부(630) 및/또는 기판(611) 근방에 있고 그 기판 지지부(630) 및/또는 기판(611) 근방에 플라즈마(619)를 생성하는 플라즈마 소스들(620)만을 점화시킬 수 있다.
[0061] 도 9d에 도시된 바와 같이, 기판 지지부(630)는 플라즈마 프로세스 구역(614)의 제1 단부(671)로부터 제2 단부(672) 쪽으로 경로를 따라 이동한다. 이동 동안, 제어기(650)는 기판 지지부(630)의 위치를 모니터링하고, 기판의 경로를 따라, 개별적인 플라즈마 소스들(620)을 점화시킨다. 제어기는 또한, 기판 지지부(630)가 경로를 따라 이동될 때, 기판 뒤에 있는 개별적인 플라즈마 소스들(620)로의 전력을 디스에이블링할 수 있다. 이러한 방식으로, 플라즈마(619)가 플라즈마 프로세스 구역(614)의 길이를 따라 이동한다.
[0062] 일부 실시예들에서, 도 6을 참조하면, 기판 지지부(630)는 제1 단부에서 도어(608a)를 통해 프로세싱 챔버(600)에 진입하고, 그리고 플라즈마 프로세스 구역(614)에 인접한 포지션들을 포함하여 프로세싱 챔버(600)를 거쳐 이동하여, 제2 단부에서 도어(608b)를 통해 프로세싱 챔버(600)에서 빠져나간다. 횡단되는 경로는 단방향 또는 양방향 또는 하이브리드 경로일 수 있다.
[0063] 도 9e에 도시된 실시예에서, 기판 지지부들(630)은 제1 단부(671)에서 플라즈마 프로세스 구역(614)에 진입하고, 제2 단부(672) 쪽으로 이동한다. 각각의 기판 지지부(630)가 플라즈마 프로세스 구역(614)에 진입함에 따라, 제어기(650)는 기판 근방에 플라즈마(619)를 점화시킨다. 예컨대, 도 9e는 플라즈마 프로세스 구역(614)의 길이를 따라 이동하는 3개의 별개의 플라즈마들을 도시한다.
[0064] 일부 실시예들에서, 기판 지지부(630)는 플라즈마 프로세스 구역(614)을 통해 제1 단부(671)로부터 제2 단부(672)까지 앞뒤로 이동한다. 앞뒤로의 통과들의 수는, 예컨대, 플라즈마 프로세스 구역(614)의 길이, 이동의 속도, 및 목표 플라즈마 노출에 따라 좌우될 수 있다. 일부 실시예들에서, 기판 지지부(630)는 동일한 단부에서 플라즈마 프로세스 구역(614)에 진입하고 플라즈마 프로세스 구역(614)에서 빠져나간다.
[0065] 앞뒤 이동은 단부에서 단부까지 플라즈마 프로세스 구역(614)의 전체 길이에 걸쳐 이루어질 수 있거나, 또는 진동 방식으로 이루어질 수 있다. 예컨대, 기판 지지부(630)는 일정 양만큼 앞으로 이동한 후, 약간 뒤로 이동한 다음, 더 앞으로 이동할 수 있다. 이러한 방식으로, 기판 지지부(630)는 앞으로 제1 거리만큼 약간 이동하고, 뒤로 제2 거리만큼 이동한 후, 반복하여, 플라즈마 프로세스 구역(614)의 전체 길이에 걸쳐 이동할 수 있다. 이러한 하이브리드 진동 단방향 이동에서, 플라즈마 프로세스 구역(614)의 길이를 변경하지 않으면서도, 기판은 플라즈마(619)에 더 오래 또는 더 많이 노출될 수 있다. 이러한 이동을 모니터링 및/또는 제어하는 제어기(650)는, 그 이동과 일치하도록, 개별적인 플라즈마 소스들(620) 또는 구역들을 점화 및 디스에이블링할 수 있다.
[0066] 기판 지지부(630)가 플라즈마 프로세스 구역(614)에서 빠져나갈 때, 제어기(650)는, 기판이 플라즈마 프로세스 구역에서 벗어나기 전에, 기판 지지부에 인접한 개별적인 플라즈마 소스들을 디스에이블링한다. 기판이 플라즈마 프로세스 구역에서 벗어나기 전에 기판 위의 플라즈마 소스들을 디스에이블링하는 것은, 기판의 일부가 플라즈마에 노출되는 동안 그 기판의 다른 부분들이 노출되지 않게 될 가능성을 최소화한다.
[0067] 도 10a 및 도 10b는 원형 프로세싱 챔버가 사용되는, 본 개시내용의 다른 실시예를 도시한다. 또한 도 1의 서셉터 조립체와 같은 서셉터 조립체일 수 있는 둥근 기판 지지부(630)는 동시에 다수의 기판들(611)을 지지 및 이동시킬 수 있다. 기판들은, 회전의 양에 따라, 다수의 완전한 원들 또는 완전한 원의 일부를 포함할 수 있는 아치형 경로로 이동된다.
[0068] 도 10a에서, 기판(611a)은, 기판의 일부가 열 프로세스 구역(612)에 있고 일부가 플라즈마 프로세스 구역(614)에 있도록 포지셔닝된다. 기판(611a) 위에서 점화된 플라즈마 소스들(620)이 존재하지 않는다. 기판들(611b, 611c, 611d, 611e)은 플라즈마 프로세스 구역(614)에 인접해 있고, 그리고 기판들에 인접한 소스들(620)은 전력을 공급받아서 각각의 기판 근방에 플라즈마(619)를 생성한다.
[0069] 기판(611f)은 완전히 열 프로세스 구역(612) 내에 있으며, 그 열 프로세스 구역(612)에는 플라즈마 소스들(620)이 없다. 다른 가스 포트들, 예컨대, 반응성 가스 포트들, 퍼지 가스 포트들, 진공 포트들이 다양한 반응성 및 비활성 가스들에 기판(611f)을 노출시키기 위해 열 프로세스 구역(612)에 포지셔닝될 수 있다. 예컨대, 플라즈마 프로세스 구역(614)에서의 플라즈마 처리 전에, 제1 반응성 가스 및 제2 반응성 가스에 기판들을 노출시키기 위해, 도 4의 인젝터 유닛(122)이 열 프로세스 구역(612)을 구성할 수 있다.
[0070] 도 10b는, 서셉터 조립체(기판 지지부(630))가 반시계 방향으로 일정 양만큼 회전된 후의, 도 10a와 동일한 프로세싱 챔버를 도시한다. 도시된 포지션에서, 기판(611a)은 플라즈마 프로세스 구역(614)에 완전히 진입하였고, 그리고 제어기(650)에 의해, 기판(611a)에 인접한 플라즈마 소스들(620)에 전력이 공급되어, 기판(611a) 근방에 플라즈마(619)가 점화되었다. 기판(611e)은 열 프로세스 구역(612) 내로 이동하기 위해 플라즈마 프로세스 구역(614)에서 빠져나가기 시작하고 있다. 플라즈마 프로세스 구역(614) 내에 기판(611e) 전체가 있는 것은 아니기 때문에, 제어기(650)는 기판(611e)에 인접한 플라즈마 소스들(620)을 디스에이블링 또는 턴 오프하였다.
[0071] 플라즈마 프로세스 구역(614)이 차지하는, 가스 분배 조립체의 양은, 예컨대, 수행되는 프로세스, 및 가스 분배 조립체의 길이에 따라 변화될 수 있다. 일부 실시예들에서, 플라즈마 프로세스 구역은 가스 분배 조립체의 길이의 25% 초과를 포함한다. (도 10a에서와 같은) 원형 가스 분배 조립체의 길이는, 서셉터 조립체 내의 오목부 또는 기판의 중심이 완전한 원으로 이동하도록 이동되는 거리이다. 일부 실시예들에서, 플라즈마 프로세스 구역은, 가스 분배 조립체의 길이의 30%, 35%, 40%, 45%, 50%, 55%, 60%, 65%, 70%, 75%, 또는 80% 초과를 포함한다.
[0072] 하나 이상의 실시예들에 따르면, 기판은 계속 진공 또는 "로드 락" 조건들 하에 있고, 하나의 챔버로부터 다음 챔버로 이동되는 경우 주변 공기에 노출되지 않는다. 그에 따라, 이송 챔버들은 진공 하에 있고, 진공 압력 하에서 "펌프 다운(pump down)"된다. 비활성 가스들이 프로세싱 챔버들 또는 이송 챔버들에 존재할 수 있다. 일부 실시예들에서, 비활성 가스는 반응물들 중 일부 또는 전부를 제거하기 위해 퍼지 가스로서 사용된다. 하나 이상의 실시예들에 따르면, 퍼지 가스는, 반응물들이 증착 챔버로부터 이송 챔버로 그리고/또는 부가적인 프로세싱 챔버로 이동하는 것을 방지하기 위해, 증착 챔버의 출구에서 주입된다. 따라서, 비활성 가스의 유동은 챔버의 출구에서 커튼을 형성한다.
[0073] 프로세싱 동안, 기판은 가열 또는 냉각될 수 있다. 그러한 가열 또는 냉각은, 기판 지지부의 온도를 변화시키는 것 및 가열된 또는 냉각된 가스들을 기판 표면으로 유동시키는 것을 포함하는(그러나 이에 제한되지는 않는) 임의의 적합한 수단에 의해 달성될 수 있다. 일부 실시예들에서, 기판 지지부는, 기판 온도를 전도에 의해 변화시키도록 제어될 수 있는 가열기/냉각기를 포함한다. 하나 이상의 실시예들에서, 채용되고 있는 가스들(반응성 가스들 또는 비활성 가스들)은 기판 온도를 국부적으로 변화시키도록 가열 또는 냉각된다. 일부 실시예들에서, 기판 온도를 대류에 의해 변화시키기 위해, 챔버 내에서 기판 표면 근처에 가열기/냉각기가 포지셔닝된다.
[0074] 기판은 또한, 프로세싱 동안 고정될 수 있거나 또는 회전될 수 있다. 회전하는 기판은 연속적으로 또는 불연속적인 스텝들로 회전될 수 있다. 예컨대, 기판이 전체 프로세스의 전체에 걸쳐 회전될 수 있거나, 또는 상이한 반응성 또는 퍼지 가스들에 대한 노출들 사이에서 기판이 소량 회전될 수 있다. (연속적으로 또는 스텝들로) 프로세싱 동안 기판을 회전시키는 것은, 예컨대, 가스 유동 기하형상들의 국부적인 변동성의 영향을 최소화함으로써, 더 균일한 증착 또는 에칭을 발생시키는 것을 도울 수 있다.
[0075] 본 명세서의 전체에 걸친 "일 실시예", "특정한 실시예들", "하나 이상의 실시예들", 또는 "실시예"에 대한 언급은, 그 실시예에 관하여 설명되는 특정한 피처, 구조, 재료, 또는 특성이 본 개시내용의 적어도 하나의 실시예에 포함되는 것을 의미한다. 따라서, 본 명세서의 전체에 걸친 다양한 위치들에서의 "하나 이상의 실시예들에서", "특정한 실시예들에서", "일 실시예에서", 또는 "실시예에서"와 같은 문구들의 출현들은 반드시 본 개시내용의 동일한 실시예를 지칭하는 것은 아니다. 게다가, 특정한 피처들, 구조들, 재료들, 또는 특성들은 하나 이상의 실시예들에서 임의의 적합한 방식으로 조합될 수 있다.
[0076] 본원의 개시내용이 특정한 실시예들을 참조하여 설명되었지만, 이들 실시예들이 단지, 본 개시내용의 애플리케이션들 및 원리들을 예시할 뿐이라는 것이 이해될 것이다. 본 개시내용의 사상 및 범위로부터 벗어나지 않으면서, 본 개시내용의 방법 및 장치에 대해 다양한 변형들 및 변화들이 이루어질 수 있다는 것이 당업자에게 자명할 것이다. 따라서, 본 개시내용이 첨부된 청구항들 및 이들의 등가물들의 범위 내에 있는 변형들 및 변화들을 포함하도록 의도된다.

Claims (13)

  1. 프로세싱 단계로서,
    개별적인 플라즈마 소스들의 어레이를 갖는 플라즈마 프로세스 구역을 포함하는 가스 분배 조립체 근방에 위치된 기판 지지부 상에 기판을 포지셔닝하는 단계;
    상기 기판 지지부 상의 상기 기판을 상기 플라즈마 프로세스 구역을 통해 경로를 따라 이동시키는 단계;
    상기 개별적인 플라즈마 소스들의 어레이에 대한 상기 기판의 포지션을 모니터링하는 단계; 및
    플라즈마에 전체 기판이 동시에 노출되도록, 이동 동안, 기판에 인접한 개별적인 플라즈마 소스들에 전력을 공급하고, 그리고 기판에 인접하지 않은 개별적인 플라즈마 소스들로의 전력은 디스에이블링하는 단계
    를 포함하는, 프로세싱 단계.
  2. 제1 항에 있어서,
    상기 전체 기판이 상기 플라즈마 프로세스 구역에 진입할 때까지, 상기 기판에 인접한 개별적인 플라즈마 소스들에 전력이 공급되지 않는,
    프로세싱 단계.
  3. 제1 항에 있어서,
    상기 개별적인 플라즈마 소스들은, 상기 기판이 상기 플라즈마 프로세스 구역을 빠져나가기 전에 디스에이블링되는,
    프로세싱 단계.
  4. 제1 항에 있어서,
    상기 기판 지지부는, 중심 축 둘레의 아치형 경로(arcuate path)로 상기 기판을 회전시키는,
    프로세싱 단계.
  5. 제4 항에 있어서,
    상기 플라즈마 프로세스 구역은 상기 가스 분배 조립체의 절반을 초과하여 포함하는,
    프로세싱 단계.
  6. 제4 항에 있어서,
    상기 가스 분배 조립체는 열 프로세싱 구역을 더 포함하는,
    프로세싱 단계.
  7. 제6 항에 있어서,
    상기 열 프로세싱 구역과 상기 플라즈마 프로세스 구역 사이의 가스 커튼(gas curtain) 통해, 상기 플라즈마 프로세스 구역으로부터 상기 열 프로세싱 구역으로 상기 기판을 이동시키는 단계를 더 포함하는,
    프로세싱 단계.
  8. 제1 항에 있어서,
    상기 기판 지지부는 상기 기판을 선형 경로를 따라 이동시키는,
    프로세싱 단계.
  9. 제8 항에 있어서,
    상기 기판 지지부는 제1 단부에서 상기 플라즈마 프로세스 구역에 진입하여, 상기 플라즈마 프로세스 구역을 통해 이동하여, 제2 단부를 통해 상기 플라즈마 프로세스 구역에서 빠져나가는,
    프로세싱 단계.
  10. 제9 항에 있어서,
    상기 기판 지지부는 상기 제1 단부로부터 상기 제2 단부까지 이동하는 동안 진동(oscillate)하는,
    프로세싱 단계.
  11. 제8 항에 있어서,
    상기 기판 지지부는, 동일한 단부에서 출입하기 위해, 상기 플라즈마 프로세스 구역을 통해 앞뒤로 이동되는,
    프로세싱 단계.
  12. 제1 항에 있어서,
    상기 개별적인 플라즈마 소스들 각각은 독립적으로, 1 cm 내지 5 cm의 범위의 폭을 갖는,
    프로세싱 단계.
  13. 제1 항에 있어서,
    상기 개별적인 플라즈마 소스들 각각은 RF 또는 마이크로파 전력을 공급받는,
    프로세싱 단계.
KR1020207036518A 2016-11-15 2017-11-15 이동하는 기판의 완전한 플라즈마 커버리지를 위한 동적 단계적 어레이 플라즈마 소스 KR102312821B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201662422390P 2016-11-15 2016-11-15
US62/422,390 2016-11-15
KR1020197017223A KR102194817B1 (ko) 2016-11-15 2017-11-15 이동하는 기판의 완전한 플라즈마 커버리지를 위한 동적 단계적 어레이 플라즈마 소스
PCT/US2017/061766 WO2018093874A1 (en) 2016-11-15 2017-11-15 Dynamic phased array plasma source for complete plasma coverage of a moving substrate

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020197017223A Division KR102194817B1 (ko) 2016-11-15 2017-11-15 이동하는 기판의 완전한 플라즈마 커버리지를 위한 동적 단계적 어레이 플라즈마 소스

Publications (2)

Publication Number Publication Date
KR20210008876A KR20210008876A (ko) 2021-01-25
KR102312821B1 true KR102312821B1 (ko) 2021-10-13

Family

ID=62108039

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020197017223A KR102194817B1 (ko) 2016-11-15 2017-11-15 이동하는 기판의 완전한 플라즈마 커버리지를 위한 동적 단계적 어레이 플라즈마 소스
KR1020207036518A KR102312821B1 (ko) 2016-11-15 2017-11-15 이동하는 기판의 완전한 플라즈마 커버리지를 위한 동적 단계적 어레이 플라즈마 소스

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020197017223A KR102194817B1 (ko) 2016-11-15 2017-11-15 이동하는 기판의 완전한 플라즈마 커버리지를 위한 동적 단계적 어레이 플라즈마 소스

Country Status (5)

Country Link
US (1) US11948783B2 (ko)
JP (1) JP6886020B2 (ko)
KR (2) KR102194817B1 (ko)
TW (1) TWI713799B (ko)
WO (1) WO2018093874A1 (ko)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112012898B (zh) * 2020-08-12 2021-08-10 北京控制工程研究所 一种低功率霍尔推力器用通道外置式分配器阳极一体化结构
CN114686860B (zh) * 2022-06-01 2022-09-16 江苏邑文微电子科技有限公司 一种等离子增强化学气相沉积装置和沉积方法

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5336355A (en) * 1991-12-13 1994-08-09 Hughes Aircraft Company Methods and apparatus for confinement of a plasma etch region for precision shaping of surfaces of substances and films
JP4578651B2 (ja) * 1999-09-13 2010-11-10 東京エレクトロン株式会社 プラズマ処理方法およびプラズマ処理装置、プラズマエッチング方法
US20030116089A1 (en) * 2001-12-04 2003-06-26 Walther Steven R. Plasma implantation system and method with target movement
JP2006019067A (ja) * 2004-06-30 2006-01-19 Sharp Corp プラズマ処理装置およびプラズマ処理方法
US7662253B2 (en) * 2005-09-27 2010-02-16 Lam Research Corporation Apparatus for the removal of a metal oxide from a substrate and methods therefor
US20070218702A1 (en) * 2006-03-15 2007-09-20 Asm Japan K.K. Semiconductor-processing apparatus with rotating susceptor
KR100978754B1 (ko) * 2008-04-03 2010-08-30 주식회사 테스 플라즈마 처리 장치
US8129288B2 (en) * 2008-05-02 2012-03-06 Intermolecular, Inc. Combinatorial plasma enhanced deposition techniques
WO2011125471A1 (ja) * 2010-03-31 2011-10-13 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
WO2011143062A2 (en) * 2010-05-12 2011-11-17 Applied Materials, Inc. Confined process volume pecvd chamber
WO2012071195A1 (en) * 2010-11-24 2012-05-31 Synos Technology, Inc. Extended reactor assembly with multiple sections for performing atomic layer deposition on large substrate
KR101246170B1 (ko) * 2011-01-13 2013-03-25 국제엘렉트릭코리아 주식회사 반도체 제조에 사용되는 분사부재 및 그것을 갖는 플라즈마 처리 장치
CN103748665B (zh) * 2011-05-10 2016-11-02 朗姆研究公司 具有多个解耦等离子体源的半导体处理系统
JP5644719B2 (ja) * 2011-08-24 2014-12-24 東京エレクトロン株式会社 成膜装置、基板処理装置及びプラズマ発生装置
KR102016190B1 (ko) * 2011-11-17 2019-10-21 램 리써치 코포레이션 분포된 다중존 플라즈마 소스 시스템들, 방법들 및 장치
US20130210238A1 (en) * 2012-01-31 2013-08-15 Joseph Yudovsky Multi-Injector Spatial ALD Carousel and Methods of Use
JP5882777B2 (ja) * 2012-02-14 2016-03-09 東京エレクトロン株式会社 成膜装置
KR20150016983A (ko) * 2012-06-01 2015-02-13 어플라이드 머티어리얼스, 인코포레이티드 사전 안정화된 플라즈마를 이용하는 프로세스들을 위한 스퍼터링을 위한 방법
US20140030444A1 (en) 2012-07-30 2014-01-30 Novellus Systems, Inc. High pressure, high power plasma activated conformal film deposition
JP2014049667A (ja) * 2012-09-03 2014-03-17 Tokyo Electron Ltd プラズマ処理装置及びこれを備えた基板処理装置
KR102092150B1 (ko) * 2013-08-30 2020-03-23 세메스 주식회사 기판처리장치 및 방법
JP6332746B2 (ja) * 2013-09-20 2018-05-30 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びプログラム
KR102371535B1 (ko) * 2014-04-18 2022-03-04 어플라이드 머티어리얼스, 인코포레이티드 서셉터 온도 확인을 위한 장치 및 사용 방법들
US9528185B2 (en) * 2014-08-22 2016-12-27 Applied Materials, Inc. Plasma uniformity control by arrays of unit cell plasmas
JP6342503B2 (ja) * 2014-09-26 2018-06-13 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US10550469B2 (en) * 2015-09-04 2020-02-04 Lam Research Corporation Plasma excitation for spatial atomic layer deposition (ALD) reactors
JP2017107963A (ja) * 2015-12-09 2017-06-15 東京エレクトロン株式会社 プラズマ処理装置及び成膜方法

Also Published As

Publication number Publication date
US20180138023A1 (en) 2018-05-17
WO2018093874A1 (en) 2018-05-24
US11948783B2 (en) 2024-04-02
KR102194817B1 (ko) 2020-12-23
JP2019537218A (ja) 2019-12-19
KR20190072676A (ko) 2019-06-25
TWI713799B (zh) 2020-12-21
TW201829838A (zh) 2018-08-16
JP6886020B2 (ja) 2021-06-16
KR20210008876A (ko) 2021-01-25

Similar Documents

Publication Publication Date Title
US9617640B2 (en) Apparatus and methods for injector to substrate gap control
KR102662705B1 (ko) 파이 형상 처리를 발생시키기 위한 대칭적인 플라즈마 소스
KR102201946B1 (ko) 캐러셀 원자 층 증착을 위한 장치 및 방법들
KR102656575B1 (ko) 측방향 플라즈마/라디칼 소스
JP2021501465A (ja) 空間分離を伴う単一ウエハの処理環境
US11081318B2 (en) Geometrically selective deposition of dielectric films utilizing low frequency bias
KR102649605B1 (ko) 프로세싱 챔버를 위한 고온 가열기
WO2016057801A1 (en) Precise critical dimension control using bilayer ald
JP2018534723A (ja) スロット付きグランドプレートを有するプラズマモジュール
KR102312821B1 (ko) 이동하는 기판의 완전한 플라즈마 커버리지를 위한 동적 단계적 어레이 플라즈마 소스
US11887818B2 (en) Methods and systems to modulate film stress
US10655226B2 (en) Apparatus and methods to improve ALD uniformity
JP2024032726A (ja) Ald温度均一性のためのpbnヒータ
KR102376372B1 (ko) 공간적 ald 프로세스 챔버에서 배면 증착을 방지하기 위한 장치
US20240162020A1 (en) Dynamic Phased Array Plasma Source For Complete Plasma Coverage Of A Moving Substrate
KR102476114B1 (ko) 쌍을 이룬 동적 평행판 용량성 결합된 플라즈마들

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant