CN103748665B - 具有多个解耦等离子体源的半导体处理系统 - Google Patents

具有多个解耦等离子体源的半导体处理系统 Download PDF

Info

Publication number
CN103748665B
CN103748665B CN201280022675.5A CN201280022675A CN103748665B CN 103748665 B CN103748665 B CN 103748665B CN 201280022675 A CN201280022675 A CN 201280022675A CN 103748665 B CN103748665 B CN 103748665B
Authority
CN
China
Prior art keywords
plasma
power
plasma chamber
substrate
interior zone
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201280022675.5A
Other languages
English (en)
Other versions
CN103748665A (zh
Inventor
约翰·帕特里克·霍兰
彼得·L·G·温特泽克
哈梅特·辛格
理查德·戈特朔
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US13/104,923 external-priority patent/US8900402B2/en
Priority claimed from US13/104,925 external-priority patent/US8900403B2/en
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN103748665A publication Critical patent/CN103748665A/zh
Application granted granted Critical
Publication of CN103748665B publication Critical patent/CN103748665B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32633Baffles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32899Multiple chambers, e.g. cluster tools

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

一种半导体衬底处理系统包括衬底支撑件,该衬底支撑件被限定来支撑暴露于处理区域的衬底。该系统还包括第一等离子体室,该第一等离子体室被限定来产生第一等离子体并将所述第一等离子体的反应性成分供应到所述处理区域。该系统还包括第二等离子体室,该第二等离子体室被限定来产生第二等离子体并将所述第二等离子体的反应性成分供应到所述处理区域。所述第一和第二等离子体室被限定为是独立受控的。

Description

具有多个解耦等离子体源的半导体处理系统
背景技术
由于不能分别控制等离子体中的离子和自由基的浓度,用于半导体器件制造中薄膜处理的等离子体源往往不能达到用于干法蚀刻的最理想条件。例如,在一些应用中,用于等离子体蚀刻的理想条件可通过增加等离子体中的离子浓度同时使自由基浓度维持在恒定水平而达到。但是,这种自由基浓度与离子浓度的独立控制不能使用通常用于薄膜处理的常规等离子体源来实现。在这种背景下,提出了本发明。
发明内容
在一实施方式中,公开了一种半导体衬底处理系统。该系统包括衬底支撑件,该衬底支撑件被限定来支撑暴露于处理区域的衬底。该系统还包括第一等离子体室,该第一等离子体室被限定来产生第一等离子体并将所述第一等离子体的反应性成分供应到所述处理区域。该系统还包括第二等离子体室,该第二等离子体室被限定来产生第二等离子体并将所述第二等离子体的反应性成分供应到所述处理区域。所述第一和第二等离子体室被限定为是独立受控的。
在另一实施方式中,公开了一种半导体衬底处理系统。该系统包括具有顶部构件、底部构件和在所述顶部构件和所述底部构件之间延伸的侧壁的室。所述室包绕处理区域。衬底支撑件被设置在所述室内并被限定为支撑暴露于所述处理区域的衬底。该系统还包括设置在所述室内所述衬底支撑件上方的顶板组件。所述顶板组件具有暴露于所述处理区域并与所述衬底支撑件的所述上表面相对的下表面。所述顶板组件包括被连接以供应第一等离子体的反应性成分到所述处理区域的第一多个等离子体端口。所述顶板组件还包括被连接以供应第二等离子体的反应性成分到所述处理区域的第二多个等离子体端口。
在另一实施方式中,公开了一种用于处理半导体衬底的方法。该方法包括用于将衬底放置在暴露于处理区域的衬底支撑件上的操作。该方法还包括用于产生第一等离子体类型的第一等离子体的操作。该方法还包括用于产生与所述第一等离子体类型不同的第二等离子体类型的第二等离子体的操作。该方法进一步包括用于将所述第一和第二等离子体两者的反应性成分都供应到所述处理区域以影响所述衬底的处理的操作。
在一实施方式中,公开了一种半导体衬底处理系统。该系统包括板组件,该组件具有暴露于等离子体处理区域的处理侧表面。排放通道穿过所述板组件的所述处理侧表面形成,以实现将排放气体从所述等离子体处理区域去除。等离子体微室形成于所述排放通道内。此外,气体供给通道穿过所述板组件形成,以使工艺气体流入所述排放通道中的所述等离子体微室。并且,功率传输部件形成于所述板组件中,以将功率输送到所述等离子体微室,以便在所述排放通道中的所述等离子体微室内将工艺气体转变成等离子体。
在另一实施方式中,公开了一种半导体衬底处理系统。该系统包括具有顶部构件、底部构件和在所述顶部构件和所述底部构件之间延伸的侧壁的室。所述室包括处理区域。衬底支撑件被设置在所述室内。所述衬底支撑件具有被限定为支撑暴露于所述处理区域的衬底的上表面。该系统还包括设置在所述室内所述衬底支撑件上方的顶板组件。所述顶板组件具有暴露于所述处理区域并与所述衬底支撑件的所述上表面相对的下表面。所述顶板组件包括第一组等离子体微室,每一个等离子体微室被形成在所述顶板组件的所述下表面内。所述顶板组件还包括第一气体供给通道网状系统,第一气体供给通道网状系统被形成为使第一工艺气体流入所述第一组等离子体微室中的每一个。所述第一组等离子体微室中的每一个被限定为使所述第一工艺气体转变成暴露于所述处理区域的第一等离子体。所述顶板组件还包括排放通道组,该排放通道组穿过所述顶板组件的所述下表面形成,以实现从所述处理区域去除排放气体。所述顶板组件还包括第二组等离子体微室,该第二组等离子体微室分别在所述排放通道组内形成。所述顶板组件还包括第二气体供给通道网状系统,该第二气体供给通道网状系统被形成为使第二工艺气体流入所述第二组等离子体微室中的每一个。所述第二组等离子体微室中的每一个被限定为使所述第二工艺气体转变成暴露于所述处理区域的第二等离子体。
在另一实施方式中,公开了一种用于处理半导体衬底的方法。该方法包括用于将衬底放置在暴露于处理区域的衬底支撑件上的操作。该方法还包括操作暴露于所述处理区域中的第一组等离子体微室,使得所述第一组等离子体微室中的每一个产生第一等离子体并将所述第一等离子体的反应性成分供应到所述处理区域。所述第一组等离子体微室位于所述处理区域上方与所述衬底支撑件相对。该方法还包括操作暴露于所述处理区域的第二组等离子体微室,使得所述第二组等离子体微室中的每一个产生第二等离子体并将所述第二等离子体的反应性成分供应到所述处理区域。所述第二等离子体不同于所述第一等离子体。并且,所述第二组等离子体微室位于所述处理区域上方与所述衬底支撑件相对。所述第二组等离子体微室以基本均匀的方式穿插在所述第一组等离子体微室中。
从下面结合附图进行的以示例方式阐述本发明的详细描述中,本发明的其它方面和优点会变得更加显而易见。
附图说明
图1为根据本发明的一实施方式示出的利用暴露于共同的衬底处理区域的多个等离子室可以实现的离子浓度和自由基浓度之间的关系;
图2A为根据本发明的一实施方式示出的半导体衬底处理系统;
图2B为根据本发明的一实施方式示出的半导体衬底处理系统;
图2C为根据本发明的一实施方式示出的半导体衬底处理系统;
图2D为根据本发明的一实施方式示出的具有通电的出口区以增强离子引出(extraction)的第二等离子室变形例;
图2E为根据本发明的一实施方式示出的其中第一和第二等离子体室由介电材料分隔开的所述系统的变形例;
图2F-1为根据本发明的一实施方式示出的图2A所示系统的另一种变形例,其中第一和第二等离子室的功率传输部件作为设置在第一和第二等离子室内的侧壁上的电极实施;
图2F-2为根据本发明的一实施方式示出的图2A所示系统的另一种变形例,其中第一和第二等离子室的功率传输部件作为设置在第一和第二等离子室内的上表面和下表面上的电极实施;
图2G为根据本发明的一实施方式示出的图2A所示系统的另一种变形例,其中第一和第二等离子室的功率传输部件作为邻近第一和第二等离子室设置的线圈实施;
图3A为根据本发明的一实施方式示出的半导体衬底处理系统的垂直截面;
图3B为根据本发明的一实施方式示出的涉及图3A中的A-A截线的水平截面视图A-A;
图3C为根据本发明的一实施方式示出的图3B的水平截面视图的变形例,其中,在整个顶板组件,在第一和第二等离子体微室之间的间距减小;
图3D为根据本发明的一实施方式示出的图3B的水平截面视图的变形例,其中,在整个顶板组件,在第一和第二等离子体微室之间的间距增大;
图3E为根据本发明的一实施方式示出的图3B的水平截面视图的变形例,其中,在整个顶板组件,在第一和第二等离子体微室之间的间距是不均匀的;
图4A为根据本发明的一实施方式示出的用于衬底的等离子体处理的另一系统;
图4B为根据本发明的一实施方式示出的涉及图4A中的B-B截线的水平截面视图B-B;
图4C为根据本发明的一实施方式示出的图4B的水平横截面视图的一种变形例,其中在整个顶板组件,在与第一和第二等离子室相关联的等离子体端口之间的间距减小;
图4D为根据本发明的一实施方式示出的图4B的水平横截面视图的一种变形例,其中在整个顶板组件,在与第一和第二等离子室相关联的等离子体端口之间的间距增大;
图4E为根据本发明的一实施方式示出的图4B的水平横截面视图的一种变形例,其中在整个顶板组件,在与第一和第二等离子室相关联的等离子体端口之间的间距是不均匀的;
图5A为根据本发明的一实施方式示出的用于衬底的等离子体处理的另一系统;
图5B为根据本发明的一实施方式示出的涉及图5A中的C-C截线的水平截面视图C-C;
图5C为根据本发明的一实施方式示出的图5B的水平截面视图的变形例,其中,在整个顶板组件的下表面,在第一和第二组等离子体微室之间的间距减小;
图5D为根据本发明的一实施方式示出的图5B的水平截面视图的变形例,其中,在整个顶板组件的下表面,在第一和第二组等离子体微室之间的间距增大;
图5E为根据本发明的一实施方式示出的图5B的水平截面视图的变形例,其中,在整个顶板组件的下表面,在第一和第二组等离子体微室之间的间距是不均匀的;
图6为根据本发明的一实施方式示出的用于处理半导体衬底的方法的流程图;
图7为根据本发明的一实施方式示出的用于处理半导体衬底的方法的流程图。
具体实施方式
在下面的描述中,许多具体细节被阐述以便提供对本发明的透彻理解。但显而易见的是,对本领域技术人员来说,本发明可在没有这些具体细节中的一些或全部的情况下被实施。在其他情况下,公知的工艺操作没有被详细描述以免不必要地使本发明难以理解。
本发明的各种实施方式包括两种或更多类型的等离子体产生装置,如等离子体室,其能使用单独的控制参数独立地操作,以实现与该两种或更多类型的等离子体产生装置流体连接的等离子体处理区域内的离子浓度和自由基浓度的解耦控制,待被处理的衬底设置在该等离子体处理区域内。例如,在一实施方式中,第一等离子体室可以被操作来产生相比于离子浓度具有较高自由基浓度的第一等离子体。此外,在该示例性实施方式中,第二等离子体室可以被操作来产生相比于自由基浓度具有较高离子浓度的第二等离子体。第一和第二等离子体室都流体连接到相同的衬底处理区域,使得第一等离子体室被操作来控制在衬底处理区域内的自由基成分的量,并使得第二等离子体室被操作来控制在衬底处理区域内的离子成分的量。以这种方式,控制第一等离子体室以调整衬底处理区域中的离子浓度,并控制第二等离子体室以调整衬底处理区域中的自由基浓度。
在一实施方式中,本文所用的术语“衬底”是指半导体晶片。但是,应当理解,在其它实施方式中,本文所用的术语“衬底”可指由蓝宝石、GaN、GaAs或SiC、或者其它衬底材料制成的衬底,且可包括玻璃板/衬底、金属箔、金属片、聚合物材料、或者类似物。此外,在各种实施方式中,本文所涉及的“衬底”在形式、形状、和/或尺寸上可以变化。例如,在一些实施方式中,本文所涉及的“衬底”可对应于200mm(毫米)半导体晶片、300mm半导体晶片、或者450mm半导体晶片。此外,在一些实施方式中,本文所涉及的“衬底”可对应于非圆形的衬底,在其他的形状中,尤其比如用于平板显示器的矩形衬底,或者类似形状的衬底。本文所涉及的“衬底”在各种示例性实施方式的附图中被表示为衬底105。
独立操作多个等离子体室以提供反应性成分至共同的衬底处理区域实现了在共同的衬底处理区域内离子浓度相对于自由基浓度的基本上的解耦(decouple)调整。在各种实施方式中,在多个等离子体室内产生不同类型的等离子体通过独立控制与多个等离子体室相关联的功率源和/或气体源来实现。此外,在一些实施方式中,多个等离子体室的输出口可以被设置在与所述衬底处理区域流体连通的空间阵列中。多个等离子体室的输出口可以互相穿插并且彼此足够接近地间隔开,使得在多个等离子体室内形成的不同类型的等离子体的不同反应性成分以基本均匀的方式被供给到衬底处理区域,以便对衬底处理区域内的衬底的基本均匀的处理发挥作用。
图1为根据本发明的一实施方式示出的利用暴露于共同的衬底处理区域的多个等离子室可以实现的离子浓度和自由基浓度之间的关系。第一线301示出了在与共同的衬底处理区域流体连接的第一等离子体室中产生的第一等离子体中的离子浓度与自由基浓度的关系的变化例。在该示例中,第一等离子体的自由基浓度比离子浓度较高。第二线303示出了在与共同的衬底处理区域流体连接的第二等离子体室中产生的第二等离子体中的离子浓度与自由基浓度的关系的变化例。在该示例中,第二等离子体的离子浓度比自由基浓度较高。因此,产生第一等离子体以向该衬底处理区域主要供应自由基成分,并且产生第二等离子体以向该衬底处理区域主要供应离子成分。
通过独立控制第一和第二等离子体室,基本上在第一线301和第二线303之间延伸的区域内任何离子浓度与自由基浓度的关系可以在衬底处理区域内实现。例如,可以单独操作第二等离子体室,以在衬底处理区域之内提供第一离子浓度与自由基浓度的比率305。当一起使用时,可以操作第一等离子体室,以增加衬底处理区域内的自由基浓度,同时操作第二等离子体室以保持衬底处理区域内基本稳定的离子浓度,从而在衬底处理区域内产生单独使用第一或第二等离子体室不能获得的第二离子浓度与自由基浓度的比率307。同样地,当一起使用时,可以操作第二等离子体室,以减小衬底处理区域内的离子浓度,同时操作第一等离子体室以保持衬底处理区域内基本稳定的自由基浓度,从而在衬底处理区域内产生单独使用第一或第二等离子体室不能获得的第三离子浓度与自由基浓度的比率309。
还是参照图1,可以单独操作第一等离子体室,以在衬底处理区域内提供第四离子浓度与自由基浓度的比率311。当一起使用时,可以操作第二等离子体室,以增大衬底处理区域内的离子浓度,同时操作第一等离子体室以保持衬底处理区域内基本稳定的自由基浓度,从而在衬底处理区域内产生单独使用第一或第二等离子体室不能获得的第五离子浓度与自由基浓度的比率313。同样,当一起使用时,可以操作第一等离子体室,以减小衬底处理区域内的自由基浓度,同时操作第二等离子体室以保持衬底处理区域内基本稳定的离子浓度,从而在衬底处理区域内产生单独使用第一或第二等离子体室不能获得的第六离子浓度与自由基浓度的比率315。
根据如上所述,应该理解的是,在本发明的一个实施方式中多个独立控制的等离子体室用来将反应性成分提供到共同的衬底处理区域,以便在衬底处理区域内提供通过操作单个等离子体室不能获得的离子浓度与自由基浓度的比率。根据参考图1所进行的讨论,应该进一步理解,产生具有显著不同的离子浓度与自由基浓度的比率的多种等离子体,在该多种等离子体的反应性成分组合时,在衬底处理区域内能提供较宽范围的离子浓度与自由基浓度的比率。在本文中公开了若干半导体衬底处理系统,其实现了多个独立受控的多个等离子体室的反应性成分输出口的空间组合,从而在衬底处理区域内产生了使用单个等离子体室不能实现的反应性成分的组合。
图2A为根据本发明的一实施方式示出的半导体衬底处理系统200A。系统200A包括被限定为支撑暴露于处理区域106的衬底105的衬底支撑件107。系统200A还包括被限定为产生第一等离子体101A并且将第一等离子体101A的反应性成分108A通过第一等离子体室101的开口供应至处理区域106的第一等离子体室101。系统200A还包括被限定为产生第二等离子体102A并且将第二等离子体102A的反应性成分108B通过第二等离子体室102的开口供应至处理区域106的第二等离子体室102。第一等离子体室101和第二等离子体室102被限定为是独立受控的。
更具体地,第一等离子体室101被电连接到第一功率源103A。第一功率源103A被限定为提供第一功率给第一等离子体室101。第一等离子体室101还流体连接到被限定为供应第一工艺气体到第一等离子体室101的第一工艺气体源104A。第一等离子体室101被限定为施加第一功率至第一工艺气体以在第一等离子体室101内产生第一等离子体101A。
第二等离子体室102被电连接到第二功率源103B。第二功率源103B被限定为提供第二功率至第二等离子体室102。第二等离子体室102还流体连接到限定为提供第二工艺气体至第二等离子体室102的第二工艺气体源104B。第二等离子体室102被限定为施加第二功率至第二工艺气体以在第二等离子体室102内产生第二等离子体102A。
应当理解,根据所应用的功率和所使用的工艺气体,第一和第二等离子体室101/102可以产生显著不同类型的等离子体101A/102A。在一实施方式中,第一和第二功率源103A/103B是独立可控的。此外,在一实施方式中,第一和第二工艺气体源104A/104B是独立可控的。并且,在另一实施方式中,第一和第二功率源103A/103B和第一和第二工艺气体源104A/104B是独立可控的。
应当理解,第一和第二工艺气体源104A/104B的独立控制可以是关于气体类型/气体混合物、气体流率、气体温度、气体压强以及基本上任何其他工艺气体相关参数中的一个或多个的独立控制。另外,应理解的是,第一和第二功率源103A/103B的独立控制可以是关于射频(RF)幅度、RF频率、电压电平、和电流电平、以及基本上任何其他功率相关的参数中的一个或多个的独立控制。
在一实施方式中,由第一功率源103A供应到第一等离子体室101的第一功率是直流(DC)功率、RF功率、或DC功率和RF功率的组合。同样地,在一实施方式中,由第二功率源103B供应到第二等离子体室102的第二功率是DC功率、RF功率、或DC功率和RF功率的组合。在一实施方式中,由第一功率源103A供应到第一等离子体室101的第一功率是具有2兆赫(MHz)、27MHz、60MHz、400千赫(kHz)、或它们的组合的频率的RF功率,并且由第二功率源103B供应到第二等离子体室102的第二功率是具有2MHz、27MHz、60MHz、400千赫(kHz)、或它们的组合的频率的RF功率。在该实施方式的一个版本中,第一和第二功率的频率是不同的。然而,在该实施方式的另一个版本中,如果被供应到第一和第二等离子体室101/102的工艺气体提供了第一和第二等离子体101A/102A之间的差别,则第一和第二功率的频率可以是相同的。
施加到第一和第二等离子体室101/102的功率类型部分地依赖于所使用的等离子体室的类型。在一些示例性实施方式中,第一和第二等离子体室101/102中的每一个是中空的阴极室、或电子回旋共振腔、或微波驱动室、或感应耦合室、或电容耦合室。另外,在一实施方式中,第一和第二等离子体室101/102是相同类型的等离子体室。然而,在另一实施方式中,第一和第二等离子体室101/102是不同类型的等离子体室。
另外,应当理解的是,在不同的实施方式中,第一和第二等离子体室101/102可以包括不同形式的功率传输部件。功率传输部件负责将功率传送给第一/第二等离子体室101/102内的工艺气体。例如,在一实施方式中,第一/第二等离子体室101/102的壁是导电的并适合于功率传输部件的功能。在本实施方式中,第一和第二等离子体室101/102可以通过介电材料和导电屏蔽件彼此分开,以确保传送到一个等离子体室101/102的功率不会被不利地由相邻的等离子体室101/102接收。图2E根据本发明的一实施方式示出了系统200A的变化例,其中第一和第二等离子体室101/102通过设置在介电材料150之间的导电屏蔽件151分隔开。在一实施方式中,导电屏蔽件151电连接到参考接地电位。
图2F-1和2F-2为根据本发明的一实施方式示出的图2A所示系统200A的另一种变形例,其中第一和第二等离子室101/102的功率传输部件作为设置在第一和第二等离子室101/102内的电极160实施。图2F-1显示了一种示例性实施方式,在该实施方式中,电极160被设置在第一和第二等离子体室101/102的侧壁上。图2F-2显示了一种示例性实施方式,在该实施方式中,电极160被设置在第一和第二等离子体室101/102的内部的上表面和下表面上。在本实施方式中,在等离子体室101/102的内部的上表面上的电极160包括限定为穿过其中的一个或多个孔,以使第一和第二工艺气体源104A/104B能与第一和第二等离子体室101/102的内部容积流体连通。此外,在本实施方式中,在第一和第二等离子体室101/102的内部的下表面上的电极160包括限定为穿过其中的一个或多个孔,以使第一和第二等离子体101A/102A的反应性成分各自能通过到达处理区域106。应当理解,在图2F-1和2F-2中的电极160的布置通过示例的方式示出。在其它实施方式中,电极160可以设置在第一/第二等离子体室101/102的等离子体产生容积内的任何一个或多个表面上。
图2G为根据本发明的一实施方式示出的图2A所示系统200A的另一种变形例,其中第一和第二等离子室101/102的功率传输部件作为靠近第一和第二等离子室101/102设置的线圈170实施。应当理解,在图2G中,顶部放置线圈170是通过示例的方式示出的。在其它实施方式中,线圈170能够靠近第一/第二等离子体室101/102的任何一个或多个外表面设置。应当理解,图2A、2E、2F和2G的不同的功率传输部件通过示例性实施方式示出。在其它实施方式中,第一和第二等离子体室101/102可以实施与在图2A、2E、2F和2G中所例举的功率传输部件不同的功率传输部件。
鉴于上述情况,应该理解,第一和第二等离子体室101/102可以使用不同的工艺气体和/或不同的功率来操作,以实现使一种等离子体的离子浓度比自由基浓度高并且使另外的等离子体的自由基浓度比离子浓度高这样的条件。此外,第一和第二等离子体室101/102被限定为以基本上均匀的方式分别将第一和第二等离子体101A/102A的反应性成分108A/108B分布在衬底支撑件107上方的处理区域106内。
在一个实施方式中,第一和第二等离子体室101/102被限定为以高达约一托(T)的内部压强操作。此外,在一个实施方式中,处理区域106在从约1毫托(mT)延伸到约100mT的范围内的压强下操作。第一和第二等离子体室101/102的出口被限定来提供和控制第一和第二等离子体室101/102的内部和处理区域106之间的压强下降。另外,如果需要,在一个实施方式中,自由基成分可以以交叉流(cross-flow)的方式从第一和第二等离子体室101/102中的任一个供应,或者使用在处理区域106内的交叉流,以控制在整个衬底105上的蚀刻产品分布。
在一个示例性实施方式中,该系统200A被操作以提供约10mT的处理区域106压强,约1000scc/秒(标准立方厘米每秒)的工艺气体通过流率,以及反应性成分108A/108B在处理区域106内的约10毫秒(ms)的停留时间。应当清楚和理解,上面的示例性操作条件可以代表用系统200A能够实现的基本上无数的操作条件中的一个。上面的示例性操作条件不表示或暗示对系统200A的可能的操作条件的任何限制。
在一个实施方式中,衬底支撑件107限定为是能沿基本上垂直于衬底支撑件107的上表面的方向110移动的,从而能够调整处理间隙距离113,衬底105将被支撑在衬底支撑件107的上表面上。处理间隙距离113在衬底支撑件107的上表面和所述第一和第二等离子体室101/102之间垂直延伸。在一实施方式中,衬底支撑件107能沿方向110移动,使得处理间隙距离能在约2厘米延伸至约10厘米的范围内调整。在一实施方式中,调整衬底支撑件107以提供约5厘米的处理间隙距离113。在一个替代实施方式中,对处理间隙距离113的调整可以通过第一和第二等离子体室101/102沿相对于衬底支撑件107的方向110的移动实现。
处理间隙距离113的调整实现了释放自第一和第二等离子体室101/102中的一者或两者的离子通量的动态范围的调整。具体而言,通过增加处理间隙距离113可以减少到达衬底105的离子通量,反之亦然。在一个实施方式中,当调整处理间隙距离113以实现在衬底105处的离子通量的调整时,可以调整通过供给较高自由基浓度的等离子体室(101/102)的工艺气体流率以实现对在衬底105处的自由基通量的独立控制。此外,应该理解,控制处理间隙距离113结合控制释放自第一和第二等离子体室的离子和自由基通量,以实现在衬底105处基本上均匀的离子密度和自由基密度。
在一个实施方式中,衬底支撑件107包括偏置电极112,偏置电极112用于产生电场以吸引离子朝向衬底支撑件107,并因此朝向保持在衬底支撑件107上的衬底105。此外,在一实施方式中,衬底支撑件107包括若干冷却通道116,在等离子体处理操作期间,冷却流体可流动通过冷却通道116以保持对衬底105的温度控制。此外,在一实施方式中,衬底支撑件107可以包括若干升降销,升降销被限定为相对于衬底支撑件107升高和降低衬底105。在一实施方式中,衬底支撑件107被限定为是静电卡盘,该静电卡盘被设置成产生静电场以便在等离子体处理操作期间将衬底105牢固地保持在衬底支撑件107上。
在各种实施方式中,所述第一和第二等离子体室101/102被限定为以同步方式或以脉冲方式操作。第一和第二等离子体室101/102的脉冲方式操作包括:第一等离子体室101或第二等离子体室102在给定的时间并以交变序列方式操作。具体地,第一等离子体室101将操作持续第一时间段,第二等离子体室102空闲,然后第二等离子体室102将操作持续第二时间段,第一等离子体室101空闲,第一和第二等离子体室101/102以这种交变方式操作持续规定的总时间段。
以脉冲方式进行的第一和第二等离子体室101/102的操作可以用于防止/限制第一和第二等离子体101A/102A之间的就工艺气体和/或功率而言的不期望的连通。第一和第二等离子体室101/102之间的不期望的连通的防止包括确保第一等离子体101A的工艺气体/组分不进入第二等离子体室102,并确保第二等离子体102的工艺气体/组分不进入第一等离子体室101。第一和第二等离子体室101/102之间的不希望的连通的防止还包括确保供给第一等离子体室101的功率不流到在第二等离子体室中的第二等离子体102A,并确保供给到所述第二室102的功率不流到第一等离子体室101中的第一等离子体101A。
在第一和第二等离子体室101/102以同步方式操作的实施方式中,第一和第二等离子体室101/102被限定,以确保它们之间的不希望的连通被防止/限制。例如,将暴露于处理区域106的第一和第二等离子体室101/102的相应开口的尺寸设置得足够小,并且隔开足够远,以避免第一和第二等离子体室101/102之间的就工艺气体和/或功率而言的交叉连通。根据上述情况,应当理解,在衬底的等离子体处理过程中,可以就工艺气体流率、工艺气体压强、功率频率、功率幅度、开/关时间、以及操作时序中的一个或多个方面而言独立地控制第一和第二等离子体室101/102。
图2B根据本发明的一个实施方式示出了半导体衬底处理系统200B。系统200B是图2A的系统200A的变形例。具体而言,系统200B包括设置在第一和第二等离子体室101/102之间以从所述第一和第二等离子体室101/102朝向衬底支撑件107延伸的挡板构件109。挡板构件109被限定为减少第一和第二等离子体室101/102之间的流体连通。此外,在一个实施方式中,由电介质材料形成挡板构件109,以减少第一和第二等离子体室101/102之间的功率流通。在一个实施方式中,挡板构件109被限定为能沿着基本上垂直于衬底支撑件107的上表面的方向114移动,衬底105将被支撑在衬底支撑件107的上表面上。
图2C根据本发明的一个实施方式示出了半导体衬底处理系统200C。系统200C是图2B的系统200B的变形例。具体而言,系统200C包括形成在第一和第二等离子体室101/102之间以沿基本上垂直于衬底支撑件107的上表面的方向延伸远离处理区域106的排放通道111,衬底105将被支撑在衬底支撑件107的上表面上。在一个实施方式中,排放通道111是开放的并且是畅通的,以便将气体从处理区域106排放。然而,在另一个实施方式中,挡板构件109设置在第一和第二等离子体室101/102之间的排放通道111内,以便从所述第一和第二等离子体室101/102朝向衬底支撑件107延伸。设置在排放通道111内的挡板构件109被限定为减少第一和第二等离子体室101/102之间的流体连通。此外,在一个实施方式中,设置在排放通道111内的挡板构件109是由电介质材料制成的,以减少第一和第二等离子体室101/102之间的功率流通。此外,设置挡板构件109的尺寸小于所述排放通道111,以便让排放流116通过围绕挡板构件109的排放通道111。
在图2B和2C的示例性实施方式中,挡板构件109可以被用来限制相邻的等离子体室(例如,室101,102)之间的流体和/或功率流通。此外,挡板构件109可以用来协助形成整个衬底105上的均匀的离子和自由基。如上文参照图2B和2C所述,挡板构件109能沿基本上垂直于衬底支撑件107的方向114移动。挡板构件109沿方向114的这种移动可以实现在挡板构件109和衬底105之间的垂直测得的距离115的调节。
在各种实施方式中,挡板构件和衬底105之间的距离115可以大到5厘米。然而,应当理解,距离115是其他的参数(例如工艺气体流率和从第一和第二等离子体室101/102释放的离子和自由基通量)的函数。在一个示例性实施方式中,在挡板构件和衬底105之间的距离115是约2厘米。此外,尽管在图2B和2D的示例性实施方式所示的挡板构件109的横截面是矩形,但应理解,挡板构件109可以形成其他形状,例如,圆形底部,成角度的底部,锥形顶部等,从而达到处理区域106内的特殊的效果,如控制工艺气体流条件,包括交叉流和湍流等等。
在某些情况下,当试图在等离子体中主要产生离子时,等离子体内的自由基的产生是不可避免的。在这些情况下,当主要目的是实现离子成分从所产生的等离子体移动(transport)时,来自该等离子体的自由基成分的移动在某种程度上也是不可避免的。此外,从等离子体引出离子表明在离子源(即,等离子体)和处理区域(例如,处理区域106)之间的开口足够大,以致鞘没有抑制住等离子体的引出,并且与引出介质壁的撞击反应是轻的以致没有中和离子。在本发明的一个实施方式中,离子源区域可以被限定在离子源和处理区域之间的开口。离子源区域可以被实施作为通电的出口区域以产生补充电子,以增强对离子源的离子引出。例如,在一个实施方式中,等离子体室的暴露于处理区域的出口区域可以被限定作为中空阴极以增加出口区本身产生的离子,并相应地增强等离子体室的离子引出。
图2D根据本发明的一实施方式示出的具有通电的出口区域225以增强离子引出的第二等离子室102的变形例。然而,应该理解,第一和第二等离子体室101/102中的一者或两者可以被限定为具有可通电的等离子体出口区域225,等离子体出口区域225被限定为提供补充电子的产生,以增强离子引出。在一个实施方式中,可通电的等离子体出口区域225被限定作为空心阴极。在本实施方式的一个版本中,出口区域225由电极220围绕,电极220可以由DC功率源、RF功率源、或它们的组合来供电。当来自等离子体102A的反应性成分流过可通电的等离子体出口区域225时,释放自电极220的功率将使出口区域225内的快电子放出,这将进而造成流过出口区域225的工艺气体的进一步电离,从而增强等离子体室102的离子引出。此外,跨越处理区域106的由偏置电极112施加的偏置将用于将离子从室102内的等离子体102A以及从出口区域225两者吸向衬底105。
图3A为根据本发明的一个实施方式示出的半导体衬底处理系统400的垂直横截面。该系统400包括由顶部构件401B、底部构件401C、和在顶部构件401B和底部构件401C之间延伸的侧壁401A形成的室401。室401包绕处理区域106。在各种实施方式中,室侧壁401A、顶部构件401B、和底部构件401C可以由不同的材料(例如不锈钢或铝)形成,例如,只要室401的材料在结构上能够承受其在等离子体处理过程中所遇到的压差和温度,且在化学上与等离子体处理环境兼容即可。
系统400还包括衬底支撑件107,衬底支撑件107设置在室401内并被限定以支撑暴露于处理区域106的衬底105。衬底支撑件107被限定为在衬底105上进行等离子体处理操作的过程中,保持衬底105在其上。在图3A的示例性实施方式中,衬底支撑件107由固定到室401的壁401A的悬臂405保持。然而,在其它实施方式中,衬底支撑件107可以被固定到室401的底板401C或被固定到设置在室401之内的另一个构件。在各种实施方式中,衬底支撑件107可以由不同的材料(例如不锈钢、铝或陶瓷)形成,例如,只要衬底支撑件107的材料在结构上能够承受其在等离子体处理过程中所遇到的压差和温度,且在化学上与等离子体处理环境兼容即可。
在一个实施方式中,衬底支撑件107包括偏置电极112,偏置电极112用于产生电场以将离子朝衬底支撑件107吸引,并因此朝保持在衬底支撑件107上的衬底105吸引。此外,在一个实施方式中,衬底支撑件107包括若干冷却通道116,在等离子体处理操作期间,冷却流体能够流过冷却通道116以保持对衬底105的温度控制。此外,在一实施方式中,衬底支撑件107可以包括若干升降销411,升降销411被限定为相对于衬底支撑件107升高和降低衬底105。在一个实施方式中,门组件413设置在室壁401A内,使得衬底105能够插入室401并且衬底105能够从室401移走。此外,在一个实施方式中,衬底支撑件107被限定为是静电卡盘,该静电卡盘被设置成产生静电场以便在等离子体处理操作期间将衬底105牢固地保持在衬底支撑件107上。
系统400还包括设置在室401内、在衬底支撑件107上方并且与衬底支撑件107间隔开的顶板组件407,以在衬底105定位在衬底支撑件107上时,顶板组件407位于衬底105上方并与衬底105间隔开。衬底处理区域106存在于顶板组件407和衬底支撑件107之间,以在衬底105定位在衬底支撑件107上时能存在于衬底105上方。正如前面所提到的,在一个实施方式中,衬底支撑件107能沿方向110移动,使得处理间隙距离能在约2厘米延伸至约10厘米的范围内调整,该处理间隙距离是跨越在顶板组件407和衬底支撑件107之间的处理区域106垂直测得的。另外,在一个实施方式中,衬底支撑件107相对于顶板组件407的垂直位置在等离子体处理操作的执行过程中或者在等离子体处理操作之间是可调的,反之亦然。
顶板组件407具有暴露于处理区域106并与衬底支撑件107的上表面相对的下表面。顶板组件407包括被连接的第一多个等离子体端口以将第一等离子体101A的反应性成分供应至处理区域106。更具体地说,在图3A的实施方式中,第一多个等离子体微室101在整个顶板组件407的上表面设置,并且所述第一多个等离子体端口与各自的第一多个等离子体微室101的开口流体连通。因此,第一多个等离子体端口用于将第一多个等离子体微室101的开口与处理区域106流体连通。应当理解,第一多个等离子体微室中的每一个对应于如前面参照图1至图2G所讨论的第一等离子体室101。
顶板组件407还包括被连接的第二多个等离子体端口以将第二等离子体102A的反应性成分供应至处理区域106。更具体地说,在图3A的实施方式中,第二多个等离子体微室102在整个顶板组件407的上表面设置,并且所述第二多个等离子体端口与各自的第二多个等离子体微室102的开口流体连通。因此,第二多个等离子体端口用于将第二多个等离子体微室102的开口与处理区域106流体连通。应当理解,第二多个等离子体微室中的每一个对应于如前面参照图1至图2G所讨论的第二等离子体室102。
所述第一多个等离子体微室101中的每一个被限定为产生第一等离子体101A,并将第一等离子体101A的反应性成分108A供应至沿顶板组件407的下表面限定的第一多个等离子体端口中的一个或多个。同样,第二多个等离子体微室102中的每一个被限定为产生第二等离子体102A,并将第二等离子体102A的反应性成分108B供应至沿顶板组件407的下表面限定的第二多个等离子体端口中的一个或多个。
图3B示出了根据本发明的一个实施方式的涉及图3A的截线A-A的横截面视图A-A。如图3B所示,第一和第二等离子体微室101/102在整个顶板组件407上相互穿插,使得第一多个等离子体端口在整个顶板组件407的下表面上以基本均匀的方式穿插在第二多个等离子体端口中。在一个示例性实施方式中,第一和第二等离子体微室101/102被限定为有从约1厘米延伸到约2厘米的范围内的内部直径。另外,在一个示例性实施方式中,第一和第二等离子体微室101/102总数为约100。在又一个示例性实施方式中,第一和第二等离子体微室101/102总数是在从约40延伸至约60的范围内,在整个顶板组件407的下表面的第一和第二等离子体端口总数为约100。
应当理解,在不同的实施方式中,在整个顶板组件407上第一和第二等离子体微室101/102之间的间距可以不同。图3C是根据本发明的一个实施方式示出的图3B的水平横截面视图的变形例,其中在整个顶板组件407上的第一和第二等离子体微室101/102之间的间距被减小了。图3D是根据本发明的一个实施方式示出的图3B的水平横截面视图的变形例,其中在整个顶板组件407上的第一和第二等离子体微室101/102之间的间距被增大了。图3E是根据本发明的一个实施方式示出的图3B的水平横截面视图的变形例,其中在整个顶板组件407上的第一和第二等离子体微室101/102之间的间距是不均匀的。
应当理解,若干第一和第二等离子体微室101/102和/或若干在顶板组件407的下表面上的等离子体端口的上述示例性实施方式是为便于描述本发明而提供,并不表示以任何方式限制本发明。在其它实施方式中,基本上第一和第二等离子体微室101/102和/或在顶板组件407的下表面上的等离子体端口的任何配置/数量可以根据需要限定和安排,以在处理区域106内提供自由基成分与离子成分的适当混合物,从而达到在衬底105上的所希望的等离子体处理结果。
第一和第二等离子体微室101/102被限定为以同步方式或以脉冲方式操作。第一和第二等离子体微室101/102以脉冲方式进行的操作包括第一多个等离子体微室101或第二多个等离子体微室102在给定时间并以交变序列进行的操作。在一个实施方式中,所述第一多个等离子体微室101中的每一个是中空阴极室、或电子回旋共振腔、或微波驱动室、或感应耦合室、或电容性耦合室。此外,在一个实施方式中,第二多个等离子体微室102中的每一个是中空阴极室、或电子回旋共振腔、或微波驱动室、或感应耦合室、或电容性耦合室。
在一个示例性实施方式中,主要负责供应自由基成分给处理区域106的等离子体微室(101或102)被限定为是微波驱动等离子体微室。另外,在一个示例性实施方式中,主要负责供应离子成分给处理区域106的等离子体微室(101或102)被限定为是中空阴极等离子体微室、电子回旋共振等离子体微室、电容耦合等离子体微室、或共振放电型等离子体微室。在一个特定的示例性实施方式中,第一多个等离子体微室101中的每一个被限定为是主要负责供应自由基成分给处理区域106的感应耦合等离子体微室101。另外,在这个特定的示例性实施方式中,第二多个等离子体微室102中的每一个被限定为是主要负责供应离子成分给处理区域106的电容耦合等离子体微室102。
应当理解,对于各类型的第一和第二等离子体微室101/102的上述示例性实施方式是为便于描述本发明而提供,并不表示以任何方式限制本发明。在其它实施方式中,第一和第二等离子体微室101/102可以被分别限定为是基本上任何类型的等离子体微室、或各类型的等离子体微室的组合,只要第一和第二等离子体微室101/102被限定为提供相应类型的反应性成分至它们主要负责供应的处理区域106以便在衬底105上达到所希望的等离子体处理结果即可。
系统400还包括被限定为供应第一功率到第一多个等离子体微室101的第一功率源103A。系统400还包括被限定为供应第一工艺气体到第一多个等离子体微室101的第一工艺气体源104A。系统400还包括被限定为供应第二功率到第二多个等离子体微室102的第二功率源103B。系统400还包括被限定为供应第二工艺气体到第二多个等离子体微室102的第二工艺气体源104B。在一个实施方式中,所述第一和第二功率源103A/103B是独立可控的。在一个实施方式中,所述第一和第二工艺气体源104A/104B是独立可控的。在一个实施方式中,第一和第二功率源103A/103B以及第一和第二工艺气体源104A/104B都是独立可控的。在一个实施方式中,被供给到第一多个等离子体微室101的第一功率是DC功率、RF功率、或DC功率和RF功率的组合。此外,在一个实施方式中,被供给到第二多个等离子体微室102的第二功率是DC功率、RF功率、或DC功率和RF功率的组合。
对于由第一和第二功率源103A/103B中的任意一个进行的RF功率的供应,应理解,就RF功率的频率和幅度而言,所提供的RF功率可以是独立可控的。此外,应该理解,第一和第二功率源103A/103B中的每一个包括各自的匹配电路,RF功率通过该匹配电路传输,以确保足够的RF功率相应地传输到第一和第二多个等离子体微室101/102。在一个实施方式中,由第一功率源103A提供至第一多个等离子体微室101中的每一个的第一功率是具有频率2MHz、27MHz、60MHz、或400kHz的RF功率,并且由第二功率源103B提供至第二多个等离子体微室102中的每一个的第二功率是具有频率2MHz、27MHz、60MHz、或400kHz的RF功率。在本实施方式中,第一和第二功率具有至少一个不同的频率。
在系统400的操作过程中,由第一和第二工艺气体源104A/104B供应的工艺气体在第一和第二多个等离子体微室101/102中的每一个中相应地被转变成第一和第二等离子体101A/102A。在第一和第二等离子体101A/102A中的反应性成分从第一和第二多个等离子体微室101/102移动到在衬底支撑件107上方的衬底处理区域106,即在衬底105设置在衬底支撑件107上时,移动到衬底105上的衬底处理区域106。
在一个实施方式中,在从第一和第二多个等离子体微室101/102进入衬底处理区域106时,用过的工艺气体流经外围通风口427,并由排放泵431通过排放口429泵出。在一个实施方式中,提供节流装置433来控制来自衬底处理区域106中用过的工艺气体的流率。在一个实施方式中,节流装置433被限定为能朝向外围通风口427和远离外围通风口427移动(如箭头435所示)的环形结构。
应当理解,系统400采用一种类型的大量的小的等离子体源(即,所述第一多个等离子体微室101)穿插在另一种类型的大量的小的等离子体源(即,第二多个等离子体微室102),以便以基本均匀的方式将来自每种类型的等离子体源的组合反应性成分通量提供至衬底105。在一个实施方式中,一种类型的等离子体源产生相对于离子成分具有较大密度的自由基成分,并且另一类型的等离子体源产生相对于自由基成分具有较大密度的离子成分,从而提供对处理区域106内的离子和自由基浓度的独立控制。
图4A根据本发明的一个实施方式示出了用于衬底的等离子体处理的另一种系统500。就室401、衬底支撑件107、外周排风口427、节流装置433、排放口429和排放泵431而言,系统500基本上等同于图3A的系统400。但是,系统500包括如前面参照图3A所讨论的在整个顶板组件407上设置的第一和第二多个等离子体微室101/102的变形例。具体而言,系统500不是包括第一和第二等离子体微室101/102以将其各自的反应性成分供应到顶板组件407中的等离子体端口的许多情况,而是包括被限定为产生第一等离子体101A并将第一等离子体101A的反应性成分供应至在顶板组件407内的第一多个等离子体端口中的每一个的大的第一等离子体室501。类似地,系统500包括被限定为产生第二等离子体102A并将第二等离子体102A的反应性成分供应至在顶板组件407内的第二多个等离子体端口中的每一个的大的第二等离子体室502。
在一个实施方式中,系统500包括提供第一等离子体101A的反应性成分到处理区域106的单个第一等离子体室501的实例。另外,在本实施方式中,系统500包括提供第二等离子体102A的反应性成分到处理区域106的单个第二等离子体室501的实例。在其它实施方式中,系统500可以包括提供第一等离子体101A的反应性成分到处理区域106的一个以上的第一等离子体室501的实例,其中,第一等离子体室501的每个实例流体连接至顶板组件407内的多个等离子体端口。同样,在其它实施方式中,系统500可以包括提供第二等离子体102A的反应性成分到处理区域106的一个以上的第二等离子体室502的实例,其中,第二等离子体室502的每个实例流体连接至顶板组件407内的多个等离子体端口。
此外,应当理解,前面参照图2A-2D中的第一等离子体室101所讨论的特性和操作条件也同样适用于第一等离子体室501。此外,应当理解,前面参照图2A-2D中的第二等离子体室102所讨论的特性和操作条件也同样适用于第二等离子体室502。
流体连接到第一等离子体室501的顶板组件407内的等离子体端口在整个顶板组件407以基本均匀的方式与顶板组件407内的流体连接到第二等离子体室502的等离子体端口穿插。图4B根据本发明的一个实施方式示出了涉及图4A的B-B截线的水平横截面视图B-B。如图4B中所示,第一和第二等离子体室501/502的输出口在整个顶板组件407以基本均匀的方式彼此穿插。
应当认识到,在不同的实施方式中,可以改变在整个顶板组件407的与第一和第二等离子体室501/502相关联的等离子体端口之间的间距。图4C为根据本发明的一实施方式示出的图4B的水平横截面视图的一种变形例,其中在整个顶板组件407,在与第一和第二等离子室501/502相关联的等离子体端口之间的间距被减小了。图4D为根据本发明的一实施方式示出的图4B的水平横截面视图的一种变形例,其中在整个顶板组件407,在与第一和第二等离子室501/502相关联的等离子体端口之间的间距被增大了。图4E为根据本发明的一实施方式示出的图4B的水平横截面视图的一种变形例,其中在整个顶板组件407,在与第一和第二等离子室相关联的等离子体端口之间的间距是不均匀的。
在一个实施方式中,第一等离子体室501主要负责供应自由基成分至处理区域106,第二等离子体室502主要负责供应离子成分至处理区域106。在本实施方式中,大的第一等离子体室501的等离子体产生容积用来供给顶板组件407内的多个自由基成分分配端口。另外,在本实施方式中,大型的第二等离子体室502的等离子体产生容积用来供给顶板组件407内的多个离子成分分配端口。在本实施方式中,多个自由基分配端口和多个离子分配端口互相穿插,以提供处理区域106内的基本均匀的自由基/离子混合物。
系统500还包括被限定为将功率供应到第一等离子体室501的第一功率源103A和被限定为将工艺气体供应到第一等离子体室的第一工艺气体源104A。此外,系统500包括被限定为将功率供应到第二等离子体室502的第二功率源103B和被限定为将工艺气体供应到第二等离子体室502的第二工艺气体源104B。如同系统400一样,在系统500中,或者第一和第二功率源103A/103B是独立可控的,或者第一和第二工艺气体源104A/104B是独立可控的,或者第一和第二功率源103A/103B以及第一和第二工艺气体源104A/104B都是独立可控的。此外,在一个实施方式中,系统500的第一和第二等离子体室501/502被限定为以同步方式或以脉冲方式操作。在以脉冲方式操作时,在给定的时间操作第一等离子体室501或第二等离子体室502,并且以交变序列操作第一和第二等离子体室501/502。
图5A根据本发明的一个实施方式示出了用于衬底的等离子体处理的另一系统600。就室401与衬底支撑件107而言,系统600基本上等同于图3A的系统400。但是,系统600用顶板组件601替代了如前面根据图3A所讨论的顶板组件407,顶板组件601包括形成在排放通道607内的第一组等离子体微室605和第二组等离子体微室603。
系统600包括具有顶部构件401B、底部构件401C、和在顶部和底部构件401B/401C之间延伸的侧壁401A的室401。室401还包括处理区域106。衬底支撑件107设置在室401内,并具有被限定为支撑暴露于处理区域106的衬底105的上表面。顶板组件601被设置在衬底支撑件107上方的室401内。顶板组件601具有暴露于处理区域106并与衬底支撑件107的上表面相对的下表面。
顶板组件601包括第一组等离子体微室605,每个等离子体微室605在顶板组件601的下表面内形成。顶板组件601还包括被设置为使第一工艺气体从第一气体源104A流到第一组等离子体微室605中的每一个的第一气体供给通道611网状系统。第一工艺气体向第一气体供给通道611网状系统的供应情况由图5A中的线611A表示。第一组等离子体微室605中的每一个被连接以接收来自第一功率源103的功率,并且限定为使用所接收到的该功率来将第一工艺气体转变成暴露于处理区域106的第一等离子体。第一功率向第一组等离子体微室605的供应情况也由图5A中的线611A表示。
第一组功率传输部件615分别设置于顶板组件601内围绕第一组等离子体微室605。第一组功率传输部件615中的每一个被连接以接收来自第一功率源103A的第一功率并将第一功率供应至第一组等离子体微室605中的与其相关联的一个。在一个实施方式中,第一组功率传输部件615中的每一个被限定作为线圈,该线圈被设置成围绕第一组等离子体微室605中的给定的一个。然而,应该理解,在其它实施方式中,第一组功率传输部件615可以被限定作为不同于线圈的形式。例如,在一个实施方式中,第一组功率传输部件615中的每一个限定为一个或多个电极,该一个或多个电极被配置和布置成将第一功率传送到第一组等离子体微室605中的与其相关联的一个。
顶板组件601还包括穿过顶板组件601的下表面形成的以从处理区域106去除废气的排放通道607组。每个排放通道607与排放流体输送系统607A(如通道、管道、增压室以及类似物)流体连接,排放流体输送系统607A进而流体连接到排放泵619。操作时,排放泵619施加吸力通过排放流体输送系统607A至排放通道607组,以从处理区域106去除工艺气体。如箭头617所指示的,通过第一组等离子体微室605流入到处理区域106的工艺气体被吸向并被吸入排放通道607。
第二组等离子体微室603分别形成于排放通道607组内。形成第二气体供给通道609网状系统以使第二工艺气体从第二工艺气体源104B流到第二组等离子体微室603中的每一个。第二工艺气体向第二气体供给通道609网状系统的供应情况由图5A中的线609A表示。第二组等离子体微室603中的每一个被连接以接收来自第二功率源103B的功率,并且被限定为使用所接收到的该功率将第二工艺气体转变成暴露于处理区域106的第二等离子体。第二功率向第二组等离子体微室603的供应情况也由图5A中的线609A表示。
第二组功率传输部件613分别设置于所述顶板组件601内围绕第二组等离子体微室603。第二组功率传输部件613中的每一个被连接以接收来自所述第二功率源103B的第二功率,并将第二功率供应到第二组等离子体微室603中的与其相关联的一个。在一个实施方式中,第二组功率传输部件613中的每一个被限定作为线圈,该线圈被设置为围绕第二组等离子体微室603中的给定的一个。然而,应该理解,在其它实施方式中,第二组功率传输部件613可以被限定作为不同于线圈的形式。例如,在一个实施方式中,第二组功率传输部件613中的每一个被限定作为一个或多个电极,该一个或多个电极被配置和布置为将第二功率传送到第二组等离子体微室603中的与其相关联的一个。
在衬底支撑件107内的电极112被限定为施加跨越在衬底支撑件107和顶板组件601的下表面之间的处理区域106的偏置电压。通过第二气体供给通道609网状系统流入第二组等离子体微室603(即,流入排放通道607)的工艺气体被吸拉远离处理区域106并且不进入处理区域106。因此,由于第二组等离子体微室603形成在排放通道607内,在第二组等离子体微室603内形成的自由基将沿着排放气体流路通过排放通道607。然而,第二组等离子体微室603内形成的离子将被由电极112施加的跨越处理区域106的偏置电压吸拉进入处理区域106。以这种方式,第二组等离子体微室603也可作为处理区域106的基本上纯的离子源操作。
应当理解,第一组等离子体微室605与第二组等离子体微室603在整个顶板组件601的下表面上以基本均匀的方式穿插。以这种方式,来自第一组等离子体微室605的反应性自由基成分可以与来自第二组等离子体微室603的离子成分在到达衬底105前以基本均匀的方式在处理区域106内混合。图5B根据本发明的一个实施方式示出涉及图5A中的截线C-C的水平横截面视图C-C。正如图5B中所示,第一组和第二组等离子体微室603/605以基本均匀的方式分布在整个顶板组件601的下表面。
应当认识到,在不同的实施方式中,在整个顶板组件601的下表面,在第一和第二组等离子体微室605/603之间的间距是可以变化的。图5C为根据本发明的一实施方式示出的图5B的水平截面视图的变形例,其中,在整个顶板组件601的下表面,在第一和第二组等离子体微室605/603之间的间距被减小了。图5D为根据本发明的一实施方式示出的图5B的水平截面视图的变形例,其中,在整个顶板组件601的下表面,在第一和第二组等离子体微室605/603之间的间距被增大了。图5E为根据本发明的一实施方式示出的图5B的水平截面视图的变形例,其中,在整个顶板组件601的下表面,在第一和第二组等离子体微室605/603之间的间距是不均匀的。
如同图2A-2G、3A-3E、4A-4E的实施方式,在图5A-5E的实施方式中,第一和第二功率源103A/103B以及第一和第二工艺气体源104A/104B可以通过各种方式控制。在一种实施方式中,第一和第二功率源103A/103B是独立可控的。在一种实施方式中,第一和第二工艺气体源104A/104B是独立可控的。在另一种实施方式中,第一和第二功率源103A/103B以及第一和第二工艺气体源104A/104B都是独立可控的。在下文中,应当理解,第一和第二组等离子体微室605/603被限定为以同步方式或以脉冲方式操作。在以脉冲方式操作时,在给定的时间操作第一组等离子体微室605或第二组等离子体微室603,并且以交变序列操作第一和第二组等离子体微室605/603。
对于图5A的实施方式,应该理解,使等离子体能脱离产生区域(例如,双极性扩散)的驱动器可以被制成与通过逆转工艺气体流向使自由基能逃逸到等离子体区域的驱动器相对。添加对离子源(即对第二组等离子体微室603)的顶部抽吸有利于更有效的离子引出(更宽的开口)以及来自等离子体源本身的更大的离子/中性粒子通量比。此外,应该理解,在一个实施方式中,图5A的室401可以进一步配备外周排风口427、节流装置433、排放口429和排放泵431,如前面根据图3A和图4A的实施方式所描述的,使得除了有通过排放通道607的顶部排放流外,还能有外周的排放流。
在本文所公开的各种实施方式中,就气体流量、气体压强、功率频率、功率幅度、开通时间、断开时间和时序而言,不同的离子和自由基等离子体源可以是进行过程控制的。此外,不同类型的等离子体源可脉冲,以减轻相邻的等离子体源之间的流通。这两种不同类型的等离子体源也可以使用不同的气体混合物操作,以实现来自一个等离子体源的较高离子通量和来自其它的等离子体源的较高自由基通量的条件。对于混合的离子和自由基等离子体源的阵列,在一个实施方式中,每个等离子体源可以被连接到它自己的独立受控的功率源和气体源。此外,在另一个实施方式中,混合阵列中所有的离子等离子体源可以被连接到共同的气体源以及共同的功率源,且混合阵列中的所有自由基等离子体源可以被连接到另一共同的气体源以及另一共同的功率源。
在一个实施方式中,图5A的系统600表示具有板组件601的半导体衬底处理系统,板组件601具有暴露于等离子体处理区域601的处理侧表面。板组件601包括通过板组件601的侧表面形成的排放通道607,以实现对等离子体处理区域601的排放气体的去除。在排放通道内形成等离子体微室603。穿过板组件601形成气体供给通道609以使工艺气体流入排放通道607中的等离子体微室603。在板组件601内形成功率传输部件613以将功率输送给等离子体微室区603,以便在排放通道607中的等离子体微室603内将工艺气体转变成等离子体。
在一个实施方式中,供应到功率传输部件613的功率是DC功率、RF功率、或DC功率和RF功率的组合。在一个实施方式中,供应到功率传输部件613的功率是具有2MHz、27MHz、60MHz、或400kHz的频率的RF功率。在一个实施方式中,功率传输部件613被限定为是形成在板组件601内的线圈,该线圈包绕排放通道607内的等离子体微室603。
系统600还包括设置在板组件601外的电极112,电极112在通电时会导致离子从排放通道607内的等离子体微室603被吸引进入等离子体处理区域106。在一个实施方式中,电极112被设置在衬底支撑件107内,衬底支撑件107被配置为支撑暴露于等离子体处理区域106的衬底105。此外,在一个实施方式中,排放通道607被限定为沿基本上垂直于并远离衬底支撑件107的表面的方向从处理区域106去除气体,衬底105将被支撑在衬底支撑件107上。
图6根据本发明的一个实施方式示出了用于处理半导体衬底的方法的流程图。该方法包括用于将衬底105放置在暴露于处理区域106的衬底支撑件107上的操作701。该方法还包括用于产生第一等离子体类型的第一等离子体101A的操作703。该方法还包括用于产生与第一等离子体类型不同的第二等离子体类型的第二等离子体102A的操作705。该方法还包括用于将第一和第二等离子体101A/102A两者的反应性成分l08A/108B都供应到处理区域106以影响衬底105的处理的操作707。
该方法还包括使用第一功率和第一工艺气体以产生第一等离子体101A以及使用第二功率和第二工艺气体以产生第二等离子体102A的操作。在一个实施方式中,该方法包括用于独立地控制第一和第二功率、第一和第二工艺气体、或第一和第二功率以及第一和第二工艺气体两者的操作。另外,在一个实施方式中,第一功率是DC功率、RF功率、或DC功率与RF功率的组合,并且第二功率是DC功率、RF功率、或DC功率与RF功率的组合。在一个示例性实施方式中,第一功率是具有2MHz、27MHz、60MHz、或400kHz的第一频率的RF功率,并且第二功率是具有2MHz、27MHz、60MHz、或400kHz的第二频率的RF功率,第二频率不同于第一频率。
在该方法中,产生第一等离子体101A使其具有离子密度与自由基密度的第一比率,和产生第二等离子体102A使其具有离子密度与自由基密度的第二比率。在第二等离子体102A中的离子密度与自由基密度的第二比率不同于在第一等离子体101A中的离子密度与自由基密度的第一比率。在该方法中,以基本均匀的方式将来自第一和第二等离子体101A/102A两者的反应性成分供应到暴露于衬底105的整个处理区域106。此外,在各个实施方式中,以同步方式或者以脉冲方式产生和供应第一和第二等离子体101A/102A的反应性成分。以脉冲方式进行的第一和第二等离子体101A/102A的产生和供应包括在给定的时间并以交变序列产生和供应第一等离子体101A或第二等离子体102A的反应性成分。
该方法还可以包括用于产生补充电子以增强从第一和第二等离子体101A/102A中的一者或两者进入处理区域106的离子引出的操作,如参照图2D所描述的。此外,该方法可以包括从衬底支撑件107跨越处理区域106施加偏压以便将离子从第一和第二等离子体101A/102A中的一者或两者朝向衬底105吸引的操作,如本文参照电极112的操作所描述的。
另外,在一个实施方式中,该方法可以包括:用于在第一端口和第二端口之间设置挡板构件109的操作,第一等离子体101A中的反应性成分通过第一端口供应到处理区域106,第二等离子体102A中的反应性成分通过第二端口供应到处理区域106。在本实施方式中,所述方法还可以包括用于控制挡板构件109相对于衬底支撑件107的位置的操作,以便限制第一和第二端口之间的流体连通和功率连通中的一者或两者,第一和第二等离子体101A/102A中的反应性成分通过第一和第二端口被发送到处理区域106。
图7根据本发明的一个实施方式示出了用于处理半导体衬底的方法的流程图。该方法包括:将衬底105放置在暴露于处理区域106的衬底支撑件107上的操作801。该方法还包括:用于操作暴露于处理区域106的第一组等离子体微室605从而使第一组等离子体微室605中的每一个微室产生第一等离子体并将第一等离子体中的反应性成分供应到处理区域106的操作803。第一组等离子体微室605位于处理区域106上方与衬底支撑件107相对。该方法还包括:用于操作暴露于处理区域106的第二组等离子体微室603从而使第二组等离子体微室603中的每一个微室产生第二等离子体并将第二等离子体中的反应性成分供应到处理区域106的操作805。第二等离子体不同于第一等离子体。另外,第二组等离子体微室603位于处理区域106上方与衬底支撑件107相对,并以基本均匀的方式穿插在第一组等离子体微室605中。
该方法进一步包括用于以下目的的操作:供应第一功率到第一组等离子体微室605;供应第一工艺气体到第一组等离子体微室605;供应第二功率到第二组等离子体微室603;以及供应第二工艺气体到第二组等离子体微室603。在各种实施方式中,该方法包括用于独立地控制第一和第二功率、或第一和第二工艺气体、或第一和第二功率以及第一和第二工艺气体两者的操作。在一个实施方式中,第一功率是DC功率、RF功率、或DC功率与RF功率的组合,并且第二功率是DC功率、RF功率、或DC功率与RF功率的组合。在一个示例性实施方式中,第一功率是具有2MHz、27MHz、60MHz、或400kHz的第一频率的RF功率,并且第二功率是具有2MHz、27MHz、60MHz、或400kHz的第二频率的RF功率,第二频率不同于第一频率。
该方法进一步包括用于通过排放通道607组从处理区域106去除排放气体的操作,排放通道607组被限定为沿基本上垂直于并远离衬底支撑件107的上表面的方向从处理区域106去除气体,衬底105被放置在衬底支撑件107上。在一个实施方式中,第二组等离子体微室603相应地被限定在排放通道607组内。
该方法还包括:操作第一组等离子体微室605,以产生第一等离子体,其具有离子密度与自由基密度的第一比率,和操作第二组等离子体微室603以产生第二等离子体,其具有离子密度与自由基密度的第二比率,在第二等离子体中的离子密度与自由基密度的第二比率不同于在第一等离子体中的离子密度与自由基密度的第一比率。此外,在该方法中,第二组等离子体微室603分别被限定在排放通道607组内,第一等离子体其自由基密度比离子密度较高,而第二等离子体其离子密度比自由基密度较高。
在一个实施方式中,该方法包括以同步方式进行的第一和第二组等离子体微室605/603的操作。在另一个实施方式中,第一和第二组等离子体微室605/603以脉冲方式操作,其中在给定的时间操作第一组等离子体微室605或第二组等离子体微室603,并且其中以交变序列操作第一和第二组等离子体微室605/603。此外,该方法可以包括施加从衬底支撑件107跨越处理区域106的偏压以便将离子从在第一和第二组等离子体微室605/603内产生的第一和第二等离子体中的一者或两者朝向衬底105吸引的操作,如本文参照电极112的操作所描述的。
虽然已经以若干实施方式的形式对本发明进行了描述,但要知道的是,本领域技术人员在阅读前面的说明书和研究附图的基础上会想到本发明的各种变化方案、添加方案、置换方案和等同方案。因此,本发明意图在于包括落在本发明的真实主旨和范围内的所有这样的变化方案、添加方案、置换方案和等同方案。

Claims (20)

1.一种半导体衬底处理系统,其包括:
衬底支撑件,其被限定来支撑暴露于处理区域的衬底;
第一等离子体室,其被限定为在所述第一等离子体室的内部区域产生第一等离子体,并将所述第一等离子体的反应性成分从所述第一等离子体室的内部区域供应到所述处理区域,所述第一等离子体室包括位于所述第一等离子体室的内部区域内的上表面上的第一上电极,所述第一上电极包括至少一个孔,所述孔使得第一处理气体和所述第一等离子体室的内部区域能够流体连通;所述第一等离子体室包括位于所述第一等离子体室的内部区域内的下表面上的第一下电极,所述第一下电极包括至少一个孔,所述孔使得来自所述第一等离子体室的内部区域的第一等离子体的反应性成分能够通过到达所述处理区域,所述第一上电极和所述第一下电极被所述第一等离子体室的内部区域相互隔开;
第二等离子体室,其被限定为在所述第二等离子体室的内部区域产生第二等离子体,并将所述第二等离子体的反应性成分从所述第二等离子体室的内部区域供应到所述处理区域,所述第二等离子体室包括位于所述第二等离子体室的内部区域内的上表面上的第二上电极,所述第二上电极包括至少一个孔,所述孔使得第二处理气体和所述第二等离子体室的内部区域能够流体连通;所述第二等离子体室包括位于所述第二等离子体室的内部区域内的下表面上的第二下电极,所述第二下电极包括至少一个孔,所述孔使得来自所述第二等离子体室的内部区域的第二等离子体的反应性成分能够通过到达所述处理区域,所述第二上电极和所述第二下电极与所述衬底支撑件平行,所述第二上电极和所述第二下电极被所述第二等离子体的内部区域相互隔开;
其中,所述第一和第二等离子体室被限定为是独立受控的;
排放通道,其形成在所述第一和第二等离子体室之间;
挡板构件,其被设置在所述第一和第二等离子体室之间的所述排放通道内并与所述第一和第二等离子体室隔开,所述挡板构件配置为可在朝向所述衬底支撑件的第一方向和远离所述衬底支撑件的第二方向移动,所述挡板构件配置为可在所述第一方向和所述第二方向移动而所述第一和第二等离子体室没有相应移动,所述挡板构件设置成尺寸小于所述排放通道,以便使排放物流过所述挡板构件与所述第一和第二等离子体室每一个之间的排放通道。
2.根据权利要求1所述的半导体衬底处理系统,其还包括:
第一功率源,其被限定为将第一功率供应到所述第一等离子体室内的所述第一上电极和所述第一下电极;
第一工艺气体源,其被限定为将第一工艺气体供应到所述第一等离子体室的内部区域;
第二功率源,其被限定为将第二功率供应到所述第二等离子体室内的所述第二上电极和所述第二下电极;以及
第二工艺气体源,其被限定为将第二工艺气体供应到所述第二等离子体室的内部区域。
3.根据权利要求2所述的半导体衬底处理系统,其中,或所述第一和第二功率源是能独立控制的,或所述第一和第二工艺气体源是能独立控制的,或所述第一和第二功率源以及所述第一和第二工艺气体源都是能独立控制的。
4.根据权利要求2所述的半导体衬底处理系统,其中,所述第一功率是直流功率、射频功率、或直流功率和射频功率的组合,且其中,所述第二功率是直流功率、射频功率、或直流功率和射频功率的组合。
5.根据权利要求1所述的半导体衬底处理系统,其中,所述第一和第二等离子体室被限定为以同步方式或以脉冲方式操作,其中,所述脉冲方式包括所述第一等离子体室或所述第二等离子体室在给定的时间并以交变序列操作。
6.根据权利要求1所述的半导体衬底处理系统,其中,所述衬底支撑件被限定为沿垂直于所述衬底支撑件的上表面的方向是能移动的,所述衬底将被支撑在所述衬底支撑件上。
7.根据权利要求1所述的半导体衬底处理系统,其中,所述第一和第二等离子体室中的一者或两者被限定为具有能通电的等离子体出口区域,该能通电的等离子体出口区域被限定为提供补充电子的产生以增强离子引出。
8.根据权利要求2所述的半导体衬底处理系统,其中,所述衬底支撑件包括被限定为施加跨越在所述衬底支撑件与所述第一和第二等离子体室之间的所述处理区域的偏置电压的电极。
9.根据权利要求1所述的半导体衬底处理系统,其中所述挡板构件由介电材料形成以减少所述第一和第二等离子体室之间的功率连通。
10.根据权利要求1所述的半导体衬底处理系统,其中所述排放通道以沿垂直于所述衬底支撑件的上表面的方向延伸远离所述处理区域,所述衬底将被支撑在所述衬底支撑件上。
11.根据权利要求10所述的半导体衬底处理系统,其中,所述挡板构件被限定为减少所述第一和第二等离子体室之间的流体连通。
12.一种用于处理半导体衬底的方法,其包括:
将衬底放置在暴露于处理区域的衬底支撑件上;
在第一等离子体室的内部区域产生第一等离子体类型的第一等离子体,所述第一等离子体室包括位于所述第一等离子体室的内部区域内的上表面上的第一上电极,所述第一上电极包括至少一个孔,所述孔使得第一处理气体和所述第一等离子体室的内部区域能够流体连通;所述第一等离子体室包括位于所述第一等离子体室的内部区域内的下表面上的第一下电极,所述第一下电极包括至少一个孔,所述孔使得来自所述第一等离子体室的内部区域的第一等离子体的反应性成分能够通过到达所述处理区域,所述第一上电极和所述第一下电极被所述第一等离子体室的内部区域相互隔开;
在第二等离子体室的内部区域产生与所述第一等离子体类型不同的第二等离子体类型的第二等离子体,所述第二等离子体室包括位于所述第二等离子体室的内部区域内的上表面上的第二上电极,所述第二上电极包括至少一个孔,所述孔使得第二处理气体和所述第二等离子体室的内部区域能够流体连通;所述第二等离子体室包括位于所述第二等离子体室的内部区域内的下表面上的第二下电极,所述第二下电极包括至少一个孔,所述孔使得来自所述第二等离子体室的内部区域的第二等离子体的反应性成分能够通过到达所述处理区域,所述第二上电极和所述第二下电极与所述衬底支撑件平行,所述第二上电极和所述第二下电极被所述第二等离子体的内部区域相互隔开;
将所述第一和第二等离子体两者的反应性成分都供应到所述处理区域以影响所述衬底的处理;
在所述第一和第二等离子体室之间的排放通道内放置挡板构件,所述挡板构件设置成尺寸小于所述排放通道,以便提供从所述处理区域流过所述挡板构件与所述第一和第二等离子体室每一个之间的排放通道的排放物流;以及
在朝向所述衬底支撑件的第一方向移动所述挡板构件而所述第一和第二等离子体室没有相应移动,或者在远离所述衬底支撑件的第二方向移动所述挡板构件而所述第一和第二等离子体室没有相应移动。
13.根据权利要求12所述的用于处理半导体衬底的方法,其中,产生所述第一等离子体使其具有离子密度与自由基密度的第一比率,并且其中,产生所述第二等离子体使其具有离子密度与自由基密度的第二比率,在所述第二等离子体中的离子密度与自由基密度的所述第二比率不同于在所述第一等离子体中的离子密度与自由基密度的所述第一比率。
14.根据权利要求12所述的用于处理半导体衬底的方法,其还包括:
使用第一功率和第一工艺气体以产生所述第一等离子体;以及
使用第二功率和第二工艺气体以产生所述第二等离子体。
15.根据权利要求14所述的用于处理半导体衬底的方法,其还包括:
独立地控制或所述第一和第二功率,或所述第一和第二工艺气体,或所述第一和第二功率以及所述第一和第二工艺气体两者。
16.根据权利要求14所述的用于处理半导体衬底的方法,其中,所述第一功率是直流功率、射频功率、或直流功率和射频功率的组合,且其中,所述第二功率是直流功率、射频功率、或直流功率和射频功率的组合。
17.根据权利要求12所述的用于处理半导体衬底的方法,其中,将来自所述第一和第二等离子体的反应性成分以均匀的方式供应在暴露于所述衬底的整个所述处理区域。
18.根据权利要求12所述的用于处理半导体衬底的方法,其中,使来自所述第一和第二等离子体的反应性成分以同步方式或以脉冲方式产生和供应,其中,所述脉冲方式包括在给定的时间并以交变序列产生和供应所述第一等离子体或所述第二等离子体的反应性成分。
19.根据权利要求12述的用于处理半导体衬底的方法,其还包括:
产生补充电子以使从所述第一和第二等离子体中的一者或两者到所述处理区域中的离子引出增强。
20.根据权利要求12所述的用于处理半导体衬底的方法,其还包括:
施加从所述衬底支撑件跨越所述处理区域的偏置电压,以将离子从所述第一和第二等离子体中的一者或两者朝所述衬底吸引。
CN201280022675.5A 2011-05-10 2012-05-07 具有多个解耦等离子体源的半导体处理系统 Active CN103748665B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US13/104,923 2011-05-10
US13/104,923 US8900402B2 (en) 2011-05-10 2011-05-10 Semiconductor processing system having multiple decoupled plasma sources
US13/104,925 US8900403B2 (en) 2011-05-10 2011-05-10 Semiconductor processing system having multiple decoupled plasma sources
US13/104,925 2011-05-10
PCT/US2012/036762 WO2012154666A1 (en) 2011-05-10 2012-05-07 Semiconductor processing system having multiple decoupled plasma sources

Publications (2)

Publication Number Publication Date
CN103748665A CN103748665A (zh) 2014-04-23
CN103748665B true CN103748665B (zh) 2016-11-02

Family

ID=48137596

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201280022675.5A Active CN103748665B (zh) 2011-05-10 2012-05-07 具有多个解耦等离子体源的半导体处理系统

Country Status (4)

Country Link
KR (1) KR101947844B1 (zh)
CN (1) CN103748665B (zh)
SG (1) SG193614A1 (zh)
TW (1) TWI579911B (zh)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6584786B2 (ja) * 2015-02-13 2019-10-02 株式会社日立ハイテクノロジーズ プラズマイオン源および荷電粒子ビーム装置
US20170092470A1 (en) 2015-09-28 2017-03-30 Applied Materials, Inc. Plasma reactor for processing a workpiece with an array of plasma point sources
TWI713799B (zh) * 2016-11-15 2020-12-21 美商應用材料股份有限公司 用於移動基板之完整電漿覆蓋的動態相控陣列電漿源
CN108668422B (zh) * 2017-03-30 2021-06-08 北京北方华创微电子装备有限公司 一种等离子体产生腔室和等离子体处理装置
EP3686916B1 (en) * 2019-01-25 2021-03-03 Ining s.r.o. Gasification device and plasma shutter with slowing system of the gasification device
KR102610445B1 (ko) * 2020-12-08 2023-12-05 세메스 주식회사 플라즈마를 이용한 기판 처리 장치 및 방법

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6127275A (en) * 1995-12-04 2000-10-03 Daniel L. Flamm Process depending on plasma discharges sustained by inductive coupling
CN101378003A (zh) * 2007-08-29 2009-03-04 朗姆研究公司 用于等离子处理装置的交替气体输送和排空系统

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
AU2001224729A1 (en) * 2000-01-10 2001-07-24 Tokyo Electron Limited Segmented electrode assembly and method for plasma processing
US6777352B2 (en) 2002-02-11 2004-08-17 Applied Materials, Inc. Variable flow deposition apparatus and method in semiconductor substrate processing
US9184043B2 (en) * 2006-05-24 2015-11-10 Lam Research Corporation Edge electrodes with dielectric covers
US20070281105A1 (en) * 2006-06-02 2007-12-06 Nima Mokhlesi Atomic Layer Deposition of Oxides Using Krypton as an Ion Generating Feeding Gas
JP4900956B2 (ja) * 2007-06-25 2012-03-21 東京エレクトロン株式会社 ガス供給機構及び基板処理装置
JP4585574B2 (ja) * 2008-02-26 2010-11-24 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
KR101338629B1 (ko) * 2009-01-14 2013-12-06 가부시키가이샤 아루박 플라스마 cvd 장치
CN103597119B (zh) * 2009-07-08 2017-03-08 艾克斯特朗欧洲公司 用于等离子体处理的装置和方法

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6127275A (en) * 1995-12-04 2000-10-03 Daniel L. Flamm Process depending on plasma discharges sustained by inductive coupling
CN101378003A (zh) * 2007-08-29 2009-03-04 朗姆研究公司 用于等离子处理装置的交替气体输送和排空系统

Also Published As

Publication number Publication date
TWI579911B (zh) 2017-04-21
CN103748665A (zh) 2014-04-23
SG193614A1 (en) 2013-10-30
TW201301388A (zh) 2013-01-01
KR101947844B1 (ko) 2019-02-13
KR20140036224A (ko) 2014-03-25

Similar Documents

Publication Publication Date Title
US20180240686A1 (en) Semiconductor Processing System Having Multiple Decoupled Plasma Sources
CN103748665B (zh) 具有多个解耦等离子体源的半导体处理系统
US8900402B2 (en) Semiconductor processing system having multiple decoupled plasma sources
CN106992107B (zh) 频率调制射频电源以控制等离子体不稳定性的系统和方法
TWI720010B (zh) 利用二次電漿佈植的電漿蝕刻系統及方法
CN202285227U (zh) 一种用作电容耦合等离子体处理室的部件的限定环
CN105719932B (zh) 用于中性粒子/离子流通量控制的双等离子体容积处理装置
EP1230665B1 (en) Plasma processing system with dynamic gas distribution control
US9111728B2 (en) E-beam enhanced decoupled source for semiconductor processing
CN102376521B (zh) 等离子体处理装置和等离子体控制方法
US9177756B2 (en) E-beam enhanced decoupled source for semiconductor processing
EP2991103A1 (en) Etching method
TW202324491A (zh) 電漿處理方法及電漿處理裝置
TWI773738B (zh) 具複數氣體注入區的電漿剝離器具
US20120258607A1 (en) E-Beam Enhanced Decoupled Source for Semiconductor Processing
US20140361102A1 (en) Gas supply method for semiconductor manufacturing apparatus, gas supply system, and semiconductor manufacturing apparatus
CN103620729A (zh) 用于半导体处理的电子束增强解耦源
CN106653551B (zh) 独立控制自由基密度、离子密度和离子能量的方法和系统
WO2013090056A1 (en) Semiconductor processing system with source for decoupled ion and radical control
TW200948219A (en) Plasma processing apparatus and method
TW202209401A (zh) 用於表面處理製程之脈衝式感應耦合電漿的方法及設備
WO2012142038A1 (en) E-beam enhanced decoupled source for semiconductor processing
KR102247468B1 (ko) 지지 유닛, 이를 포함하는 기판 처리 장치 및 지지 유닛 제조 방법
US20220208514A1 (en) Grid Assembly for Plasma Processing Apparatus
TW202247235A (zh) 電漿處理裝置及電漿處理方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant