JP2010538498A - 蒸気に基づく組合せ処理 - Google Patents

蒸気に基づく組合せ処理 Download PDF

Info

Publication number
JP2010538498A
JP2010538498A JP2010524154A JP2010524154A JP2010538498A JP 2010538498 A JP2010538498 A JP 2010538498A JP 2010524154 A JP2010524154 A JP 2010524154A JP 2010524154 A JP2010524154 A JP 2010524154A JP 2010538498 A JP2010538498 A JP 2010538498A
Authority
JP
Japan
Prior art keywords
fluid
substrate
different
separation
processing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2010524154A
Other languages
English (en)
Other versions
JP5535913B2 (ja
Inventor
チアン,トニー,ピー.
シャンカー,スニル
ラング,チ−イ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Intermolecular Inc
Original Assignee
Intermolecular Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intermolecular Inc filed Critical Intermolecular Inc
Publication of JP2010538498A publication Critical patent/JP2010538498A/ja
Application granted granted Critical
Publication of JP5535913B2 publication Critical patent/JP5535913B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction

Landscapes

  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

組合せ処理チャンバおよび方法が提供される。その方法においては、流体ボリュームが基板の表面上を流れ、基板の分離領域が、隣接する領域が曝される構成成分とは異なる構成成分の混合物に同時に曝されるようにするために、流体ボリュームの異なる部分が、異なる構成成分を有する。基板の表面上に流体ボリュームを複数回流すことにより、異なる方法で処理された分離領域が生成される。
【選択図】図8B

Description

本発明は、半導体処理に関する。より詳細には、本発明は、組合せ膜蒸着(combinatorial film deposition)および基板上の一体化を容易にする位置分離または位置単離型(site-isolated)の蒸気に基づく処理の方法および処理システムに関するものである。
化学気相蒸着(CVD)は、半導体製造に一般に使用される蒸気に基づく蒸着プロセスであり、このプロセスは、それに限定される訳ではないが、誘電体層、導電層、半導電層、ライナ、バリア、接着層、シード層、応力層およびフィル層の形成を含む。CVDは、一般に、熱によるプロセスであり、それにより、1または複数の前駆体フラックスを予め混合して、蒸着される基板表面に一致させる。CVDは、所望の膜物質特性および厚みの均一性を得るために、基板温度と流入する1または複数の前駆体フラックスの制御を必要とする。CVDに基づくプロセスの派生法には、それに限定される訳ではないが、プラズマ化学気相蒸着(PECVD)、高密度プラズマ化学気相蒸着(HDP−CVD)、サブアトモスフェリック化学気相蒸着(SACVD)、レーザ使用/誘導CVDおよびイオン使用/誘導CVDが含まれる。
デバイス形状が収縮してそれに付随して膜の厚さが減少すると、蒸着層の制御の改善の必要性が増大する。原子層蒸着(ALD)として知られる逐次蒸着法は、優れたステップカバレッジ、物質特性、膜厚の制御を可能にするCVDの改良型である。ALDは、少なくとも2の前駆体または試薬を使用することを含むマルチステップの自己制御式プロセスである。一般に、第1前駆体(または試薬)は、基板を収容する処理チャンバ内に導入されて、基板の表面に吸着される。余剰な第1前駆体は、パージおよび/またはポンプ排出される。その後、第2前駆体(または試薬)が、上記チャンバ内に導入されて、最初に吸着した層と反応し、それにより、蒸着反応を介して蒸着層が形成される。蒸着反応は、自己制御式であり、最初に吸着された層が第2前駆体により消滅したら、反応が終了する。余剰な第2前駆体は、パージおよび/またはポンプ排出される。前述したステップは、1の蒸着またはALD“サイクル”を構成する。次の層を形成するために、上記プロセスが繰り返され、そのサイクル数により蒸着膜の全厚さが決まることとなる。異なる物質組成からなるナノコンポジットを生成するために、異なるセットの前駆体を選択することもできる。ALDの派生法には、それに限定される訳ではないが、プラズマ原子層蒸着(PEALD)、ラジカル使用/強化ALD、レーザ使用/誘導ALDおよびイオン使用/誘導ALDが含まれる。
現在のところ、CVDおよびALDのような従来の蒸気に基づくプロセスは、全ウエハに亘って均一に処理されるように設計されている。また、それらCVDおよびALDプロセスは、プロセス/デバイスフローに組み込まれる必要がある。均一な処理により、基板毎のデータが少なくなって、様々なデータを蓄積するのにより長い時間を要するとともに、かかるデータを取得するのにより高いコストが伴う。
新規なALDおよびCVD膜のための発見、最適化および適格性確認プロセスの一部として、本発明は、1または複数の物質、1または複数の処理条件、1または複数の処理条件のシーケンス、1または複数のプロセスのシーケンスおよびそれらの組合せ毎に、同等数のモノリシック基板を使用する必要性が生じることなく、i)1より多い物質、ii)1より多いプロセス条件、iii)1より多いシーケンスの処理条件、iv)単一のモノリシック基板上の1より多いプロセスシーケンスの一体化フローを試験することを可能にする。これは、速度を大幅に改善するとともに、新規なALDおよびCVDに基づく、1または複数の物質、1または複数のプロセスおよび製造に必要な1または複数のプロセス統合シーケンスの発見、実施、最適化および適格性確認に伴うコストを低減することができる。本発明は、流体ボリューム(fluid volume)の構成成分の変化を通じた組合せ方法で基板を処理するシステム、構成要素および方法を提供するものである。
本発明は、添付図面との併用により、以下の詳細な説明から容易に理解されるであろう。同様の符号は同様の構成要素を示している。
図1は、本発明の一実施形態に係るシステムの詳細断面図である。 図2は、図1に示すシステムにおける処理流体の流れを示す概略図である。 図3は、図1に示す半導体処理システムで用いられる第1実施形態に係るシャワーヘッドアセンブリのボトムアップ式の分解斜視図である。 図4は、図3に示す本発明に係るシャワーヘッドアセンブリのトップダウン式の分解斜視図である。 図5は、図3および図4に示すシャワーヘッドのマニホールド本体のトップダウン式の図である。 図6は、本発明の一実施形態に係る、図1に示す処理チャンバの流体供給システムの平面図である。 図7は、図6に示す流体供給システムの動作と、その結果としてもたらされる、図3、図4および図5に示すシャワーヘッドから流出する処理流体の分布とを示すグラフである。 図8Aは、本発明に係る、図1の処理領域に配置される基板の表面上における処理流体の動きを示すトップダウン式の平面図である。 図8Bは、本発明の一実施形態に係る、種の分離がウエハ表面の分離セクタを規定できるようにする軸対称のセグメント化ガスフローのフローベクトルを示す概略図である。 図9は、本発明の第1の代替実施形態に係る、図1に示すシステムの詳細断面図である。 図10は、本発明の第2の代替実施形態に係る、図1に示すシステムの詳細断面図である。 図11Aは、本発明の代替実施形態に係る、図1に示す処理チャンバの流体供給システムの平面図である。 図11Bは、図8Aおよび図8Bの基板に関連するときの、図11Aに示す流体供給システムの動作を示すグラフである。 図12は、本発明の代替実施形態に係る、図4に示すマニホールド本体の断面図である。 図13は、本発明の代替実施形態に係る、図3および図4に示すマニホールド本体のトップダウン式の図である。 図13−1は、本発明の一実施形態に係る、図13のシャワーヘッドの例示的な実施形態を示している。 図13−2は、本発明の一実施形態に係る、図13のシャワーヘッドの例示的な実施形態を示している。 図13−3は、本発明の一実施形態に係る、図13のシャワーヘッドの例示的な実施形態を示している。 図13−4は、本発明の一実施形態に係る、図13のシャワーヘッドの例示的な実施形態を示している。 図14は、本発明の代替実施形態に係る、図3、図4、図5および図14に示すマニホールド本体内に形成される注入ポートの詳細図である。 図15Aは、本発明の一実施形態に係る、スクリーニング用の組合せ処理シーケンスから規定される構造を有する基板の概略断面図を示している。 図15Bは、本発明の代替実施形態に係る、上面に形成された物質を有する基板のトップダウン式の図である。 図16は、本発明の一実施形態に係る基板のトップダウン式の図で、基板の領域のセグメント化を示している。 図17は、クラスタツールの概略平面図で、このクラスタツールにおいては、図1、図9および図10に示す処理システムの何れかを包含することが可能となっている。 図18は、本発明のさらに別の実施形態に係る流体制御機構のボトムアップ式の図である。 図19は、図18に示す流体制御機構を含む、基板上に物質を蒸着させるシステムの概略平面図である。 図20は、図19に示すシステムのトップダウン式の図で、ここでは、流体制御機構が取り除かれている。 図21は、本発明の一実施形態に係るゲートスタック構成のためのプロセスシーケンスに対するスクリーニングプロセスの適用例を示している。 図22は、本発明の一実施形態に係るゲートスタック構成のためのプロセスシーケンスに対するスクリーニングプロセスの適用例を示している。 図23は、本発明の一実施形態に係るゲートスタック構成のためのプロセスシーケンスに対するスクリーニングプロセスの適用例を示している。 図24は、本発明の一実施形態に係るメモリ装置用のMIM(金属−絶縁体−金属)構造を評価するためのスクリーニング技術を示している。 図25は、本発明の一実施形態に係るメモリ装置用のMIM構造を評価するためのスクリーニング技術を示している。
本明細書に記載の実施形態は、物質、ユニットプロセスおよびプロセス一体化シーケンスを評価して、半導体製造オペレーションを改善するための方法およびシステムを提供するものである。それらの具体的な細部構成の一部または全部が無くても、本発明を実施できることが、当業者には明らかであると考えられる。他の例では、本発明を不必要に分かり難くするのを避けるために、周知のプロセスオペレーションについては詳細には記載していない。
本明細書に記載の実施形態は、基板の複数の領域における単位製造オペレーション間の相互作用を同時に検討することにより、半導体製造オペレーションの全体的に最適なシーケンスに到達するために、蒸着プロセスシーケンス一体化への組合せ技術の適用を可能にする。特に、多重プロセス条件を同時に用いることができ、それにより、そのような単一製造オペレーションと、この単一製造オペレーション内で利用される構成要素の物質特性とを生じさせて、多重オペレーションを実行するのに必要な時間を最小化することができる。また、全体的に最適なシーケンス順序を、この技術の一部として得ることができる。単位プロセス、単位プロセスパラメータ、並びに最適なシーケンス順序の単位プロセスオペレーションに使用される物質も検討される。
本実施形態は、半導体装置の製造に使用される蒸着プロセスシーケンス全体の一部またはサブセットを分析することができる。プロセスシーケンスは、集積回路(IC)半導体装置、フラットパネルディスプレイ、オプトエレクトロニクス装置、データ記憶装置、電子磁気装置、光磁気装置およびパッケージ装置などの製造に使用されるものであってもよい。プロセスシーケンスのサブセットが分析用に特定されると、特定されたプロセス全体の中のその部分についての物質、単位プロセスおよびプロセスシーケンスを最適化するために、組合せプロセスシーケンス一体化試験が実施される。本明細書に記載のある実施形態の処理中は、構造の形成に、または基板上に既に形成された構造の変更に、蒸着を使用することができる。それら構造は、製造用の基板の製造中に形成される構造と同等のものである。例えば、半導体基板上の構造には、溝、ビアホール、相互接続線、キャッピング層、マスキング層、ダイオード、記憶素子、ゲートスタック、トランジスタ、またはその他任意の連続層、または半導体チップ上に見られる構造を形成する単位プロセスが含まれるが、それらに限定されるものではない。物質、単位プロセスおよびプロセスシーケンスの変化は、意図した構造の全部または一部を作り出すことなく、層および/または固有の物質界面を作り出すのに使用されるものであってもよく、それにより、プロセスステップを介して作られる構造またはデバイスとは対照的に、結果として得られる物質の特性に対するより多くの基礎研究が可能になる。組合せ処理は、特定の物質、単位プロセスまたはプロセスシーケンスを変えるが、層または構造の組成または厚み、あるいは単位プロセスの作用は、各々の領域内で実質的に均一であることが望ましく、その一方で、組合せ実験毎に、領域間で変化させることもできる。
結果物は、基板上の一連の領域であり、当該基板は、構造または単位プロセスシーケンスの結果物を含み、それらは、領域内で、且つ、適用できるように、実験計画により異なる処理が行われる数々の領域の形成を通じて異なる領域を横断して、均一に適用されている。このプロセスの均一性により、異なる領域内および異なる領域間の特性の比較が可能になり、その結果、試験結果における変化が、パラメータ(例えば、物質、単位プロセス、単位プロセスパラメータまたはプロセスシーケンス)の変化によるものであって、プロセスの均一性の欠如によるものではなくなる。しかしながら、領域の不均一な処理は、スクリーニングの種類の特定の実験用に使用することもできる。すなわち、製造仕様の他に、不均一性を有する勾配処理または局所処理を、特定の状況において使用することができる。
組合せ処理は、一般に、スクリーニングプロトコルで使用されるときに、最も有効となり、そのスクリーニングプロトコルは、一次スクリーニングと呼ばれることもある比較的簡素なスクリーニングから始まって、二次スクリーニングと呼ばれることもある、構造および/または電気的結果を含むより複雑なスクリーニングに移行し、その後、三次スクリーニングと呼ばれることもある、その全体としてプロセスシーケンスの部分の分析に移行する。スクリーニングレベルの名称および処理および分析の種類は任意であり、実行される具体的な実験により応じたものとなる。このため、上記記載は任意の方法に限定することを意味するものではない。スクリーニングレベルが進むと、物質およびプロセスの変化が取り除かれるとともに、さらに分析を改善するために、情報が前段階にフィードバックされ、それにより、最初の仕様およびパラメータに基づいて最適解が導き出される。
ALDにおいては、変化される可能性のある条件の簡単な例には、前駆体、試薬、キャリアガス、前駆体の順序、前駆体/試薬の濃度、前駆体/試薬パルスの持続時間、パージ流体種、パージ流体の継続時間、分圧、全圧、流量、サイクル毎の成長速度、定温放置期間、基板種類の関数としての成長速度、膜厚、膜組成、ナノ積層体(例えば、異なる種類のALD膜の積層)、前駆体供給源温度、基板温度、飽和可能な吸着のための温度、ALD用の温度領域、1または複数の前駆体の熱分解用の温度、プラズマ/イオン/ラジアルに基づくALDのためのプラズマ出力などが含まれる。一次スクリーニングは、所与の膜種類に対するALDプロセス領域(少ない温度依存性を有する、自己制御蒸着により特徴付けられる領域)を決定するために、前駆体およびパージ流体のパルス継続時間と、高い基板温度のときのフローとを変化させることから始まる。二次スクリーニングは、例えば単純なMIMコンデンサ構造における積層膜の実効誘電率を変化させるために、2またはそれ以上のかかるALD膜の積層を伴うようにしてもよい。そのようなスクリーニングの出力は、最も漏れが少なく、最も高い実効誘電率を与えるとともに、高い温度(例えば、>500℃)熱アニールを通して安定な状態を保つような候補であってもよい。以下に説明するシステムおよび方法は、上述した組合せ実験を実施するのに有用であるとともに、特にALDおよびCVD処理に有用なものである。
本出願で使用される流体は、液体、気体、蒸気、すなわち流動する成分、並びにALDおよびCVDプロセスで使用されるその他の種類の流体、それらの変種のことを云い、それら用語は、本明細書を通じて置き換え可能に用いられる。構成成分は、システムにおいてある時点では液体であるかもしれないが、その流体は、処理チャンバに流入して基板に曝される前に、気体、蒸気またはその他のそのような流体に変換される可能性がある。
図1を参照すると、本発明の一実施形態に係る基板処理システム10は、アルミニウムまたは陽極処理アルミニウムのようなプロセスに適合する物質から形成される筐体アセンブリ12を有している。筐体アセンブリ12は、処理チャンバ16を規定するハウジング14と、処理チャンバ16への開口部を覆う真空蓋アセンブリ20とを含む。真空蓋アセンブリ20には、反応性のキャリア流体を処理チャンバ16内に供給するプロセス流体注入アセンブリが取り付けられている。そのために、流体注入アセンブリは、複数の流路30,31,32および33、シャワーヘッド90を含む。チャンバハウジング14、真空蓋アセンブリ20およびシャワーヘッド90は、従来通り、所望の温度範囲内で維持することができる。当然のことながら、ここに提供される図面は例示的なものであり、必ずしも同じ縮尺で描かれている訳ではない。
ヒータ/リフトアセンブリ46は、処理チャンバ16内に配置されている。ヒータ/リフトアセンブリ46は、支持シャフト49に連結された支持台48を含む。支持台48は、シャフト49と真空蓋アセンブリ20との間に設置されている。支持台48は、窒化アルミニウムおよび酸化アルミニウム(Alまたはアルミナ)を含むプロセスに適合する任意の物質から形成することができ、基板をその上に保持するように構成されている。例えば、支持台48は、真空チャックであってもよく、あるいは静電チャック(ESC)または物理的クランプ機構のようなその他の従来技術を利用するものであってもよい。ヒータリフトアセンブリ46は、基板とシャワーヘッドの間隔を制御するために、支持台48とシャワーヘッド90との間の距離を変化させるべく、制御可能に移動するように構成されている。センサ(図示省略)は、処理チャンバ16内における支持台48の位置に関する情報を与える。支持台48は、台アセンブリに埋設された抵抗加熱素子のような加熱素子(図示省略)の使用を通じて基板を加熱するために使用することができる。
図1および図2の両図を参照すると、流体供給システム69は、一連の管路を介して、流路30,31,32および33との間で流体が流通するようになっている。流体供給システム69からの処理流体の処理チャンバ16内における流れは、圧力制御システムにより部分的に与えられる。圧力制御システムは、1またはそれ以上のポンプ、例えばターボポンプ64および粗引きポンプ66を含み、それら両ポンプが、バタフライ弁67およびポンプチャネル68を介して処理チャンバ16との間で流体が流通するようになっている。そのために、コントローラ70は、システム10の様々な構成要素の動作を制御する。コントローラ70は、RAM74およびハードディスクドライブ76のようなメモリとデータ通信するプロセッサ72を含み、ポンプシステム64、温度制御システム52、流体供給システム69、および必要に応じて様々な態様のシステムと信号通信するものとなっている。システム10は、支持台48上に配置される基板79の表面78の近傍に位置する処理チャンバ16の領域77内に条件を確立して、その上に薄膜などの所望物質を形成することができる。そのために、ハウジング14は、処理位置に配置されたときに支持台48を囲う外周フローチャネル71を形成して、システム10によって実現される化学プロセスに基づき、所望寸法を有する処理領域77を与えるように構成されている。ポンプチャネル68は、このポンプチャネル68とシャワーヘッド90との間に処理領域77が配置されるように、ハウジング14内で位置付けられている。
外周フローチャネル71の寸法は、それを通る処理流体の所望の伝導性を与えるように規定されており、それは、後でさらに述べるように、実質的に均一な手法で且つ軸対称な方式で処理流体の流れを基板79の表面78上に与える。そのために、ポンプチャネル68を通じた伝導性は、外周フローチャネル71を通じた伝導性よりも大きくなるように選択される。一実施形態においては、ポンプチャネル68と外周フローチャネル71を通じた処理流体の相対的な伝導性は、例えば10:1であり、この場合、ポンプチャネル68の伝導性は、外周フローチャネル71を通じた処理流体の伝導性よりも少なくとも10倍大きいものとされる。そのような大きい伝導性の差異は、その他の比率(例えば、5:1,8:1,15:1、あるいはチャンバおよび利用に適用できる、それらより高いまたは低いその他の比率)を含むものであるが、その差異は、処理領域77を通って移動して、その後にポンプチャネル68に向けて基板79および支持台48を通り過ぎる、フローAおよびBにより示すように基板79の表面78を横切る軸対称フローを促進する機能を果たす。
図2、図3および図4を参照すると、フローAおよびBの発生を促進するために、シャワーヘッド90は、そのように形成される必要はないが、中心軸82まわりに放射対称に形成された邪魔板80を含む。邪魔板80は、それを貫通して延びる複数の貫通ポート91,93,95および97を有する。邪魔板80には、マニホールド部92が結合され、マニホールド部92には、このマニホールド部92を貫通して延びる複数の注入ポート94が設けられている。マニホールド部92は、典型的には、軸82まわりに放射対称に配置される。マニホールド部92は、表面86との間にプレナムチャンバ106を形成するために、表面86から間隔を空けて設けられている。マニホールド部92は、締結や溶接などを含む半導体処理技術において知られている任意の手段を用いて、邪魔板80に結合させることができる。邪魔板80およびシャワーヘッド90は、ステンレス鋼、アルミニウム、陽極処理アルミニウム、ニッケル、セラミクスなどの、利用に適した既知の任意の材料から形成することができる。
図3、図4および図5を参照すると、マニホールド部92から邪魔板80に向けて延在するボディ112を含む流体分離機構が、マニホールド部92から延びている。ボディ112が表面から延びる距離は、特定の設計パラメータに応じたものであり、後で詳細に述べるように、その距離の一部または全部を覆うように延びて、プレナム106内にセクタを作るものであってもよい。一実施形態においては、ボディ112が、マニホールド92と邪魔板80との間に、2つの直交する方向に延びて、四分円またはセクタ114,115,116および117と称する4つの領域を形成するものであってもよい。4つの四分円が示されているが、基板78上に規定したい領域数、または規定することができる領域数に応じて、追加的なボディ部112を加えることにより、任意の数量のセクタを与えることが可能である。ボディ112の交点118は、一般に軸82と一致する。図1に示す流路30,31,32および33は、ポート91,93,95および97の対応する何れかに流体を通過させるように構成されている。このように、ポート91,93,95および97は、四分円114−117の対応する何れかと関連する処理流体のフローを形成するように配置されている。ボディ112は、ポート91,93,95および97から流出する流体が隣接する四分円114−117間で拡散するのを、防止できないとしても、最小化するために、十分な分離を与える。このように、4つのポート91,93,95および97の各々は、処理流体のフローを四分円114−117の何れか1つに導くが、それは、残りのポート91,93,95および97が処理流体のフローを導く四分円114−117とは異なることとなる。
図6は、通常は連続的に、ある時点で1のセクタに前駆体または反応性試薬を流し、分配するための1つの可能性のあるバルブ調節およびシステム構成を示している。シャワーヘッドセクタを介して対応する基板79上の領域に蒸気を、連続的に、半並行または並行に分配し、流すために、後述するように、その他の構成も可能である。図1および図6の両図を参照すると、流体供給システム69は、2つの前駆体/試薬サブシステム119および131、様々なその他のバルブ、配管および機能を有している。試薬サブシステム119は、キャリアまたはパージ流体または前駆体120−127の複数の供給部を含み、それには、窒素(N)、アルゴン(Ar)、水(HO)、アンモニア(NH)、酸素(O)、水素、ヘリウム、オゾン、シラン、および供給部127のXで示される追加的な試薬により一般に示される、ALDまたはCVD処理で使用される、その他の任意の前駆体および/または1または複数のキャリアまたはパージ流体(例えば、気体、蒸気など)を含むようにしてもよい。前駆体分配システム128は、供給部120−127と2つの流体ライン129および130の何れか一方との間の選択的な分配を容易にする。前駆体分配システム128は、供給部120および121の一方または両方を選択的に(パージ)流体ライン129との間で流体が流通するように置くことを可能にするとともに、供給部122−127を選択的に(前駆体)流体ライン130との間で流体が流通するように置くことを可能にする。パージ流体ライン129は、パージガスを運ぶことができ、前駆体流体ライン130は、前駆体および/または試薬および/またはそれぞれのキャリアガスを運ぶことができる。試薬サブシステム131は、試薬流体ライン134および135との間でそれぞれ流体が流通するように選択的に置かれた供給部132および133からの前駆体/試薬の分配を可能にする。供給部132および133は、例えばバブラ、アンプル、または有機金属化合物またはハロゲン化物の前駆体を保持する固体ソース容器とすることができる。適当な不活性キャリアガス(例えば図示のAr121)は、供給部132および133に収容される前駆体/試薬を運ぶために使用することができる。一実施形態用として次に示す前駆体の例には、供給部132用のテトラキスエチルメチルアミドハフニウム(TEMAHf)と、供給部133用のトリメチルアルミニウム(TMA)とを含むが、それらに限定されるものではない。ハフニウム供給源の代替物には、テトラキスジエチルアミドハフニウム(TDEAHf)、テトラキスジメチルアミドハフニウム(TDMAHf)、ハフニウム第3ブトキシド、塩化ハフニウムが含まれるが、それらに限定されるものではない。前駆体の選択は、実施形態に例として示されるもの、すなわち、ソース132および133用のハフニウムおよびアルミニウムベースの前駆体のみにそれぞれ限定されるものではない。
また、図6の流体供給システムは、第1注入バルブセット140−143および第2注入バルブセット144−147も含み、注入バルブセット140−143が、試薬バルブブロック148aおよび148bを介して試薬流体ライン134および135との間で流体が流通するように選択的に置かれるものとなっている。注入バルブ144−147は、前駆体バルブブロック149を介して(前駆体)流体ライン130との間で、また、パージバルブブロック150を介して(パージ)流体ライン129との間で、流体が流通するように選択的に置かれるものとなっている。注入バルブ140−147およびバルブブロック148a,148b,149および150は、高速(例えば、空気圧または圧電)バルブを含む蒸着法に適した任意のバルブを含むことができる。バルブブロック148aの高速バルブ151は、注入バルブ140−143を試薬流体ライン134との間で選択的に流体が流通する状態とし、バルブブロック148bの高速バルブ158は、注入バルブ140−143を試薬流体ライン135との間で選択的に流体が流通する状態とする。高速バルブ152は、フォアライン153から試薬流体を排出するために、試薬流体ライン134をフォアライン153との間で選択的に流体が流通する状態とし、高速バルブ159は、同じ目的のために、試薬流体ライン135をフォアライン153との間で選択的に流体が流通する状態とする。バルブブロック149の高速バルブ154は、注入バルブ144−147を前駆体流体ライン130との間で選択的に流体が流通する状態とし、高速バルブ155は、フォアライン153から試薬流体を排出するために、前駆体流体ライン130をフォアライン153との間で選択的に流体が流通する状態とする。また、パージバルブブロック150は、一組の高速バルブ156および157を含み、このうち、高速バルブ157が、注入バルブ140−143を(パージ)流体ライン129との間で選択的に流体が流通する状態とし、高速バルブ156が、注入バルブ144−147を(パージ)流体ライン129との間で選択的に流体が流通する状態とする。
前駆体/試薬サブシステム119および131の構成要素は、用途およびシステム仕様に応じて異なるものとすることができる。本実施形態において、サブシステム119は、複数の手動遮断バルブ160を含み、その各々が、供給部120−127の何れか1つと、複数の2ポートバルブ161の何れか1つとの間に連結されている。複数のマスフローコントローラ162は、複数の2ポートバルブ161のサブセットと、複数の3ポート単一アウトラインバルブ163のサブセットとの間に連結されている。任意のニードルバルブ164は、バルブ163の1つ、バルブ161の1つおよび遮断バルブ160の1つを介して、Nを含む供給部120との間で選択的に流体が流通する状態とされ、それにより排出流路が形成される。ニードルバルブ164は、排出流路をチャンバ通気部165との間で選択的に流体が流通する状態とする。Heの供給部126は、基板の裏面との間で流体が流通する状態とされて、それにより、温度制御された(例えば、加熱された)台のウェハへの熱結合を容易にして、均一な基板温度制御を容易にすることができる。
図1、図5、図6および図7を参照すると、基板処理システム10は、基板79の異なる領域における処理流体の存在および構成成分の時空調節が、組合せプロセス実験を達成することを可能にする。流体供給システム69のバルブは、コントローラ70の制御下で作動され、その結果、処理流体が伝播し、プロセスチャンバ16およびその内部の基板79への供給用として、シャワーヘッド90の四分円114−117に与えられる。論理図184,185,186,187,188,189,190,191,192,193,194,195,196,197,198および199は、バルブ159,158,157,156,155,154,152,151,147,146,145,144,143,142,141および140の動作状態にそれぞれ対応する。論理図184−199の各々について、“0”論理状態は、対応バルブがオフとされて、その入出力間で流体フローが不可能となっていることを示し、一方、“1”論理状態は、対応バルブが有効とされて、その入出力間で流体の伝播が可能となっていることを示している。論理図200,201,202,203および204は、試薬127(例えば、オゾン)、試薬122(例えば、水蒸気)、バージ流体121(例えば、Ar)、前駆体133(例えば、Al含有前駆体)および前駆体132(例えば、Hf含有前駆体)用のキャリアフローの量にそれぞれ対応する。図示のように、前駆体133、前駆体132およびアルゴン121のフローは、キャリアとパージ流体の両方として機能することができるが、それらフローは、処理中、流体供給システム69によって維持される。注入バルブと高速バルブの適当な逐次的な活性化および非活性化を通じて、所望のときに、所望の1または複数の四分円において、プロセスチャンバ16内の所望基板上で、化学物質の選択が行われる。
図7を参照すると、期間205中、パージ流体121および前駆体132がプロセスチャンバ16内に存在するが、前駆体132はそのキャリアガスにより四分円514のみを通じて流れる一方、パージガスは、後で詳細に述べるように、四分円515−517内で利用可能とされる。この結果は高速バルブの順序付けにより達成され、すなわち、バルブ154を閉じてバルブ155を開くことにより、ライン130からフォアライン153に流体が流れる一方、バルブ158を閉じてバルブ159を開くことにより、ライン135を介してフォアライン153に前駆体133が流れ、それにより、プロセスチャンバ16が迂回される。バルブ157を閉じてバルブ156を開くことによりパージガスがバルブ144−147に流れ、そのときに、バルブ144を閉じてバルブ145−147を開くことにより、750(sccm)のパージガスが四分円515−517間で均等に分割される。これは、250立方センチメートル/分(sccm)のパージガスがそれぞれ四分円515−517の各々を介して流れるという結果をもたらし、他方、バルブブロック140−143の中でバルブ140のみを開くことにより、バルブ151が開いてバルブ152が閉じた状態において、前駆体132を運ぶ250(sccm)のキャリアガスが四分円514を介して流れる。なお、期間205中にチャンバを通る全体のフローは1000(sccm)で、各250(sccm)のパージガスが四分円515−517を介して流れ、前駆体132を含む250(sccm)のキャリアガスが四分円514に流れる。多くの場合において、キャリアガス内で運ばれる前駆体蒸気の量は、多くの前駆体物質の低い蒸気圧により、約1(sccm)当量と同じまたはそれ未満となることに留意することが重要である。当業者であれば分かると考えられるが、全体のフローは、本実施形態で利用される1000(sccm)のみに限定されるものではなく、チャンバ形状およびポンプ容量に応じて、位置分離処理を達成するのに十分な任意の全体フロー(例えば、50乃至5000sccm)とすることができる。期間206中、処理チャンバ16の全体でパージ流体121を利用可能であるが、前駆体133および132の両方をポンプシステムに迂回させることにより、この期間中にプロセスチャンバ16を避けることができる。余剰の前駆体132は、この期間中、処理領域77から除去される。そのプロセス内で使用される前駆体、試薬およびパージガスは、バルブ論理を操作することにより供給源から常に流れ、それらは、チャンバ16を通って流れるか、あるいはポンプフォアライン153(すなわち、図1の粗引きポンプ66)に迂回されるように利用される。このアプローチは、オフ状態からのすべての所与の設定点についての、マスフローコントローラまたは液体フローコントローラのフロー安定化期間中に生じる可能性のあるプロセスの効率の悪さを回避する。この段階において、四分円514は、前駆体に曝されており、その結果、この四分円514に対応する基板79の表面78の領域は、その表面に吸着される前駆体132の層を有している。
図6のバルブは、バルブが開放されて流体をシャワーアセンブリおよび処理チャンバに供給するときに、バーストを回避して所望の流量を維持するために、流体フローを遮断するよりもむしろ持続するフローを保持するように操作される。また、システムは、領域の全体にわたり実質的に同一の流れを確保して、境界を横断する拡散を防止するために、作動される。例えば、四分円514が250(sccm)の流量のキャリアガスと、1(sccm)当量の前駆体を有する場合には、四分円515−517は、各々に少なくとも250(sccm)、合計で750(sccm)供給されるはずである。フローの差異が小さく、またその差異と比べて、処理領域77内の流体の流れが速い(滞留時間が短い)ため、前駆体により加えられる1(sccm)の差異はシステム全体として影響はない。代替的な実施形態においては、パージガスを与える四分円におけるフローが、処理が行われる領域(例えば、前駆体および/または反応性試薬を含んでいる)よりも高くされ、その結果、任意の拡散が、パージされた空間から、膜が成長する(例えば、吸着または蒸着する)領域へと向かうこととなる。パージされた空間は不活性パージガスを含むため、その拡散は、処理される領域に有害な影響を与えることはない。
期間207中、試薬122(例えば、HOの蒸気)は四分円514において利用されるが、同時に、四分円515−517は、追加的な処理流体が全く無い場合には、パージ流体121に曝される。期間207中、試薬122は、四分円514に対応する基板79の表面78の領域上の前駆体132の吸着された層と反応して、所望膜(例えば、酸化ハフニウム)の層を形成する。期間208においては、チャンバがパージされて、余剰試薬122は処理領域77から除去される。期間205から期間208は、ALDサイクルを示しており、所望の膜厚を得るために繰り返すことができる(図示省略、例えば、期間209の操作に移行する前に、期間205−208中の操作が繰り返される)。期間205から期間208中に、四分円515−517がパージ流体121に曝されることから、その元の状態における基板79の対応領域を保持することにより、元の状態が、期間205の開始に対応するプロセスサイクルt=0の開始時における基板79の状態として規定されるのに留意することは賢明である。期間209を参照すると、四分円515が前駆体133に曝される一方で、追加的な処理流体が全く無い場合に、四分円514,516−517がパージ流体121に曝されるのが明らかである。この結果は、論理状態図に示されるように、バルブ状態を正しい論理状態に設定することにより実現される。当業者であれば、如何にして、そのような処理および膜成長が四分円514から四分円517に逐次移行して、次の処理サイクルの514に戻るのかを理解することができる。
当然のことながら、期間209−212と比べて、期間205−208は、基板上の位置分離組合せ処理(site isolated combinatorial processing)を示しており、それにより、所望の処理の位置に加えて、第1前駆体の種類が変えられている。期間213−216は、所望の処理の位置に加えて、第2試薬パルスの継続期間の変化を示している。期間217−220は、所望の処理の位置に加えて、第2試薬の種類の変化を示している。供給部132,133に蓄えられる前駆体、独立に供給される試薬または供給部122−127からの供給と組み合わせて供給される試薬、およびパージ流体120−121の慎重な検討および適正な選択を介して、4つの四分円514−517の各々で得られる膜特性を調節することが可能である。また、膜厚、膜配列、膜積層体(例えば、ナノ積層体)、膜組成、混注(例えば、1領域内における2またはそれ以上のソース前駆体の混注)を位置分離方式で変えることができる。また、位置分離変化に加えて、チャンバの幅広いプロセスの変化には、流量、チャンバ圧力、伝導性(例えば、バタフライ弁を介した)、パルス継続期間、前駆体/試薬ソース温度、供給ライン温度、基板温度、シャワーヘッド温度、チャンバ本体温度などが含まれるが、それらに限定されるものではない。それら変化の幾つか、例えば、供給源や供給ライン温度は、その他のものと同様に、位置分離方式で実行することも可能である。
図1、図4、図8Aおよび図8Bを参照すると、流体分配システム69は、キャリア、前駆体および試薬流体をプロセスチャンバ16内に入れて、選択した流体から、基板79の表面78上を通過する流体のボリュームを与えることができる。流体ボリュームの一部は異なる構成成分を有し、その結果、基板79の表面78の異なる領域が異なる構成成分に同時に曝され得る。表面78上を通過する流体ボリュームは、注入ポート94を介して処理チャンバ16内に進む流体を処理することにより生成される。流体分配システムは、対応する(すなわち、直上のまたは重ね合わされる)シャワーヘッドセクタ114−117の1つと関連する注入ポート94を介して伝播する流体ボリュームの一部の構成成分に、表面78の領域514−517の各々を曝すことができる。基板79の各領域514−517は、その他のセクタ114−117を介して伝播する流体ボリュームの一部の構成成分に曝されることなく、対応するセクタ114−117からの流体ボリュームに曝される。この実施例では、セクタ114が領域514に対応し、セクタ115が領域515に対応し、セクタ116が領域516に対応し、セクタ117が四分円517に対応する。それらセクタは、基板のその他の領域に対応させることも可能であり、あるいは対応するセクタと領域は、シャワーヘッドに対して(例えば、全体または一部の領域/四分円の分だけ)基板を回転させることによって処理間で領域を変えることも可能である。
基板処理システム10は、四分円114の注入ポート94から残りの四分円115−117内に流入する流体を処理することによって生成される処理ボリュームの一部の伝播を最小化するために作動する。このため、処理ボリュームのこの部分に対する基板表面78の領域515−517の曝露が最小化される。四分円114に対応する領域514は、この部分の実質的に全ボリュームに曝される。同様に、ある四分円、例えば115−117から、その四分円と対応しない領域、すなわちその四分円と重なり合わない領域、例えば515−517内に流入する流体を処理することにより生成される処理ボリュームの伝播が最小化される。よって、四分円115,116および117とそれぞれ対応する領域515,516および517は、その部分の実質的に全ボリュームに曝される。流体の流れを領域間の横方向の大きな拡散(処理に影響を与えるような、または領域の処理間の比較を信頼できないものとするような十分な拡散)無しにシャワーヘッドのセクタからウェハ上の対応領域に向ける能力は、シャワーヘッド設計、システム圧力、流体分配システム、流体分配のバルブ調節、流体分配、流体フロー、チャンバ設計、システム動作、および本明細書で検討されるその他の特徴により可能とされる。
例えば、注入ポート94から流出する処理流体が、四分円114−117の適切な1つに対応しない表面78の領域514−517内に伝播されないようにする1つの方法は、処理チャンバ16を通る処理流体のフローの伝播を制御することにより行われる。具体的には、基板表面78に向かう方向300に沿う、放射対称的に基板79を横切る基板79のまわりの処理流体の流れを生成するために(図1、図2、図8Aおよび図8B)、処理チャンバ16内で条件が確立され、それにより、シャワーヘッド90に向けて、すなわち方向300と反対方向に戻る処理流体の動きを妨げ、あるいは阻止する。これは、流体供給システム69および圧力制御システム(図1に示すポンプ64および66、バルブ67およびチャネル68を含み、その他の可能性のある構成を含むことができる圧力制御システム)を作動させて、表面78上に処理流体の軸対称の流れを生成することにより、部分的に達成される。そのために、圧力制御システムはポンプチャネル68内にフローを生成し、それが、図8Aおよび図8B内の矢印304に示すように、基板79の外周に向けて外方向に伝播する処理流体をもたらす。その後、処理流体は、シャワーヘッド90から離れて、基板79を通過し、ポンプチャネル68を介して処理チャンバ16から流出する。ガスのフローを制御することにより、図8Bの領域520に示すように、領域間の拡散が少なくまたは無くなる。
一実施形態においては、ポンプ64および66により生成されるポンプ動作に応答して基板79の外周の周囲に実質的に同一の伝導性を与えるために、基板の外周部が選択される。外周フローチャネル71の寸法は、それを通過する処理流体の所望の伝導性を与えるように規定され、それにより、ほぼ均一で軸対称の形式で基板79の表面78上に処理流体の流れが与えられる。ポンプチャネル68を通じた伝導性は、外周フローチャネル71を通じた伝導性よりも大きくなるように選択される。一実施形態においては、ポンプチャネル68および外周フローチャネル71を通じた処理流体の相対的な伝導性は、例えば、10:1であり、この場合、ポンプチャネル68を通じた伝導性が、外周フローチャネル71を通じた処理流体の伝導性の少なくとも10倍大きくなるように設定される。その他の比率も含む、そのような大きな伝導性の差異は、図8Bのベクトルフロー、並びに処理領域77を通ってその後に基板79および支持台48を通過してポンプチャネル68に向かう図2のフローAおよびBに示すように、基板79の表面78を横切る軸対称の流れを促進する機能を果たす。
また、処理チャンバ16からの処理流体の評価と連携して、流体供給システム69は、一実施形態では四分円毎の構成処理流体が時間の関数として変わる場合もあるが、シャワーヘッドアセンブリを通る全体のフローが4つの四分円を介して対称となるように、処理流体の分布を制御する。これは軸対称フローを促進する機能を果たす。さらに、かかる操作中にバタフライ弁67を使用して、チャンバ圧力を固定圧力(例えば、1mTorr乃至10Torr)に制御することができる。また、その他のチャンバの幅広いパラメータを既知の手法により制御することもできる。
図1、図9および図10を参照すると、図1のポンプチャネル68は、チャンバ16のその他の空間に配置して、本明細書に記載のように、領域間の相互拡散を防止および/または低減するのに必要な同じ軸対称フローを与えるようにしてもよい。例えば、図9を参照すると、ポンプチャネル160が部分的または全体的にシャワーヘッド90を取り囲むように、排気チャネル166を位置させることができる。必ずしも必要という訳ではないが、本実施形態においては、排気チャネル166およびポンプチャネル160の一部が蓋20内に形成されるとともに、ポンプシステム64との間で流体が流通する状態とされる。ポンプチャネル160は、図8Aおよび図8B内の矢印304により示すように、基板79の外周に向けて外方向に伝播する処理流体を有するように構成されている。チャネル266は、本発明の一実施形態において軸対称フローを促進するために、処理ガスに対して代替的な排出経路を提供する。排出経路は、バルブ67の位置により制御される。
図10を参照すると、開口部51は、図8Aの矢印304および図8Bのベクトルにより示すように、軸対称な方式で処理流体の伝播を生成して基板79を横切る局所ボリューム間の相互拡散を回避するために基板台48の下方から対称的な方式でガスを送り込むチャネル168により、チャンバ16の排気を促進することができる。
また、このシステムは、組合せ処理を可能にするのに加えて、真空破壊無しに、基板の全ウェハまたは従来型処理を可能にする。流路30−33の各々を通じて同じ流体を流すことにより、図3、図4および図5に示されるマニホールド本体80の各四分円114−117が、基板79の対応領域を横切る同じ流体のフローを与えることとなり、それにより、基板79の表面上に処理流体の均一なフローが形成される。これは、組合せ処理システムとしてのみならず、従来の処理システムとしても、システム10の利用を可能にする。このため、従来処理および組合せ処理を可能とするのに、選択されたバルブを適性にオン/オフ切り替えて図1に示すチャンバ16内に所望の処理流体を供給することを除いては、変更無しに、同じチャンバを使用することができる。この能力は、ツール間または1つのツール内のチャンバ間で基板79を移動させることなく、一連の組合せおよび従来処理において、任意の変化により、基板79が処理されることを可能にする。このため、パーツを取り除くことなく、単に、ガスを制御するバルブのスイッチング論理を変えることにより、それら2種類の処理を実行することができる。
図1、図4および図11Aを参照すると、流体供給システム69の別の実施形態は、前駆体/試薬サブシステム119および131、バルブブロック148a,148bおよび149を含む。バルブ150,156,157および170の追加的セットは、流路30−33との間で流体が流通する状態とされて、四分円114−117の2以上に同時に処理ガスを供給することを容易にする。そのために、バルブブロック148aのバルブ151は、流体ライン134をバルブ144,145,146および147との間で選択的に流体が流通する状態とするように機能し、それにより、流体ライン134および135から処理チャンバ16内に同時に処理流体を導入することを可能にする。バルブ168は、円滑に、流体ライン130をバルブ144−147との間で選択的に処理流体が流通する状態とし、バルブ169は、円滑に、流体ライン130をバルブ140−143との間で選択的に処理流体が流通する状態とする。バルブ171は、円滑に、流体ライン130をバルブ150,156,157および170との間で選択的に処理流体が流通する状態とする。表面78近傍の処理ボリューム内の構成成分のフレキシビリティを拡大することは、このバルブ構成によって提供される。
図11Bに示すように、図11Aの流体供給システムを使用して、図8Aおよび図8Bに示す基板79の2領域を、同時に(すなわち並列に)前駆体(領域間で同じまたは異なる)に曝すことができる。図11Bにおいては、第1ALDサイクル(ステップ205,206,207,208)と同じ形式で、領域514および516が並列に処理される一方、第2ALDサイクル(ステップ209,210,211,212)における異なる形式(すなわち、ステップ209では異なる試薬)で、領域514および516が並列に処理されている。図11Bにおいては、各前駆体/試薬ステップの後に、図示のように、すべての領域に亘るチャンバパージが続くが、それは必ずしも必要なものではない。例えば、別の実施形態(図示省略)においては、図8の領域514および516が1または複数の前駆体に曝された後に、それら領域を、領域515および517が同時に1または複数の前駆体等に曝されている間に、パージすることができる。その他の処理変化は、図11Aの流体供給システムを使用して作り出すことができる。また、領域のすべてまたは任意の一部が並列方式で前駆体または試薬を受け入れることができるように、その他のバルブ調節システムを構築することも可能である。
図12を参照すると、別の実施形態では、ボディ612が邪魔板(図示省略)と反対側に配置されたマニホールド698から離れるように延出していることを除いて、シャワーヘッドアセンブリ636が、図3、図4および図5のシャワーヘッドアセンブリ90と実質的に同一となっている。ボディ612は、ボディ112と同じ機能を果たし、同じように加工されている。ボディ612は、処理中に基板表面78に物理的に接触するように、あるいは接触しないように選択することができる。なお、シャワーヘッドの別の実施形態では、領域間の物理的障壁が必要ではない。その代わりに、図13に示すように、複数の開口712を設けるようにしてもよく、その場合、不活性ガスのカーテンを放出することにより、1セクタ、例えば四分円114−117に導入される処理流体が、別のまたは隣接するセクタに伝播して、基板79上の対応領域の処理に影響を与えるのを、防止できないにしても、減少させることができる。
図13−1,13−2,13−3および13−4は、本発明の一実施形態に係る図13のシャワーヘッドの例示的な実施形態を示している。図13−1は、図13のシャワーヘッドの一実施形態の底面斜視図を示している。パージチャネル712−1および712−2は、シャワーヘッドのフェースプレートのそれぞれの直径を横切って延びて、それにより、この実施形態においてフェースプレートを四分円に分割している。ボディ612−1から612−4は、フェイスプレートの表面から外方向に延出して、四分円とパージチャネルとの間の境界を規定するとともに、領域間の物理的障壁を提供する。一実施形態においては、フェースプレートをチャンバ頂部に締結するために開口711が設けられている。図13−1の例示的な実施形態においては、パージチャネル712−1および712−2の幅が約1インチとなっている。この幅は例示的なものであって、限定することを意味するものではなく、1インチよりも大きいかまたは小さい代替的な幅を用いることができ、それを用途に応じたものとすることができる。なお、本明細書に記載のシャワーヘッドを用いて蒸着を受ける基板の中心部に位置する試験構造がパージガスのみに対して曝されるように、パージチャネル幅を調整することもできる。すなわち、本明細書に記載の組合せ処理のスクリーニングおよび特徴付けのために試験構造が利用可能となるように、この実施形態においては、試験構造に蒸着が生じることがない。さらに、図13−1および図13−2のフェースプレートは四分円で描かれているが、これは限定することを意味しているのではなく、その他の構成も可能である。例えば、望ましい用途に応じて、半分に、三分の1、五分の1、六分の1にフェースプレートを分割することもできる。
図13−2は、図13のシャワーヘッドの一実施形態の上面斜視図である。一実施形態においては、四分円の注入ポート94−1およびパージチャネルの注入ポート94−2の間隔を異なるものとすることができる。すなわち、注入ポート94−2の間隔を、対応する注入ポート94−1の間隔より小さいか、大きいか、あるいは等しいものとすることができる。同様に、注入ポート94−1および94−2の直径を同じとすることも、あるいは必要に応じて、異なるようにすること、すなわち大きくすることまたは小さくすることも可能である。この実施形態では、図13−2の四分円およびパージチャネルの各々が、密封面713から僅かに窪んだ状態で示されている。図13−3においては、フェイスプレートの上面が、適当な固定手段、開口711を貫通する例えばビスを介して、チャンバトップ715に取り付けられている。チャンバトップ715は、分配されるプロセス/パージガスをシャワーヘッドを介して処理チャンバ内に供給するために、接続およびマニホールディングを提供する。図13−4には、チャンバトップの上面斜視図が示されている。チャンバトップ715には、パージガス注入口717が設けられ、このパージガス注入口717が、パージチャネルの注入ポートへのパージガス供給用の注入ポートを提供する。プロセスガス注入口719−1から719−4は、対応四分円の注入ポートへのプロセスガス用の供給ポートを提供する。代替的な構成が4セクション、すなわち四分円構成より大きいまたは小さい領域を与える場合には、より多いまたは少ないガス注入口をそれぞれ設けることができる。当業者であれば分かるように、代替的な実施形態が、より多くのパージガス注入口を提供するものであってもよい。パージガス注入口およびプロセスガス注入口の数量は例示的なものであり、本明細書に記載されているようにプロセスおよびパージガスの均一な分配が提供されて隣接領域の所望の分離が維持される限りは、任意の数量の注入口を用いることができる。また、セクション、例えば四分円の幾つかを削除するようにしてもよい。すなわち、幾つかのセクションが、注入ポートおよび対応するガス注入口を含まないものであってもよい。当業者であれば分かるように、図13−1から図13−4までの実施形態について数多くの構成が可能であり、それら構成は本明細書に記載の実施形態の範囲内に入るものである。
図14には別の代替的な実施形態が示されており、この実施形態では、処理流体が処理チャンバに到達するまで分離された状態で維持されるように、シャワーヘッドの各注入ポートが、同心円状に配置された流路724および726をそれぞれ備えている。それら流路は、同心円状に配置する代わりに隣接させたり、あるいは処理チャンバ内に流入する前にガスの分離を維持する、その他の空間的および物理的配置とすることも可能である。
図1、図9または図10に記載のチャンバまたはシステムの何れか、または本明細書に記載の発明に従いまたは本発明を実施するために構成された別のチャンバは、支持シャフト49と、よって支持台48とを中心軸まわりに回転させるために連結されたモータ310を含むことができる。回転中に真空を維持するためには、磁性流体シールのような回転真空シールを使用することができる。なお、台の回転のために、後述する同じ効果をもたらすように、チャンバ内のシャワーヘッドを回転させることもできる。回転支持台48は、シャワーヘッド上により多くのセクタを加えることなく(例えば、物理的障害、不活性ガス仕切りまたはその他の機構を介して)、基板上により多くの領域を形成することを可能にする。また、回転により、基板上に多層の蒸着の容易な形成が可能となる。図15Aに示すとともに、後で詳述するように、基板上の領域の空間的配置は、処理流体のボリュームの異なる部分に対して変化される。上記回転は、処理流体ボリュームと表面78間の相対的角度位置を複数回変化させて、シャワーヘッド設計により規定される角度セクタの一部を提示する一連の角回転を規定することを可能にする。
例えば、図16に示すように、基板78の第1、第2、第3および第4領域は、処理流体のボリュームに曝される。第1領域はエッジ800および801により境界が付けられ、第2領域はエッジ801および802により境界が付けられ、第3領域はエッジ802および803により境界が付けられ、第4領域はエッジ800および803により境界が付けられている。ここで、第1、第2、第3および第4領域の各々が、上記ボリュームの異なる構成成分に曝されると仮定する。このプロセスは、第1領域に第1物質の第1層と、第2領域に第2物質の第1層と、第3領域に第3物質の第1層と、第4領域に第4物質の第1層とを生成する。なお、それら領域の1つまたはサブセットは、物質を蒸着させるか、または続くステップで蒸着用の領域を準備するのに必要なガスを含むものであってもよい(例えば、その他の領域がパージガスに曝されている間に、第1領域プロセスのみが、形成される層をもたらすものであってもよい)。一実施例においては、第2角度位置において、第5、第6、第7および第8領域が、処理流体の別のボリュームのその他の構成要素に曝されるものであってもよい。この実施例における基板ホルダおよび基板の回転は、シャワーヘッドにより規定された4つのセクタを使用して、基板上に8つの領域を形成することを可能にする。第5領域はエッジ804および805により境界が付けられ、第6領域はエッジ805および806により境界が付けられ、第7領域はエッジ806および807により境界が付けられ、第8領域はエッジ804および807により境界が付けられている。ここで、第5、第6、第7および第8領域の各々が、追加的なボリュームの異なる構成成分に曝され、それが前駆体または試薬のような反応性ガスを含むまたは含まないと仮定する。このプロセスは、元の四分円の各々が第2位置において2つの異なる流体に曝されるため、やがて8のセクタの各々に異なる層および物質を形成する。
別の実施形態においては、両セクタの第1ペアが、残りのペア内のパージセクタ(例えば、Ar)により境界付けられるALD蒸着反応の第1試薬(例えば、TMAH)および第2試薬(例えば、HO)を含むことができる。その後、基板全体に亘って実質的に均一なALD膜を蒸着させるために、基板の回転が使用される。この実施形態においては、ガスバルブ調節およびフローを介してのみとは対照的に、基板の特定の領域が時間の関数とみなされるようにガスを順序付けるために(例えば、TMAH+Arパージ+HO+Arパージ)、基板の回転が使用される。各セクタを通るフローは、時間の関数として固定されて、転換されることはない。この方法は、均一性およびスループットの利点を有し、同じ組合せALDチャンバ内で全ウエハプロセスの生成を可能とする。回転速度の変更は、ALDサイクル毎の時間を制御するために利用することができる。毎分60回転の回転速度は、1秒のALDサイクル(前駆体1+パージ+前駆体2+パージ)時間に相当する。処理中の60秒の基板の回転は、60のALDサイクルと同等となると考えられる。
図15Aは、図16に記載の回転を用いる本発明の一実施形態に従う基板2179の概略断面図を示しており、基板2179は、スクリーニング目的で、組合せ処理シーケンスから当該基板上に形成される物質を有している。基板2179は、その上に配置された、電極として機能する導電性層2180を有している。層2180は、物理的気相成長法(PVD)を含む、任意の既知の蒸着プロセスを使用して、蒸着させることができる。層2180上には、4つの領域2183,2184,2185および2186を含む組合せ層2182が蒸着されており、その各々が、異なる構成成分を有している(それら領域の各々は、上述したように、本発明に従い、直列、半並列、または完全に並列な方式で形成することができる)。一例として、領域2183はAlから形成され、領域2184はTiOから形成され、領域2185はHfOから形成され、領域2186はZrOから形成される。
組合せ層2182の上には、領域2183,2184,2185および2186を有する追加的組合せ層2187が形成されている。しかしながら、組合せ層2187における領域2183,2184,2185および2186の各々は、組合せ層2182における領域2183,2184,2185および2186に対してシフトされている。すなわち、組合せ層2182における領域2183は、伝導層2180の表面のセクタ3001−3004と重なり合い、一方、組合せ層2187における領域2183は、伝導層2180の表面のセクタ3002−3005と重なり合う。このオフセットは、組合せ層2182の形成後であって組合せ層2187の形成前における、シャワーヘッド90に対する基板2179の回転によりもたらされるものである。基板2179の回転は、組合せ層2188および2189の領域2183,2184,2185および2186の相対的な位置により示される、各組合せ層の形成の間に行うことができる。組合せ層2189の上には、例えば位置分離PVDにより蒸着させることができる伝導機構2190が形成され、それは、従来(ブランケット)方式または組合せ方式で処理されるものであってもよい。これは、シャワーヘッドが4セクタしか有していなくても、異なる物質を持つ複数の領域(例えば、16)を有する膜積層体を与える。セクタ毎の位置分離組合せPVD処理を使用する導電機構2190の変更(例えば、プロセスパラメータ、物質、厚さなど)は、最終的な膜積層体における追加的な変更をもたらす。
図15Bを参照すると、異なる種類の組合せ処理を組み合わせることが可能である。それら異なる種類は、例えば、PVDマスクに基づく手法および本明細書に記載の分離セクタに基づくシステムにより処理された位置分離領域を含むことができる。例えば、組合せ領域4000,4001,4002は、本明細書に記載のシステムにより、湿式処理(無電解析出、電気化学析出、洗浄、単分子層形成などを含む)のようなPVDまたはその他手法により形成された領域4003を既に含む基板上に形成することができる。それら組合せ手法を組み合わせることにより、追加的な実験を行うことができるとともに、収集される情報量を増やしつつ、使用される基板数を減少させることができる。
当然のことながら、図15Aおよび図15Bは、本明細書に記載の組合せ処理下で単一の基板から得られる大量のデータを示している。上述のように、異なる構成成分を有する流体ボリュームの分離部分は基板の表面上を流れる。それら分離部分は、対応する基板の分離セクタを、隣接する分離セクタが曝される構成成分とは異なる構成成分の混合物に同時に曝す。基板上の分離セクタ上に層が蒸着され、その層が、隣接する分離セクタ上に蒸着される層とは異なるものとなる。基板は部分的に回転させること、すなわち回転の360度の一部を回転させることができ、異なる積層された層を有する積層体は、図15Aに示すように構築することができる。また、スタック上に配置された機構は異なる形状を有するようにしてもよく、例えば、分離セクタをパイ形状(円の一部)、機構2190を円形状とすることもできる。
図17には、本発明の一実施形態に係る一体化高生産性組合せ(HPC)システムの概略図が示されている。HPCシステムは、複数の処理モジュールを支持するフレーム900を含む。当然のことながら、フレーム900は、一実施形態に係る単一のフレームであってもよく、また、真空の維持および/またはより多くの処理モジュールの追加を容易とするために複数のチャンバを含むものであってもよい。一実施形態においては、フレーム900内の環境が制御される。ロードロック/ファクトリインターフェース902は、HPCシステムの複数のモジュールへのアクセスを提供する。ロボット914は、モジュール間の基板(およびマスク)の移動と、ロードロック902内への移動およびロードロック902からの移動とを与える。組合せ手法を使用して試験することが望まれる構造の類、または実行される実験を支持するのに必要な従来の処理モジュールおよび組合せ処理モジュールを含む、任意の既知のモジュールをHPCシステムに取り付けることができる。
例えば、モジュール904は、一実施形態において、方向付け/ガス抜きモジュールとすることができる。モジュール906は、本発明の一実施形態において、プラズマまたは非プラズマベースの清浄モジュールとすることができる。モジュール908は、本明細書の記載の基板処理システムとすることができる。代替的には、モジュール908は、HPCシステムのその他のモジュールで使用される、処理マスクとも呼ばれる複数のマスクを含むものであってもよい。モジュール910は、本発明の一実施形態において、例えば、米国特許出願第11/672,478号および第11/672,473号に記載されているようなHPC物理的気相成長法(PVD)モジュールを含むことができる。一実施形態においては、集中型コントローラ、すなわち演算装置911がHPCシステムの処理を制御するものであってもよい。HPCシステムの場合、PVD、ALD、CVDおよび前・後処理ステップまたはその他の可能性のある代替ステップを含む組合せ処理を採用して、基板上に物質を蒸着するために、複数の方法を用いることができる。1つのクラスタツールにおいて組合せ処理を可能とすることにより、異なるツールまたは位置間で基板を往復させるのと比べた場合に、より良好な汚染物質制御、より良好な環境制御、より正確な実験、組合せ処理シーケンス一体化の試験、およびより良好なスループットを与えることができる。例えば、図15Aに示す処理は、1つのクラスタ内で実行することができ、それにより全ウエハPVD、組合せALDおよび組合せPVDを可能にする。図15Bに示す処理は、組合せPVDとALDの両方、または基板上に構造を形成するためのその他の任意の組合せを有するそのようなシステムにおいて実行され得るものである。
本発明の別の実施形態は、図18−図20に示すように、基板78の近傍に配置される蒸気制御装置1000を用いることができ、この実施形態では、蒸気注入装置1002が蒸気抽出装置1004の反対側に配置されている。蒸気制御装置1000は、間隔を空けた複数のボディ1112を含み、それらは、ボディ112に関して上述したようなものであっても、スペーシングまたはガスフロー制御のようなその他の分離技術を介して実行されるものであってもよい。蒸気注入装置1002は、作動中、排気口1005,1006,1007および1008から処理蒸気を放出する。蒸気は放出され、蒸気抽出装置1004により生成される真空の助けを借りて、基板78を横切って移動する。それらフロー1114,1115,1116,1117は、基板78の領域1118,1119,1120,1121を横切って移動する。条件は、領域1118,1119,1120,1121、または上述したようにそれら領域の1領域またはサブセットに、物質の層(または前/後処理)を生成するために維持されるものであってもよい。図19に示す間隔は、適当な距離で維持され、それにより、蒸気の層流が可能となり、その層流により、蒸気分離を維持して、基板上の領域間の相互拡散を防止するのが補助される。
図21、図22および図23を参照すると、本明細書に記載の実施形態が、以下に示す特定の用途に適用されている。例えば、実施形態の1つは、ゲートスタック構成のためのプロセスシーケンスを対象とすることができる。高誘電性(高Kと称される)物質の使用は、特にゲート酸化物として使用するための、半導体装置製造における実行可能な代替案となっているため、半導体装置製造のためのプロセスシーケンスにそれら物質を組み込むことに、非常に大きな関心が存在している。しかしながら、観察される移動度低下および/または閾値電圧シフトを解消するために、金属ゲート電極とゲート酸化物との間に界面キャップ層を配置して、そのような低下を軽減するようにしてもよい。
図23を参照すると、シリコン基板900は、高誘電性ゲート酸化物902、界面キャップ904およびゲート906をその上に有する。上述したスクリーニング手法を組み込む1つのアプローチは、図21に示す基板上に配置されている高誘電性物質を固定することである。一実施形態において、高誘電性物質を、ケイ酸ハフニウムまたは酸化ハフニウムとすることができる。高誘電性物質を固定することは、従来の全ウエハ手法(例えば、全ウエハを介した、非組合せ原子層蒸着)におけるこの操作の実行を引用する。その後、金属ゲートを形成するためのこのプロセスシーケンスは、組合せ的に変化される。窒化タンタルケイ素(tantalum silicon nitride)、窒化タンタル、ルテニウム、窒化チタン、レニウム、白金など、様々な金属を最初に使用することができる。図17に記載のHPCシステムは、一実施形態において、そのような位置分離型の処理をもたらすために使用することができる。本明細書に記載の組合せ蒸気に基づくシステムは、例えば、ゲート電極物質の有効仕事関数(effect work function)を調整するために金属ゲート層を含むプロセスのために使用することができる。結果として得られる基板は、急速熱処理(RTP)ステップを介して処理され、その後、結果として得られる半導体基板上の絶縁体上の金属の構造が試験される。そのような試験は、熱安定性、結晶化、剥離、キャパシタンス電圧、フラットバンド電圧、有効仕事関数外挿などを含む。
究明されるかもしれないが、高誘電性ゲート酸化物とともに金属ゲートを使用することは、試験結果(例えば、有効仕事関数シフト)により証明されるように欠陥が構造にもたらされることとなるため、相性が良くない。このため、ゲートとゲート酸化物との間に界面キャップが配置されるような、異なるプロセスシーケンスが評価される。一実施形態においては、界面キャップ処理が組合せ的に変化されるが、高誘電性処理および金属ゲート処理が固定される。基板はRTPを介してアニールされ、結果として得られる構造は、最適な物質、単位プロセス、高誘電性物質とゲート物質との間に導入された界面キャップを有するプロセスシーケンスを特定するために試験される。可能性のある界面キャップ層の実施例は、酸化ランタン、酸化アルミニウム、酸化マグネシウムおよび酸化スカンジウムを含む。本明細書に記載の組合せ流体システムは、例えば、界面キャップ層を含むプロセスに使用することができる。RTP処理は高速熱アニールを含むことができる。
図24および図25は、本発明の一実施形態に係る、メモリ装置要素のためのMIM(金属−絶縁体−金属)構造を評価するためのスクリーニング手法を示している。メモリ装置要素は、例えば、相変化、抵抗の変化、またはその他のメモリ要素とすることができ、それにはDRAMメモリ素子が含まれる。この実施例のための金属は、導電性原子(例えば、W、Ta、Ni、Pt、Ir、Ruなど)または導電性化合物(例えば、TiN、TaN、WN、RuO、IrOなど)とすることができ、MIM構造のための電極を形成する。この絶縁体は、酸化チタン、酸化ニオブ、酸化ジルコニウム、酸化ハフニウム、酸化タンタル、酸化ランタン、シリコン酸化物、酸化アルミニウム、酸化ニッケル、それら任意の酸化物のナノ積層体またはナノ複合物質などの金属酸化物であり、その他の数の界面またはその他の層をメモリ物質のスタック内に含むことができる。この実施例において、絶縁体は、二成分金属酸化物(BMO)、複合金属酸化物(CMO)、ナノ積層体、ドープされたまたは傾斜組成の(graded metal oxide)金属酸化物とすることができる。DRAMメモリ素子の実施例においては、低リーク、低EOT、高実効誘電率、良好な熱安定性を示す最適MIMスタックを実現することが望ましい。
この実施例のための最適なプロセスシーケンスは、本明細書に記載のスクリーニングアプローチにより生み出すことができる。図24は、出発基板を示しており、その後、従来からの製造プロセス(例えば、物理的気相成長法またはスパッタリング)により、金属電極M(例えば、TiN)が始めに基板上に均一に蒸着される。その後、金属電極が上面に蒸着された基板の領域に絶縁層を(例えば、組合せ物理的気相成長法または組合せ原子層蒸着法を介して)蒸着するために、位置分離処理(例えば、図17に記載のHPCシステムを使用する)が使用される。絶縁体の一部として、界面層を蒸着するようにしたり、あるいは絶縁体を形成するために(例えば、ALDを介して)複数の層を形成するようにしてもよい。領域間で変化させることができるALD処理のためのアイテムには、前駆体、試薬、キャリアガス、前駆体の順番、前駆体/試薬の濃度、前駆体/試薬パルスの継続時間、パージ流体種、パージ流体期間、分圧、全圧、流量、膜厚、膜組成、ナノ積層体(例えば、異なるALD膜種類の積層)などが含まれる。結果として得られる基板は、RTP(任意のステップ)を通じて後処理された後、試験される。このため、基板は金属下地層を有し、酸化物は変えられ、その後、基板はアニールされる。試験は、層の接着性、抵抗試験、ハンダはじき(dewetting)、位相/結晶化、および組成を含む。試験に基づいて、組合せのあるサブセット(例えば、低い接着性やハンダはじきを示すか、あるいはあまりにも低い膜抵抗等を有する組合せ)が除去される。
その後、この減らされたサブセットについて、図25に示されるように、M−I構造の上端に別の電極を置くことの効果について評価される。ここで、下端電極および絶縁体プロセスを固定すること(あるいは、代替的な矢印により示されるように変化させること)ができ、上端電極が変化される。結果として得られる構造は、上述したように、アニールされて試験される。ここで、試験は、MIMスタックが構築されるため、抵抗スイッチングのための電流/電圧(I/V)試験(例えば、スイッチング無し、単一の安定スイッチング、2の安定スイッチング)を含む。上述したように、試験は、スクリーニングプロセスが進むに連れてより高度なものとなり、最適なプロセスシーケンスを規定する。スクリーニングプロセスは、最適な金属酸化物と対応する単位プロセスを決定し、その後、最適な結果を組み込んで、図25に関して述べたように、上端電極とのプロセス相互作用を決定する。
求めることができるその他の代替的な実施形態は、半導体処理チャンバ内に流体を分配するための装置を含む。その装置は、第1および第2の両面を有するとともに、それら第1および第2の両面間に延びる複数の貫通路を有する邪魔板を含む。また、その装置は、邪魔板に結合されたフェイスプレートも含み、そのフェイスプレートが、当該プレートを貫通する注入ポートのセクタにセグメント化されている。セグメント化されたセクタは、フェイスプレートの軸から外方向に放射状に延びる流体分離機構を介して規定されている。流体分離機構は、注入ポートを介して伝播する流体のセクタ分離を容易に可能にし、その場合に、邪魔板およびフェイスプレートが、相互に結合されたときにプレナムを規定する。一実施形態においては、セクタの数が貫通路の数に対応し、流体分離機構が、フェイスプレートの表面から延びるボディを含み、そのボディが、隣接するセクタを介して伝播する流体の分離を維持するように構成されている。ボディは、フェイスプレートの表面と邪魔板の表面の両方から離れるように延びるものであっても、あるいは代替的には、ボディは、フェースプレートから離れて邪魔板に向かう方向に延びるものであってもよい。一実施形態において、流体分離機構は、隣接セクタ間に配置される一組の注入ポートである。一実施形態において、注入ポートは、第1および第2流路を含み、第2流路が第1流路内に配置されるものとなっている。あるいは、注入ポートが、第1および第2流路を含み、第1流路が縦軸を有し、第2流路が第1流路内に配置されて縦軸に沿って延在するものであってもよい。
別の実施形態においては、処理チャンバに流体を分配するためのシャワーヘッドが与えられている。シャワーヘッドは、複数の流体フローを独立に受け入れるための手段と、セグメント化されたセクタを介して受け入れた複数の流体フローを分配するための手段とを含む。分配するための手段は、複数の流体フローを独立に受け入れるための手段と結合されている。分配するための手段は、セグメント化されたセクタに従って受け入れた複数の流体フローを分配するための手段を介して伝播する複数の流体フローの分離を維持するための手段を含む。セグメント化されたセクタの数は、複数の流体フローの数に対応させることができる。独立に受け入れるための手段と分配するための手段とを結合させることにより、プレナムを規定するようにしてもよい。分離を維持するための手段は、分配するための手段の表面から延びるものと、分配するための手段の表面と、独立に受け入れるための手段の表面とから離れるように延びるものと、分配するための手段の表面から離れて、独立に受け入れるための手段の表面に向けて延びるものとからなる群から選択することができ、分離を維持するための手段は、セグメント化されたセクタ間で流体を伝播させるための手段を含む。一実施形態においては、分配するための手段が、流路のための第2手段内に規定された流路のための第1手段を含み、それら第1手段および第2手段が縦軸を共有するものとなっている。
さらに別の実施形態においては、基板を処理するための方法が提供される。この方法は、異なる構成成分を有する流体ボリュームの分離部分を流すステップを含み、そのステップにより、基板の対応する分離セクタを、隣接する分離セクタが曝される構成成分とは異なる構成成分の混合物に同時に曝すものとなっている。この方法は、基板の分離セクタ上に層を蒸着させるステップであって、その層が、隣接する分離セクタ上に蒸着される層とは異なるステップと、部分的に基板を回転させるステップとを含む。流すステップと蒸着させるステップが繰り返し行われ、第1層における分離セクタに対応するセグメントが、次の層における対応セグメントからオフセットされている。この方法は、セグメントと対応セグメント間で製造パラメータを変化させるステップと、物理的気相成長操作を介して次の層上に機構を蒸着させるステップとを含み、第1層と次の層の蒸着が原子層蒸着を介して実行されるものとなっている。一実施形態においては、分離セクタは第1形状を有し、機構は第2形状を有し、例えば、第1形状が円の一部、第2形状が円形となっている。蒸着操作間の部分的な回転により異なるセグメント組合せを有する積層された層は、この方法を通じて形成される。一実施形態においては、異なるセグメント組合せの数が、流体ボリュームの分離部分の数よりも多くなっている。
基板を処理するためのシステムが提供される。このシステムは、異なる構成成分を有する流体ボリュームの分離部分を流す手段を含み、その手段により、基板の対応する分離セクタを、隣接する分離セクタが曝される構成成分とは異なる構成成分の混合物に同時に曝すものとなっている。基板の分離セクタ上に層を蒸着させる手段であって、その層が、隣接する分離セクタ上に蒸着される層とは異なる手段が含まれるとともに、上記層の上に次の層を蒸着させるために部分的に基板を回転させる手段であって、その層における分離セクタの1つに対応するセグメントが、次の層の対応セグメントからオフセットされる手段が含まれる。セグメントと対応セグメント間で製造パラメータを変化させる手段と、物理的気相成長操作を介して次の層上に機構を蒸着させる手段とが含まれている。分離セクタが第1形状を有し、機構が第2形状を有するようにしてもよい。このシステムは、蒸着操作間の部分的な回転により異なるセグメント組合せを有する積層された層を形成する手段を含み、異なるセグメント組合せの数が、流体ボリュームの分離部分の数よりも多くなっている。
基板上に物質を蒸着させるための基板処理システムが提供される。このシステムは、処理チャンバと、プロセス流体を処理チャンバ内に導入するための流体分配システムと、処理チャンバとの間で流体が流通する圧力制御システムと、処理チャンバ内に配置された回転支持システムと、流体分配システムおよび圧力制御システムとの間でデータ通信するプロセッサと、コントローラとの間でデータ通信するメモリとを含む。メモリは、プロセッサにより実行されるプログラムを格納し、そのプログラムが、基板処理ステムの操作を制御して、物質を蒸着すべく処理チャンバ内の条件を確立するためのものとなっている。そのプログラムは、流体分配システムの操作を制御する第1サブルーチンを含み、その第1サブルーチンが、異なる構成成分を有する流体ボリュームの分離部分を流して、支持システムの表面の対応する分離セクタを、隣接する分離セクタが曝される構成成分とは異なる構成成分の混合物に同時に曝すためのものとなっている。分離セクタ上に蒸着される層は、隣接する分離セクタ上に蒸着される層とは異なり、回転支持システムは、隣接層スタックが異なるセグメント組合せにより規定されるように層スタック間において部分的に回転する。流体分配システムは、前駆体流体およびキャリア流体を表面上に分配するための流体分配装置を含み、この流体分配装置がフェイスプレートを含み、このフェイスプレートが、当該プレートを貫通する複数セットの注入ポートを有している。流体分離機構は、複数セットの注入ポートの隣接セットを介して伝播する異なる部分の分離を容易に可能とするように配置されている。流体分配システムは、前駆体流体およびキャリア流体を表面上に分配するための流体分配装置をさらに含み、この流体分配装置が、フェイスプレートであって、当該プレートを貫通する複数セットの注入ポートを有するフェイスプレートと、複数セットの注入ポートの隣接セットを介して伝播する異なる部分の分離を維持するためにフェイスプレートから延びるボディとを含むものとなっている。一実施形態においては、複数セットの1つの注入ポートが、フェイスプレートの中心部分から外周部分へと放射状に延びる線に沿って配列されている。流体分配システムは、プロセス流体を表面の第1面に向けて導き、圧力制御システムは、処理チャンバからのプロセス流体を、第1面の反対側に配置された表面側から排出する。基板の中心部分は、軸および流体分配システムの周囲に放射状に対照的に配置され、それにより、プロセス流体のフローを生成して、流体ボリュームが軸の周囲に放射状に対照的に配置されるものとなっている。流体分配システムおよび圧力制御システムは、表面に向かって当該表面を半径方向に横切る流体の一方向の動きを形成するように作動する。プログラムは、追加的なサブルーチンをさらに含み、そのサブルーチンが、流体分配システムの操作を制御して、キャリア流体および前駆体流体を処理チャンバ内に導入するとともに、キャリアおよび前駆体流体から、基板の表面上を通過する追加的な流体ボリュームを与えるものとなっている。追加的な流体ボリュームの異なる部分は、共通の追加的構成成分を有し、それにより、基板の分離セクタの各々が、基板の隣接セクタが曝される追加的な構成成分と等しい追加的な構成成分の混合物に曝されるものとなっている。
別の実施形態においては、基板上に物質を蒸着する方法が提供される。この方法は、基板の両面を通り過ぎるようにプロセス流体を流すステップを含み、そのステップにより、前記両面の一方の分離領域を、当該一方の隣接領域が曝されるプロセス流体の構成成分とは異なるプロセス流体の構成成分の混合物に曝すものとなっている。少なくとも1の領域の表面の近傍の雰囲気において条件が確立されて、プロセス流体から物質が生成される。この方法は、分離領域を蒸着物およびパージ流体に順次曝すステップを含むことができる。プロセス流体のフローは、異なる構成成分を有するプロセス流体の部分間の圧力平衡および流速を利用して、隣接領域上に分離される。一実施形態においては、プロセス流体を流すステップ間で基板が回転される。流すステップは、キャリアガスの第1フローと、前駆体を含む第2フローとを前記両面の一方に向けるステップを含み、それぞれの圧力を、前記両面の一方の近傍の異なる構成成分を含む処理流体の分離を維持するために、第1フローおよび第2フロー間で実質的に同一にするようにしてもよい。一実施形態においては、プロセス流体が、前記両面の一方とは別の面から排出されており、その面から排出するための伝導性が、前記両面の一方の上方の伝導性よりも大きく、その確立が、流量を作り出すとともに、プロセス流体のフローの分離を維持するものとなっている。この方法においては、基板の中心部が、軸の周囲に放射状に対照的に配置されるとともに、流すステップが、軸の周囲に放射状に対照的に配置される流体ボリュームを導くステップをさらに含む。
別の実施形態においては、半導体処理システムが提供される。このシステムは、キャリア流体および前駆体を含む複数の成分を収容する流体供給部を有する。この流体供給部は、複数の成分の異なる混合物を貯蔵して供給するように構成されている。中心フレームに取り付けられるチャンバが含まれ、その中心フレームの周囲には複数のその他のチャンバが置かれる。そのチャンバは、流体供給部との間で流体が流通するシャワーヘッドを含む。このシャワーヘッドは、異なる構成成分を含む流体フローを受け入れて、成分の分離を維持するように構成されている。基板支持部と、真空機構に結合された真空注入口とが含まれる。真空注入口は、基板支持部の外周領域の近傍の伝導性よりも大きい伝導性を有し、流体供給部が、実質的に同一の圧力をそれぞれ有する異なるフローを提供するとともに、真空機構が、流体の流速が基板支持部の近傍の領域における流体フロー分離を維持できるようにするものとなっている。一実施形態において、上記その他のチャンバの1つが、基板を組合せ的に処理するように構成された物理的気相成長法(PVD)モジュールとなっている。チャンバは、流体フローの余剰流体ボリュームを排出するための真空源との間で流体が流通可能で、真空源への注入口がシャワーヘッドとは別個のものとなっている。一実施形態においては、真空源への注入口の伝導性が、基板支持部の外周の周囲に規定されるチャネルの伝導性よりも大きく、それを通って、余剰流体ボリュームが真空源の注入口に流れるものとなっている。一実施形態においては、シャワーヘッドが、その表面を横切って外方向に放射状に延びる流体分離機構を含むとともに、注入ポートのセットとなるものであってもよい。
この実施形態は、基板上に物質を形成する組合せ蒸着法を含む。この方法は、シャワーヘッドの対応部分によって異なる流体の複数のフローを同時に提供するステップを含む。流体ボリュームは、前記異なる流体の複数のフローから基板上に流れてフローパターンを形成し、その際に、基板の分離された領域が、異なる構成部分を有する流体ボリュームの部分に同時に曝されるものとなっている。プロセス条件は、流している間に複数のフローの1つから物質を蒸着させるのに適した条件で維持される。シャワーヘッドの対応部分と同等の流体の複数のフローが提供されるとともに、プロセス条件が、基板の分離された複数の領域上に同等の流体のフローから物質層を蒸着させるのに適した条件で維持される。この方法は、流体ボリュームのフローパターンと分離された領域との間の空間的な関係を変更するステップを含み、このステップより、シャワーヘッド固定を維持する間に、曝される少なくとも1つ分離領域を変更するものとなっている。この変更は、基板を回転させること、または異なる流体の複数のフローを供給するバルブを操作することの一方を含むものであってもよい。この方法は、空間的関係を順次変更するステップを含み、それにより基板上に蒸着物質の積層を形成することができる。一実施形態においては、その変更後に、複数の分離領域が、その分離領域の1つのパージ中に、異なる構成成分に曝される。分離領域の1つに対応する積層のセグメント内においては、当該セグメントが、空間関係の変更によって、異なる物質層から構成されている。流している間に複数のフローの1つから物質を蒸着させるのに適したプロセス条件を維持した後は、上記方法は、積層の複数のセグメント上に機構を組合せ的に蒸着するステップを含み、セグメントが分離領域に空間的に対応するものとなっている。一実施形態においては、分離領域の数が、異なる流体のフローの数よりも多くなっている。別の実施形態においては、隣接する分離領域間の水平拡散領域が、隣接する分離領域間の境界の近接位置に維持される。各方法の操作は、物質を蒸着するステップと物質層を蒸着するステップとの間の真空を破壊せずに、共通のチャンバ内で実行することができる。
別の実施形態においては、組合せ蒸着システムが提供される。このシステムは、異なる流体の複数のフローを処理チャンバに同時に提供するための手段と、異なる流体の複数のフローから基板上に流体ボリュームを流してフローパターンを形成するための手段と、基板の分離領域を、異なる構成部分を有する流体ボリュームの部分に同時に曝すための手段とを含む。このシステムは、流している間に複数のフローの1つから物質を蒸着させるのに適したプロセス条件を維持するための手段と、基板の複数の分離領域上に物質の実質的に均一な層を蒸着させるための手段とを含む。一実施形態においては、シャワーヘッド固定を維持する間に、曝される少なくとも1つ分離領域を変更するために、流体ボリュームのフローパターンと分離領域との間の空間的な関係を変更する手段と、基板を回転させるための手段と、処理チャンバへの複数のフローの供給を変更するための手段とを含む。流すための手段は分離されたシャワーヘッドであってもよく、その場合、セグメントの数は、異なる流体の複数のフローの数と同じとなる。また、処理チャンバを排気するための手段が含まれており、この処理チャンバを排気するための手段が第1伝導性を有する注入口を含み、その第1伝導性が、処理チャンバの処理領域内へのアクセスを可能にするチャネルの伝導性よりも大きくなっている。一実施形態においては、フローパターンが、軸方向に対称的なフローパターンとなっている。別の実施形態においては、流すための手段が、基板の端部から基板を横断する直線的な表面フローを提供する。処理チャンバとの間で流体を流通可能な圧力制御手段と、複数のフローを同時に与えるための手段とを含むことができ、圧力制御手段が、基板の表面に向かって基板の表面を半径方向に横切る一方向の動きの中に流体ボリュームのフローを生成するように構成されている。複数のフローを同時に提供する手段は、キャリア流体および前駆体流体を処理チャンバ内に導入するとともに、キャリアおよび前駆体流体から、異なる構成成分を有する流体ボリュームの部分を有する基板の表面上を通る流体ボリュームを与え、その結果、基板の異なる領域が、基板の隣接領域が曝される構成成分の混合物とは異なる構成成分の混合物に曝されることとなる。複数のフローを同時に提供する手段は、流す手段の中心部分に衝突する、キャリア流体の第1フローと前駆体流体の第2フローとを生成するように構成されて、第1および第2フローの相対的な流量が、流体ボリュームの部分の圧力を釣り合わせるために確立されるものであってもよい。流すための手段は、複数のフローの流体分離を維持するための手段を含み、この流体分離を維持するための手段を、流すための手段の隣接セクタ間に配置して、流すための手段の中心部から外周部へと半径方向に延びる線に沿って設置することができる。一実施形態においては、流体分離を維持するための手段が、流すための手段の隣接セクタを通って伝播する流体の分離を維持するために、流すための手段から延びるボディを含む。一実施形態においては、基板の中心部が軸の周囲に半径方向に対称的に配置されて、フローパターンが軸の周囲に半径方向に対称的に配置されるものとなっている。実質的に均一な層が、複数のフローの1つから物質を吸着させた後に真空を破壊することなく、蒸着されるものであってもよい。
さらに別の実施形態においては、蒸着システムが提供される。このシステムは、複数の流体フローを処理チャンバに同時に提供するように構成された流体供給システムと、この流体供給システムとの間で流体が流通するシャワーヘッドとを含む。シャワーヘッドは、異なる流体の複数のフローから流体ボリュームの1つを分配してフローパターンを形成するように構成され、このフローパターンが、システムの表面を、同じ流体の複数のフローからの流体ボリュームまたは異なる構成部分を有する流体ボリュームの分離部分に同時に曝すものとなっている。また、システムは、隣接領域が曝される構成成分とは異なる構成成分の混合物への流体ボリュームの分離部分からの物質の蒸着と、同じ流体の複数のフローからの物質の蒸着の一方に適した処理チャンバ内のプロセス条件を交互に繰り返すためのコントローラも含む。プロセスチャンバ内に注入口を有する真空ポンプであって、その注入口が、処理チャンバの処理領域内へのアクセスを与えるチャネルの伝導性よりも大きい伝導性を有する真空ポンプを含むようにしてもよい。一実施形態においては、回転基板支持部であって、その部分的な回転を介して、フローパターンとその下方の表面との間の空間的な関係の変更を可能とする回転基板支持部が提供される。シャワーヘッドは、複数のフローの数と同じセグメント数にセグメント化されるものであってもよい。空間的な関係の変更は、多重積層が、回転基板支持部上に配置される基板の表面に蒸着されるのを可能とし、その場合に、2つの多重積層が、それら多重積層間の空間的な関係の変更により、互いにある程度オフセットした対応する分離領域を有する。流体供給システムは、試薬サブシステムおよび前駆体サブシステムを含み、この流体供給システムは、さらに、上方に流体ボリュームが流れる表面に対する、流体ボリュームの空間的な変更を可能にするマニホールドシステムを含む。
要約すると、上述した実施形態は、半導体製造操作用の物質、単位プロセスおよびプロセスシーケンスの迅速で効率的なスクリーニングを可能にする。本明細書に記載した原子層蒸着ツールを介して、2つの組合せまたは互いの上にある同じ平面内において、様々な層を基板の表面上に組合せ的に蒸着させることができる。一実施形態においては、組合せプロセスシーケンスが、従来のプロセスフローから基板を得て、非従来型の手法により、すなわち組合せ的に、基板上に様々な構造または装置をもたらすものとなっている。しかしながら、実際の構造または装置は、分析のために形成される。すなわち、層、装置要素、溝、ビアホールなどは、従来のプロセスを通じて規定された、層、装置要素、溝、ビアホールなどと同等である。本明細書に記載の実施形態は、フラットパネルディスプレイ、オプトエレクトロニクスデバイス、データストレージデバイス、磁気電子デバイス、光磁気デバイス、パッケージデバイスなどのプロセス操作など、任意の半導体製造操作またはその他の付随する技術と組み合わせることができる。
特定の実施形態の観点から本発明を説明してきたが、本発明の範囲内で様々な変更を行うことができることが、当業者に認識されるものと考えられる。例えば、4つの四分円を示したが、物質を蒸着させるために用いられる異なるプロセス流体の数に応じて、任意の数量の四分円を提供することが可能である。また、処理チャンバがALDまたはCVD法の何れか用の標準的な処理チャンバとして機能するように、構成成分の均質な混合物を有する処理ボリュームを提供することも可能である。したがって、本発明の範囲は、上述した記載に限定すべきではない。むしろ、本発明の範囲は、その均等物の全範囲を含み、列挙される請求項に基づいて特定すべきである。

Claims (17)

  1. 基板を組合せ的に処理する方法において、
    前記基板の表面上に流体ボリュームを流すステップであって、前記基板の分離領域を、隣接する領域が曝される構成成分とは異なる構成成分の混合物に同時に曝すために、前記流体ボリュームの異なる部分が、異なる構成成分を有するものとされるフローイングステップと、
    前記フローイングステップを複数回行うことにより、異なる方法で処理される分離領域を生成する生成ステップとを備えることを特徴とする方法。
  2. 請求項1に記載の方法において、
    前記生成ステップが、前記フローイングステップを複数回行う間に物質を生成するために、少なくとも1の前記分離領域の前記表面の近傍の雰囲気における条件を確立することを特徴とする方法。
  3. 請求項1に記載の方法において、
    前記分離領域を処理流体およびパージ流体に順次曝すステップをさらに備え、
    前記処理流体が少なくとも1の前駆体を含み、前記パージ流体がキャリアガスであり、前記分離領域の1つが、前記フローイングステップ中に1またはそれ以上の前駆体に曝されることを特徴とする方法。
  4. 請求項1に記載の方法において、
    物理的障壁または流体の流れの一方により、前記異なる部分の隣接部分を分離するステップをさらに備えることを特徴とする方法。
  5. 請求項4に記載の方法において、
    前記隣接部分を分離するステップが、前記隣接部分間で共有の外周端部に沿う、隣接する異なる部分の相互拡散を可能にし、分離された隣接部分の残りが、実質的に均一に処理されることを特徴とする方法。
  6. 請求項1に記載の方法において、
    前記流体ボリュームの前記異なる部分の分離を維持するために、前記流体ボリュームの前記異なる部分の各々の圧力を実質的に釣り合わせるステップと、
    非処理時間中に前記流体ボリュームを流すステップと、
    前記基板の表面に向かって前記基板の表面を半径方向に横切る前記流体の各流体フローについて、単一方向の動きを形成するために、前記流体フローを排気するステップとをさらに備えることを特徴とする方法。
  7. 請求項1に記載の方法において、
    真空源へのチャネルの伝導性が、前記基板の外周のまわりに形成されるチャネルの伝導性よりも大きいことを特徴とする方法。
  8. 請求項1に記載の方法において、
    前記流体ボリュームの前記異なる部分と前記基板の前記分離領域との間の空間的配置を変化させるステップと、
    前記フローイングステップおよび前記生成ステップを繰り返し行うステップとをさらに備え、
    前記空間的配置を変化させるステップが、
    前記流体ボリュームの前記異なる部分と前記基板の前記分離領域との間の相対的な角度位置を複数回、順次変化させるステップを含み、
    前記流体ボリュームの前記異なる部分と前記基板の前記分離領域との間の相対的な順次的角度位置の各々が、予め設定された時間維持されることを特徴とする方法。
  9. 請求項1に記載の方法において、
    前記異なる部分における圧力を実質的に釣り合わせるために、流速を用いて、前記異なる部分の隣接部分を分離するステップをさらに含むことを特徴とする方法。
  10. 基板を組合せ的に処理するためのチャンバにおいて、
    流体源との間で流体が流通するシャワーヘッドであって、異なる構成成分を有する流体フローを受け入れるための複数の注入口と、前記流体フローの分離を維持するための流体分離機構とを有するシャワーヘッドと、
    基板支持部と、
    前記基板支持部により規定される空間に向かって当該空間を放射状に横切る指向性流体フローを維持するために、前記シャワーヘッドと前記基板支持部との間の上流領域に対してより高い伝導性を有する真空源とを備えることを特徴とするチャンバ。
  11. 請求項10に記載のチャンバにおいて、
    前記基板支持部上に配置される基板をさらに備え、異なる構成成分を有する前記流体ボリュームの異なる部分が、前記基板の分離領域を、隣接する領域が曝される構成成分とは異なる構成成分の混合物に同時に曝すように構成され、
    前記チャンバが、当該チャンバに結合された流体供給システムをさらに備え、この流体供給システムが、前記基板の前記隣接する領域が曝される前記流体フローの分離が維持されるように、前記シャワーヘッドに与えられる前記流体フローのそれぞれの圧力を均等化できるものであることを特徴とするチャンバ。
  12. 請求項10に記載のシステムにおいて、
    前記真空源への注入口が、前記基板支持部の下方と、前記基板の端部の近傍の何れか一方に配置されていることを特徴とするシステム。
  13. 請求項10に記載のシステムにおいて、
    前記流体分離機構が、前記シャワーヘッドの表面を横切って外方向に放射状に延び、前記流体分離機構が、前記シャワーヘッド内の壁、前記シャワーヘッドから前記チャンバ内に延びる壁、同心ポート、または半径方向に整列された注入ポートのセットの中の1つであることを特徴とするシステム。
  14. 処理チャンバ内で組合せ処理を実行するためのシステムにおいて、
    異なるフローが異なる構成成分を含むように、前記システムの複数の流体注入口に、対応する流体フローを供給するための手段と、
    基板支持部と、
    分配手段であって、前記異なる流体フローが、この分配手段と前記基板支持部との間で分離された状態で保たれるように、前記複数の流体注入口から前記流体フローを分配するための手段と、
    前記処理チャンバを排気して、前記基板支持部により規定される領域上で前記異なるフローの分離を維持することにより、組合せ処理を生じさせる手段とを備えることを特徴とするシステム。
  15. 請求項14に記載のシステムにおいて、
    前記基板支持部上に配置される基板をさらに備え、前記基板の隣接する領域が、前記異なる流体フローの異なる構成成分に曝されることを特徴とするシステム。
  16. 請求項14に記載のシステムにおいて、
    前記流体を分配する手段が、前記複数の流体注入口からの前記流体フローの分離を維持するための手段と、前記流体フローを流している間に、前記領域のセクタを1またはそれ以上の前駆体に曝すための手段とを備えることを特徴とするシステム。
  17. 請求項14に記載のシステムにおいて、
    前記処理チャンバを排気する手段が、当該手段のための注入口において、前記基板支持部の外周端部の周囲に規定される領域の伝導性よりも大きい伝導性を有することを特徴とするシステム。
JP2010524154A 2007-09-05 2008-09-05 蒸気に基づく組合せ処理 Expired - Fee Related JP5535913B2 (ja)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US97019907P 2007-09-05 2007-09-05
US60/970,199 2007-09-05
US12/013,729 US8440259B2 (en) 2007-09-05 2008-01-14 Vapor based combinatorial processing
US12/013,759 2008-01-14
US12/013,759 US8334015B2 (en) 2007-09-05 2008-01-14 Vapor based combinatorial processing
US12/013,729 2008-01-14
PCT/US2008/075301 WO2009032958A1 (en) 2007-09-05 2008-09-05 Vapor based combinatorial processing

Publications (2)

Publication Number Publication Date
JP2010538498A true JP2010538498A (ja) 2010-12-09
JP5535913B2 JP5535913B2 (ja) 2014-07-02

Family

ID=40407928

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2010524154A Expired - Fee Related JP5535913B2 (ja) 2007-09-05 2008-09-05 蒸気に基づく組合せ処理

Country Status (7)

Country Link
US (4) US8334015B2 (ja)
EP (1) EP2186117A4 (ja)
JP (1) JP5535913B2 (ja)
KR (1) KR101534362B1 (ja)
CN (1) CN101842873B (ja)
TW (1) TWI398547B (ja)
WO (1) WO2009032958A1 (ja)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2014518452A (ja) * 2011-06-11 2014-07-28 東京エレクトロン株式会社 気相成長システム用のプロセスガスディフューザ組立体
WO2014168096A1 (ja) * 2013-04-07 2014-10-16 Murakawa Shigemi 回転型セミバッチald装置およびプロセス
WO2015050172A1 (ja) * 2013-10-03 2015-04-09 Jswアフティ株式会社 原子層堆積装置および原子層堆積方法
JP2015179843A (ja) * 2014-03-18 2015-10-08 エーエスエム アイピー ホールディング ビー.ブイ. 複数の反応チャンバを共有するガスシステムで均一な処理を行う方法
JP2019519682A (ja) * 2016-05-27 2019-07-11 エーエスエム イーペー ホールディング ベー.フェー. 半導体ウェハ処理のための装置
JP2019192865A (ja) * 2018-04-27 2019-10-31 株式会社堀場エステック 基板処理装置及び基板処理装置用プログラム
JP2021536531A (ja) * 2018-09-14 2021-12-27 アプライド マテリアルズ インコーポレイテッドApplied Materials, Incorporated 複数前駆体の均一供給のためのセグメント化シャワーヘッド

Families Citing this family (443)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8986456B2 (en) * 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
US8334015B2 (en) * 2007-09-05 2012-12-18 Intermolecular, Inc. Vapor based combinatorial processing
US8039052B2 (en) * 2007-09-06 2011-10-18 Intermolecular, Inc. Multi-region processing system and heads
KR101376336B1 (ko) * 2007-11-27 2014-03-18 한국에이에스엠지니텍 주식회사 원자층 증착 장치
US8129288B2 (en) * 2008-05-02 2012-03-06 Intermolecular, Inc. Combinatorial plasma enhanced deposition techniques
US8726838B2 (en) * 2010-03-31 2014-05-20 Intermolecular, Inc. Combinatorial plasma enhanced deposition and etch techniques
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
JP5131240B2 (ja) * 2009-04-09 2013-01-30 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
JP5336968B2 (ja) * 2009-07-30 2013-11-06 東京エレクトロン株式会社 プラズマ処理装置用電極及びプラズマ処理装置
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8883270B2 (en) * 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
KR101108879B1 (ko) 2009-08-31 2012-01-30 주식회사 원익아이피에스 가스분사장치 및 이를 이용한 기판처리장치
KR101625078B1 (ko) * 2009-09-02 2016-05-27 주식회사 원익아이피에스 가스분사장치 및 이를 이용한 기판처리장치
EP2501839B1 (en) * 2009-11-16 2016-01-27 FEI Company Gas delivery for beam processing systems
KR20110054840A (ko) * 2009-11-18 2011-05-25 주식회사 아토 샤워헤드 어셈블리 및 이를 구비한 박막증착장치
US8741394B2 (en) * 2010-03-25 2014-06-03 Novellus Systems, Inc. In-situ deposition of film stacks
US10658161B2 (en) * 2010-10-15 2020-05-19 Applied Materials, Inc. Method and apparatus for reducing particle defects in plasma etch chambers
US8845806B2 (en) * 2010-10-22 2014-09-30 Asm Japan K.K. Shower plate having different aperture dimensions and/or distributions
US9052065B2 (en) * 2010-12-01 2015-06-09 Gp Strategies Corporation Liquid dispenser
US20120149209A1 (en) * 2010-12-14 2012-06-14 Ed Haywood Process sequencing for hpc ald system
US8906160B2 (en) * 2010-12-23 2014-12-09 Intermolecular, Inc. Vapor based processing system with purge mode
US8746284B2 (en) 2011-05-11 2014-06-10 Intermolecular, Inc. Apparatus and method for multiple symmetrical divisional gas distribution
US9175391B2 (en) * 2011-05-26 2015-11-03 Intermolecular, Inc. Apparatus and method for combinatorial gas distribution through a multi-zoned showerhead
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US20120315396A1 (en) * 2011-06-13 2012-12-13 Intermolecular, Inc. Apparatus and method for combinatorial plasma distribution through a multi-zoned showerhead
US9175392B2 (en) * 2011-06-17 2015-11-03 Intermolecular, Inc. System for multi-region processing
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10066297B2 (en) * 2011-08-31 2018-09-04 Alta Devices, Inc. Tiled showerhead for a semiconductor chemical vapor deposition reactor
US9175393B1 (en) * 2011-08-31 2015-11-03 Alta Devices, Inc. Tiled showerhead for a semiconductor chemical vapor deposition reactor
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US20130125818A1 (en) * 2011-11-22 2013-05-23 Intermolecular, Inc. Combinatorial deposition based on a spot apparatus
US8609519B2 (en) * 2011-11-22 2013-12-17 Intermolecular, Inc. Combinatorial approach for screening of ALD film stacks
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US8900364B2 (en) * 2011-11-29 2014-12-02 Intermolecular, Inc. High productivity vapor processing system
US20130171350A1 (en) * 2011-12-29 2013-07-04 Intermolecular Inc. High Throughput Processing Using Metal Organic Chemical Vapor Deposition
US8846484B2 (en) 2012-02-15 2014-09-30 Intermolecular, Inc. ReRAM stacks preparation by using single ALD or PVD chamber
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US20130243971A1 (en) * 2012-03-14 2013-09-19 Applied Materials, Inc. Apparatus and Process for Atomic Layer Deposition with Horizontal Laser
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
TWI622664B (zh) 2012-05-02 2018-05-01 Asm智慧財產控股公司 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法
US8728832B2 (en) * 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
JP6255152B2 (ja) * 2012-07-24 2017-12-27 株式会社日立ハイテクノロジーズ 検査装置
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20140110764A1 (en) * 2012-10-19 2014-04-24 Intermolecular Inc. Method to control amorphous oxide layer formation at interfaces of thin film stacks for memory and logic components
US20140120735A1 (en) * 2012-10-31 2014-05-01 Macronix International Co., Ltd. Semiconductor process gas flow control apparatus
US8821985B2 (en) * 2012-11-02 2014-09-02 Intermolecular, Inc. Method and apparatus for high-K gate performance improvement and combinatorial processing
TWI480417B (zh) 2012-11-02 2015-04-11 Ind Tech Res Inst 具氣幕之氣體噴灑裝置及其薄膜沉積裝置
US9040465B2 (en) * 2012-11-19 2015-05-26 Intermolecular, Inc. Dielectric doping using high productivity combinatorial methods
US8821987B2 (en) * 2012-12-17 2014-09-02 Intermolecular, Inc. Combinatorial processing using a remote plasma source
US9023438B2 (en) 2012-12-17 2015-05-05 Intermolecular, Inc. Methods and apparatus for combinatorial PECVD or PEALD
US20140178583A1 (en) * 2012-12-20 2014-06-26 Intermolecular, Inc. Combinatorial Methods and Systems for Developing Thermochromic Materials and Devices
US20140178578A1 (en) * 2012-12-26 2014-06-26 Intermolecular, Inc. Barrier Layers for Silver Reflective Coatings and HPC Workflows for Rapid Screening of Materials for Such Barrier Layers
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
TW201443272A (zh) * 2013-02-20 2014-11-16 Applied Materials Inc 基板的壓差吸附之裝置與方法
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9209134B2 (en) * 2013-03-14 2015-12-08 Intermolecular, Inc. Method to increase interconnect reliability
TWI627305B (zh) * 2013-03-15 2018-06-21 應用材料股份有限公司 用於轉盤處理室之具有剛性板的大氣蓋
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9269567B2 (en) * 2013-12-17 2016-02-23 Intermolecular, Inc. High productivity combinatorial processing using pressure-controlled one-way valves
US9087864B2 (en) 2013-12-19 2015-07-21 Intermolecular, Inc. Multipurpose combinatorial vapor phase deposition chamber
US20150184287A1 (en) * 2013-12-26 2015-07-02 Intermolecular, Inc. Systems and Methods for Parallel Combinatorial Vapor Deposition Processing
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
DE102014106523A1 (de) * 2014-05-09 2015-11-12 Aixtron Se Vorrichtung und Verfahren zum Versorgen einer CVD- oder PVD-Beschichtungseinrichtung mit einem Prozessgasgemisch
JP5837962B1 (ja) * 2014-07-08 2015-12-24 株式会社日立国際電気 基板処理装置、半導体装置の製造方法およびガス整流部
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
JP5808472B1 (ja) * 2014-09-24 2015-11-10 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、プログラムおよび記録媒体
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
JP6305314B2 (ja) * 2014-10-29 2018-04-04 東京エレクトロン株式会社 成膜装置およびシャワーヘッド
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10658222B2 (en) 2015-01-16 2020-05-19 Lam Research Corporation Moveable edge coupling ring for edge process control during semiconductor wafer processing
TW201634738A (zh) * 2015-01-22 2016-10-01 應用材料股份有限公司 用於在空間上分離之原子層沉積腔室的經改良注射器
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10954597B2 (en) * 2015-03-17 2021-03-23 Asm Ip Holding B.V. Atomic layer deposition apparatus
US10049862B2 (en) * 2015-04-17 2018-08-14 Lam Research Corporation Chamber with vertical support stem for symmetric conductance and RF delivery
KR102638572B1 (ko) * 2015-06-17 2024-02-21 어플라이드 머티어리얼스, 인코포레이티드 프로세스 챔버 내의 가스 제어
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9793097B2 (en) * 2015-07-27 2017-10-17 Lam Research Corporation Time varying segmented pressure control
US10957561B2 (en) * 2015-07-30 2021-03-23 Lam Research Corporation Gas delivery system
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
KR102420015B1 (ko) * 2015-08-28 2022-07-12 삼성전자주식회사 Cs-ald 장치의 샤워헤드
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10192751B2 (en) 2015-10-15 2019-01-29 Lam Research Corporation Systems and methods for ultrahigh selective nitride etch
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
KR102462931B1 (ko) * 2015-10-30 2022-11-04 삼성전자주식회사 가스 공급 유닛 및 기판 처리 장치
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10825659B2 (en) 2016-01-07 2020-11-03 Lam Research Corporation Substrate processing chamber including multiple gas injection points and dual injector
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10147588B2 (en) 2016-02-12 2018-12-04 Lam Research Corporation System and method for increasing electron density levels in a plasma of a substrate processing system
US10438833B2 (en) 2016-02-16 2019-10-08 Lam Research Corporation Wafer lift ring system for wafer transfer
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US11411168B2 (en) 2017-10-16 2022-08-09 Akoustis, Inc. Methods of forming group III piezoelectric thin films via sputtering
US11411169B2 (en) 2017-10-16 2022-08-09 Akoustis, Inc. Methods of forming group III piezoelectric thin films via removal of portions of first sputtered material
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
JP6608332B2 (ja) * 2016-05-23 2019-11-20 東京エレクトロン株式会社 成膜装置
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10519545B2 (en) 2016-05-31 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Systems and methods for a plasma enhanced deposition of material on a semiconductor substrate
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11895920B2 (en) 2016-08-15 2024-02-06 Akoustis, Inc. Methods of forming group III piezoelectric thin films via removal of portions of first sputtered material
US10410832B2 (en) 2016-08-19 2019-09-10 Lam Research Corporation Control of on-wafer CD uniformity with movable edge ring and gas injection adjustment
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
TWI609720B (zh) * 2016-09-30 2018-01-01 漢民科技股份有限公司 應用於半導體設備之氣體噴射裝置
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) * 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
JP6376483B2 (ja) * 2017-01-10 2018-08-22 大日本印刷株式会社 蒸着マスクの製造方法、蒸着マスク装置の製造方法および蒸着マスクの良否判定方法
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP6640781B2 (ja) * 2017-03-23 2020-02-05 キオクシア株式会社 半導体製造装置
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
KR101880852B1 (ko) * 2017-05-16 2018-07-20 (주)어플라이드플라즈마 대기압 플라즈마 장치
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
TWI815813B (zh) * 2017-08-04 2023-09-21 荷蘭商Asm智慧財產控股公司 用於分配反應腔內氣體的噴頭總成
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10927459B2 (en) 2017-10-16 2021-02-23 Asm Ip Holding B.V. Systems and methods for atomic layer deposition
US11856858B2 (en) 2017-10-16 2023-12-26 Akoustis, Inc. Methods of forming doped crystalline piezoelectric thin films via MOCVD and related doped crystalline piezoelectric thin films
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
JP6575641B1 (ja) * 2018-06-28 2019-09-18 株式会社明電舎 シャワーヘッドおよび処理装置
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
KR102520811B1 (ko) * 2018-07-09 2023-04-12 다이니폰 인사츠 가부시키가이샤 증착 마스크의 양부 판정 방법, 증착 마스크의 제조 방법, 증착 마스크 장치의 제조 방법, 증착 마스크의 선정 방법 및 증착 마스크
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US10903070B2 (en) 2018-09-28 2021-01-26 Lam Research Corporation Asymmetric wafer bow compensation by chemical vapor deposition
US10896821B2 (en) * 2018-09-28 2021-01-19 Lam Research Corporation Asymmetric wafer bow compensation by physical vapor deposition
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
DE102018126617A1 (de) * 2018-10-25 2020-04-30 Aixtron Se Schirmplatte für einen CVD-Reaktor
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
WO2020232458A1 (en) * 2019-05-10 2020-11-19 Akoustis, Inc. Methods of forming doped crystalline piezoelectric thin films via mocvd and related doped crystalline piezoelectric thin films
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN114144540B (zh) * 2019-07-26 2024-06-11 应用材料公司 用于在基板上形成膜的蒸发器腔室
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
DE102019129789A1 (de) * 2019-11-05 2021-05-06 Aixtron Se Verfahren zum Abscheiden einer zweidimensionalen Schicht sowie CVD-Reaktor
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210123128A (ko) * 2020-04-02 2021-10-13 삼성전자주식회사 반도체 장치의 제조에 사용되는 장치
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210128661A (ko) 2020-04-17 2021-10-27 삼성전자주식회사 반도체 장치
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
WO2021252019A1 (en) * 2020-06-10 2021-12-16 Sandisk Technologies Llc Method and apparatus for depositing a multi-sector film on backside of a semiconductor wafer
US11702750B2 (en) 2020-06-10 2023-07-18 Sandisk Technologies Llc Method and apparatus for depositing a multi-sector film on backside of a semiconductor wafer
US11473199B2 (en) 2020-06-10 2022-10-18 Sandisk Technologies Llc Method and apparatus for depositing a multi-sector film on backside of a semiconductor wafer
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
KR20220086716A (ko) * 2020-12-03 2022-06-23 램 리써치 코포레이션 웨이퍼 보우 (bow) 보상을 위한 배면 증착 및 국부 응력 조절
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003502878A (ja) * 1999-06-24 2003-01-21 ナーハ ガジル、プラサード 原子層化学気相成長装置
JP2003277939A (ja) * 2002-03-25 2003-10-02 Japan Science & Technology Corp プラズマ成膜装置および成膜方法
JP2003532794A (ja) * 2000-05-08 2003-11-05 インテマティックス コーポレーション 材料チップのコンビナトリアル合成
US20040067641A1 (en) * 2002-10-02 2004-04-08 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
JP2004260168A (ja) * 2003-02-27 2004-09-16 Sharp Corp ナノラミネート膜の原子層堆積
WO2006065014A1 (en) * 2004-12-16 2006-06-22 Fusionaid Co., Ltd. Apparatus and method for thin film deposition
JP2007046080A (ja) * 2005-08-08 2007-02-22 Seiko Epson Corp 製膜装置、製膜方法、パターニング方法、光学装置の製造方法、および電子装置の製造方法
WO2007060159A1 (de) * 2005-11-22 2007-05-31 Aixtron Ag Verfahren zum abscheiden von schichten in einem cvd-reaktor sowie gaseinlassorgan für einen cvd-reaktor

Family Cites Families (45)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US644039A (en) * 1898-08-29 1900-02-20 American Type Founders Co Flexible pipe.
US2778743A (en) * 1954-11-16 1957-01-22 Bell Telephone Labor Inc Method of making electrical carbonfilm resistors
CH640571A5 (fr) * 1981-03-06 1984-01-13 Battelle Memorial Institute Procede et dispositif pour deposer sur un substrat une couche de matiere minerale.
FR2628984B1 (fr) * 1988-03-22 1990-12-28 Labo Electronique Physique Reacteur d'epitaxie a planetaire
US6015503A (en) * 1994-06-14 2000-01-18 Fsi International, Inc. Method and apparatus for surface conditioning
JPH0945624A (ja) * 1995-07-27 1997-02-14 Tokyo Electron Ltd 枚葉式の熱処理装置
US6726776B1 (en) * 1995-11-21 2004-04-27 Applied Materials, Inc. Low temperature integrated metallization process and apparatus
US6090210A (en) * 1996-07-24 2000-07-18 Applied Materials, Inc. Multi-zone gas flow control in a process chamber
US5884412A (en) * 1996-07-24 1999-03-23 Applied Materials, Inc. Method and apparatus for purging the back side of a substrate during chemical vapor processing
US6294026B1 (en) * 1996-11-26 2001-09-25 Siemens Aktiengesellschaft Distribution plate for a reaction chamber with multiple gas inlets and separate mass flow control loops
US6190732B1 (en) * 1998-09-03 2001-02-20 Cvc Products, Inc. Method and system for dispensing process gas for fabricating a device on a substrate
US6565661B1 (en) * 1999-06-04 2003-05-20 Simplus Systems Corporation High flow conductance and high thermal conductance showerhead system and method
JP3645768B2 (ja) * 1999-12-07 2005-05-11 シャープ株式会社 プラズマプロセス装置
US6576062B2 (en) * 2000-01-06 2003-06-10 Tokyo Electron Limited Film forming apparatus and film forming method
US6444039B1 (en) * 2000-03-07 2002-09-03 Simplus Systems Corporation Three-dimensional showerhead apparatus
US6444040B1 (en) * 2000-05-05 2002-09-03 Applied Materials Inc. Gas distribution plate
WO2002008487A1 (en) * 2000-07-24 2002-01-31 The University Of Maryland, College Park Spatially programmable microelectronics process equipment using segmented gas injection showerhead with exhaust gas recirculation
JP3946641B2 (ja) * 2001-01-22 2007-07-18 東京エレクトロン株式会社 処理装置
US20060191637A1 (en) * 2001-06-21 2006-08-31 John Zajac Etching Apparatus and Process with Thickness and Uniformity Control
US6902620B1 (en) * 2001-12-19 2005-06-07 Novellus Systems, Inc. Atomic layer deposition systems and methods
JP4074461B2 (ja) * 2002-02-06 2008-04-09 東京エレクトロン株式会社 成膜方法および成膜装置、半導体装置の製造方法
US6932871B2 (en) * 2002-04-16 2005-08-23 Applied Materials, Inc. Multi-station deposition apparatus and method
US20040129212A1 (en) * 2002-05-20 2004-07-08 Gadgil Pradad N. Apparatus and method for delivery of reactive chemical precursors to the surface to be treated
US6869641B2 (en) * 2002-07-03 2005-03-22 Unaxis Balzers Ltd. Method and apparatus for ALD on a rotary susceptor
US20040040503A1 (en) * 2002-08-29 2004-03-04 Micron Technology, Inc. Micromachines for delivering precursors and gases for film deposition
KR100497748B1 (ko) * 2002-09-17 2005-06-29 주식회사 무한 반도체소자 제조용 원자층 증착 장치 및 원자층 증착 방법
TW587139B (en) * 2002-10-18 2004-05-11 Winbond Electronics Corp Gas distribution system and method for the plasma gas in the chamber
US20040112540A1 (en) * 2002-12-13 2004-06-17 Lam Research Corporation Uniform etch system
US8153281B2 (en) * 2003-06-23 2012-04-10 Superpower, Inc. Metalorganic chemical vapor deposition (MOCVD) process and apparatus to produce multi-layer high-temperature superconducting (HTS) coated tape
JP4306403B2 (ja) * 2003-10-23 2009-08-05 東京エレクトロン株式会社 シャワーヘッド構造及びこれを用いた成膜装置
WO2005124859A2 (en) * 2004-06-10 2005-12-29 Avansys, Inc. Methods and apparatuses for depositing uniform layers
US8084400B2 (en) * 2005-10-11 2011-12-27 Intermolecular, Inc. Methods for discretized processing and process sequence integration of regions of a substrate
US7228645B2 (en) * 2005-01-11 2007-06-12 Xuyen Ngoc Pham Multi-zone shower head for drying single semiconductor substrate
US20060269690A1 (en) * 2005-05-27 2006-11-30 Asm Japan K.K. Formation technology for nanoparticle films having low dielectric constant
CA2662594A1 (en) * 2006-09-08 2008-03-13 Tokuyama Corporation Method and apparatus for producing a group iii nitride
WO2008088743A1 (en) * 2007-01-12 2008-07-24 Veeco Instruments Inc. Gas treatment systems
US8334015B2 (en) * 2007-09-05 2012-12-18 Intermolecular, Inc. Vapor based combinatorial processing
US7976631B2 (en) * 2007-10-16 2011-07-12 Applied Materials, Inc. Multi-gas straight channel showerhead
JP5192214B2 (ja) * 2007-11-02 2013-05-08 東京エレクトロン株式会社 ガス供給装置、基板処理装置および基板処理方法
US20090159213A1 (en) * 2007-12-19 2009-06-25 Applied Materials, Inc. Plasma reactor gas distribution plate having a path splitting manifold immersed within a showerhead
US20090159002A1 (en) * 2007-12-19 2009-06-25 Kallol Bera Gas distribution plate with annular plenum having a sloped ceiling for uniform distribution
US8293013B2 (en) * 2008-12-30 2012-10-23 Intermolecular, Inc. Dual path gas distribution device
US20100263588A1 (en) * 2009-04-15 2010-10-21 Gan Zhiyin Methods and apparatus for epitaxial growth of semiconductor materials
US8968473B2 (en) * 2009-09-21 2015-03-03 Silevo, Inc. Stackable multi-port gas nozzles
US20110083735A1 (en) * 2009-10-13 2011-04-14 Ips Ltd. Solar cell and method of fabricating the same

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003502878A (ja) * 1999-06-24 2003-01-21 ナーハ ガジル、プラサード 原子層化学気相成長装置
JP2003532794A (ja) * 2000-05-08 2003-11-05 インテマティックス コーポレーション 材料チップのコンビナトリアル合成
JP2003277939A (ja) * 2002-03-25 2003-10-02 Japan Science & Technology Corp プラズマ成膜装置および成膜方法
US20040067641A1 (en) * 2002-10-02 2004-04-08 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
JP2004260168A (ja) * 2003-02-27 2004-09-16 Sharp Corp ナノラミネート膜の原子層堆積
WO2006065014A1 (en) * 2004-12-16 2006-06-22 Fusionaid Co., Ltd. Apparatus and method for thin film deposition
JP2008524842A (ja) * 2004-12-16 2008-07-10 株式会社フュージョンエード 薄膜蒸着装置及び方法
JP2007046080A (ja) * 2005-08-08 2007-02-22 Seiko Epson Corp 製膜装置、製膜方法、パターニング方法、光学装置の製造方法、および電子装置の製造方法
WO2007060159A1 (de) * 2005-11-22 2007-05-31 Aixtron Ag Verfahren zum abscheiden von schichten in einem cvd-reaktor sowie gaseinlassorgan für einen cvd-reaktor
JP2009516777A (ja) * 2005-11-22 2009-04-23 アイクストロン、アーゲー Cvd反応装置における多層薄膜堆積方法及びcvd反応装置のガス入口部品

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2014518452A (ja) * 2011-06-11 2014-07-28 東京エレクトロン株式会社 気相成長システム用のプロセスガスディフューザ組立体
WO2014168096A1 (ja) * 2013-04-07 2014-10-16 Murakawa Shigemi 回転型セミバッチald装置およびプロセス
JP2014201804A (ja) * 2013-04-07 2014-10-27 村川 惠美 回転型セミバッチald装置およびプロセス
WO2015050172A1 (ja) * 2013-10-03 2015-04-09 Jswアフティ株式会社 原子層堆積装置および原子層堆積方法
JP2015073019A (ja) * 2013-10-03 2015-04-16 Jswアフティ株式会社 原子層堆積装置および原子層堆積方法
JP2015179843A (ja) * 2014-03-18 2015-10-08 エーエスエム アイピー ホールディング ビー.ブイ. 複数の反応チャンバを共有するガスシステムで均一な処理を行う方法
JP2019519682A (ja) * 2016-05-27 2019-07-11 エーエスエム イーペー ホールディング ベー.フェー. 半導体ウェハ処理のための装置
US10900122B2 (en) 2016-05-27 2021-01-26 Asm Ip Holding B.V. Apparatus for semiconductor wafer processing
JP2019192865A (ja) * 2018-04-27 2019-10-31 株式会社堀場エステック 基板処理装置及び基板処理装置用プログラム
JP7088732B2 (ja) 2018-04-27 2022-06-21 株式会社堀場エステック 基板処理装置及び基板処理装置用プログラム
JP2021536531A (ja) * 2018-09-14 2021-12-27 アプライド マテリアルズ インコーポレイテッドApplied Materials, Incorporated 複数前駆体の均一供給のためのセグメント化シャワーヘッド
JP7208363B2 (ja) 2018-09-14 2023-01-18 アプライド マテリアルズ インコーポレイテッド 複数前駆体の均一供給のためのセグメント化シャワーヘッド

Also Published As

Publication number Publication date
US8409354B2 (en) 2013-04-02
US20120090545A1 (en) 2012-04-19
JP5535913B2 (ja) 2014-07-02
US8334015B2 (en) 2012-12-18
KR101534362B1 (ko) 2015-07-06
WO2009032958A1 (en) 2009-03-12
US20090061083A1 (en) 2009-03-05
TWI398547B (zh) 2013-06-11
US20090061646A1 (en) 2009-03-05
CN101842873B (zh) 2012-06-13
CN101842873A (zh) 2010-09-22
KR20100070339A (ko) 2010-06-25
US8440259B2 (en) 2013-05-14
EP2186117A1 (en) 2010-05-19
US20090061644A1 (en) 2009-03-05
TW200932944A (en) 2009-08-01
EP2186117A4 (en) 2016-07-06

Similar Documents

Publication Publication Date Title
JP5535913B2 (ja) 蒸気に基づく組合せ処理
TWI713551B (zh) 以peald形成氮化鋁基膜的方法
TWI476298B (zh) 成膜裝置、成膜方法以及電腦可讀取記憶媒體
TWI423367B (zh) 成膜裝置及基板處理裝置
TWI486481B (zh) 成膜裝置、成膜方法及電腦可讀式記憶媒體
JP4629110B2 (ja) 薄膜蒸着装置及び方法
KR101522739B1 (ko) 성막 장치, 성막 방법 및 기억 매체
US6932871B2 (en) Multi-station deposition apparatus and method
US20070218702A1 (en) Semiconductor-processing apparatus with rotating susceptor
US20070218701A1 (en) Semiconductor-processing apparatus with rotating susceptor
US20100272895A1 (en) Film deposition apparatus, film deposition method, storage medium, and gas supply apparatus
JP5262452B2 (ja) 成膜装置及び基板処理装置
KR20110002879A (ko) 결합식 플라즈마 강화 증착 기법
TW202010865A (zh) 空間原子層沈積中的氣體分離控制
CN102108496A (zh) 成膜装置及成膜方法
JP2008509547A (ja) 高いスループットのcvd装置及び方法
KR20100028498A (ko) 성막 장치, 기판 처리 장치, 성막 방법 및 기록 매체
TW201026883A (en) Film deposition apparatus, substrate processing apparatus, film deposition method and storage medium
TWI547588B (zh) 成膜方法(一)
US10472719B2 (en) Nozzle and substrate processing apparatus using same
WO2019118841A1 (en) Selective atomic layer deposition of ruthenium
JP2009246405A (ja) 成膜方法
JP2016105440A (ja) 成膜方法
KR20190096540A (ko) 원자층 증착 시스템

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20110815

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120706

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20121115

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20121127

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130226

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130604

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130904

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20140408

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20140423

R150 Certificate of patent or registration of utility model

Ref document number: 5535913

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

LAPS Cancellation because of no payment of annual fees