JP7208363B2 - 複数前駆体の均一供給のためのセグメント化シャワーヘッド - Google Patents

複数前駆体の均一供給のためのセグメント化シャワーヘッド Download PDF

Info

Publication number
JP7208363B2
JP7208363B2 JP2021513814A JP2021513814A JP7208363B2 JP 7208363 B2 JP7208363 B2 JP 7208363B2 JP 2021513814 A JP2021513814 A JP 2021513814A JP 2021513814 A JP2021513814 A JP 2021513814A JP 7208363 B2 JP7208363 B2 JP 7208363B2
Authority
JP
Japan
Prior art keywords
gas supply
gas
temperature
showerhead
assembly
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2021513814A
Other languages
English (en)
Other versions
JP2021536531A (ja
Inventor
アレクサンダー ラーナー,
プラシャーント コスナ,
ロイ シャビブ,
サティシュ ラダクリシュナン,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2021536531A publication Critical patent/JP2021536531A/ja
Application granted granted Critical
Publication of JP7208363B2 publication Critical patent/JP7208363B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4557Heated nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Vapour Deposition (AREA)

Description

本開示の実施形態は、概して、基板を処理する装置及び技術に関し、より詳細には、反応チャンバにガスを供給するための装置に関する。
有機気相堆積は、相補型金属酸化膜半導体(CMOS)イメージセンサ(CIS)及び他の光学デバイスなどの半導体デバイスの構築にますます関連するようになってきている。しかしながら、本発明者らは、堆積プロセスにおいてワークピース上に有機材料を堆積させることには、とりわけキャリアガスの使用を妨げる、純度及び/又は汚染問題の懸念などを理由とした問題があることを見出した。
多くの場合、一度に複数の材料を堆積させる必要がある。しかしながら、幾つかの用途において、本発明者らは、より低温の材料をより高温の材料と共堆積させることにより、より低温の材料を解離させ、より高温の材料を凝縮させることができることを見出した。
したがって、本発明者らは、基板上に複数の材料を堆積させるための改良された装置を提供している。
気化した反応物などの複数のプロセスガスを反応チャンバに供給するための装置の実施形態が本明細書に記載される。幾つかの実施形態では、基板上に複数の材料を堆積させるためのシャワーヘッドアセンブリは複数のガス供給部分を備え、各ガス供給部分が、入り口と、プレナムを画成するくさび形の本体と、ガス供給部分の底面に配置された複数の開口部とを有し、プレナムの各々は、互いに流体的に分離されている。
幾つかの実施形態では、シャワーヘッドアセンブリは、第1のプレナムを画成する第1のガス供給部分、第2のプレナムを画成する第2のガス供給部分、第3のプレナムを画成する第3のガス供給部分、及び第4のプレナムを画成する第4のガス供給部分を備えており、ここで、第1、第2、第3、及び第4のガス供給部分の各々は、入り口及び複数の開口部を備えており、第1、第2、第3、及び第4のプレナムの各々は、互いに流体的に分離されている。
幾つかの実施形態では、流体的に分離されている複数のガス供給部分を有するセグメント化されたシャワーヘッドを通じて前駆体を導入する方法は、第1のガス供給部分を第1の温度へと加熱すること;及び、第2のガス供給部分を第1の温度とは異なる第2の温度へと同時に加熱することであって、第1及び第2のガス供給部分の各々が、(i)プレナムを画成するくさび形の本体を有しており、(ii)同一平面上にあり、かつ(iii)それらが一緒に円形形状を有するシャワーヘッドを形成する、加熱することを含む。
本開示の他の実施形態及びさらなる実施形態については、以下に説明する。
上記で簡潔に要約し、以下により詳細に述べる本開示の実施形態は、添付の図面に示される本開示の例示的な実施形態を参照することにより、理解することができる。添付の図面は、本開示の幾つかの実施形態を例示しており、従って、本開示は他の等しく有効な実施形態を許容しうることから、範囲を限定していると見なされるべきではない。
本開示の幾つかの実施形態によるシャワーヘッドアセンブリを有する堆積システムの概略的な側面図 本開示の幾つかの実施形態による、シャワーヘッド及びリッドアセンブリの上面等角図 本開示の幾つかの実施形態による、シャワーヘッド及びリッドアセンブリの上面等角断面図 本開示の幾つかの実施形態によるシャワーヘッドのガス供給部分の上面等角図 本開示の幾つかの実施形態によるシャワーヘッドのガス供給部分の部分断面図
理解を容易にするため、可能な場合には、図面に共通する同一の要素を示すために同一の参照番号が用いられる。図は縮尺どおりには描かれておらず、分かり易くするために簡略化されることがある。一実施形態の要素及び特徴は、さらなる記述がなくとも、他の実施形態に有益に組み込むことができる。
基板を処理するため及び/又は複数のプロセス材料を堆積チャンバに供給するための装置の実施形態が本明細書に提供される。本開示の装置は、供給システムを出る前に2つ以上の隣接するプロセス材料間の熱クロストークを有利に防止するように構成された、シャワーヘッドアセンブリ及び/又は供給システムを備えている。例えば、プロセス材料の2つ以上の核種又はサンプルは、シャワーヘッドアセンブリを出て基板上に堆積する前に、同じ又は異なる温度で、断熱又は相対的な断熱で装置を通して個別に処理されうる。本開示の装置は、シャワーヘッドアセンブリ全体にわたる圧力降下を有利に低減する。このプロセスは、基板又はワークピース上に堆積、成長、又は凝縮された有機薄膜の観点から説明することができるが、本開示のプロセスは、複数のプロセス材料の供給を必要とする任意の基板プロセス、特に、本明細書で提供される教示に従って、複数のプロセス材料が互いに有益に分離されるようなプロセスに適用することができる。
図1は、本開示の幾つかの実施形態による堆積システム100の概略的な側面図を示している。堆積システム100は、1つ以上の側面111、床128、及びリッド130によって少なくとも一部が画成された堆積チャンバ110を含む。堆積システム100は、堆積チャンバ110内で基板116などの基板を処理するように構成される。基板は、堆積チャンバ110内に配置された基板支持体114によって支持される。幾つかの実施形態では、堆積チャンバ110は、本開示に従って、有機前駆体の堆積などのプロセス材料堆積を実施するように構成されたCVDチャンバでありうる。使用に適した、又は本開示に従って使用に適合させることができる1つの非限定的なシステムは、米国カリフォルニア州サンタクララ所在のApplied Materials,Inc.から入手可能な処理システムのENDURA(登録商標)ラインである。他の製造業者から入手可能なものを含めた他の処理システムもまた、本明細書で提供される教示に従って修正することができる。幾つかの実施形態では、本開示による装置は、原子層堆積(ALD)を実施するように構成されたチャンバ内で利用することができる。
幾つかの実施形態では、有機層(図示せず)又はその誘導体は、堆積プロセスによって、基板116上に形成、凝縮、又は堆積されうる。幾つかの実施形態では、層は、そうでなければ従来のシャワーヘッド内で互いに望ましくない反応をするであろう複数のプロセス材料から形成することができる。幾つかの実施形態では、層は、流量、温度などの異なるプロセス要求を有する複数のプロセス材料で形成されうる。幾つかの実施形態では、本開示の装置での使用に適したプロセス材料には、基板上での昇華及び凝縮に適した任意の材料、例えば、トリス(8-キノリノラト)アルミニウム(Alq3)又はバックミンスターフラーレン(C60)が含まれる。他のプロセスガスも適切に使用することができ、ガス分配システム内のそれぞれのプロセスガス間の反応を防ぐために、限定はしないが、特に、異なる流量、異なる温度、又は異なるガス分配システムのうちの1つ以上を必要とするプロセスガスを使用することができる。
堆積システム100は、堆積チャンバ110及び前駆体供給システム120を備えている。幾つかの実施形態では、前駆体供給システム120は、1つ以上の加熱システム142を備えることができる(図1には2つ示されている)。幾つかの実施形態では、前駆体供給システム120は、1つ以上のガス供給システム150を備えることができる(図1には2つ示されている)。幾つかの実施形態では、堆積システム100の構成要素は、1つ以上の加熱システム142内の処理材料が昇華され、続いてガス供給システム150を通過して堆積チャンバ110に入ることができるように、接続され、かつ連結している。幾つかの実施形態では、1つ以上の加熱システム142、ガス供給システム150、及び堆積チャンバ110は、流体連結しうる。
前駆体供給システム120は、シャワーヘッドアセンブリ112と流体連結して、複数のプロセス材料をシャワーヘッドアセンブリ112及び基板116に供給するように構成される。シャワーヘッドアセンブリ112は、複数のガス供給部分を含む。幾つかの実施形態では、複数のガス供給部分は、同一平面上にあり、かつそれらが一緒に円形形状を有するシャワーヘッドアセンブリ112を形成する。複数のガス供給部分は、互いに流体的に分離されている(例えば、各ガス供給部分内の材料は、シャワーヘッドアセンブリ112内の他のガス供給部分内の材料と混合又は接触することができない)。前駆体供給システム120は、第1の温度で1つ以上のガス供給部分に第1のプロセス材料を供給することができる。幾つかの実施形態では、第1の温度は、摂氏約200度から約350度である。前駆体供給システム120は、第1の温度とは異なる第2の温度で1つ以上のガス供給部分に第2のプロセス材料を供給することができる。幾つかの実施形態では、第2の温度は、摂氏約450度から約600度である。幾つかの実施形態では、前駆体供給システム120は、第1の温度、第2の温度、又は第1の温度及び第2の温度とは異なる第3の温度で、1つ以上のガス供給部分に第3のプロセス材料を供給することができる。幾つかの実施形態では、前駆体供給システム120は、第1の温度、第2の温度、第3の温度、又は第1の温度、第2の温度、及び第3の温度とは異なる第4の温度で、1つ以上のガス供給部分に第4のプロセス材料を供給することができる。使用中、基板支持体114は、複数のガス供給部分からのプロセス材料が基板116上に均一に堆積されるように基板116を回転させることができる。
複数のガス供給部分間に提供される流体分離に加えて、幾つかの実施形態では、複数のガス供給セクションは、以下でさらに詳細に説明するように、堆積チャンバ110へと出る前に、各ガス供給セクション間の熱クロストークを低減又は防止するようにさらに構成される。例えば、第1のプロセス材料の温度は、シャワーヘッドアセンブリ112内の第2のプロセス材料の温度に影響を及ぼさないか、又は及ぼされる影響が少なくなるであろう。幾つかの実施形態では、第1のプロセス材料と第2のプロセス材料との間の温度差は、摂氏約200度から約400度の間である。幾つかの実施形態では、シャワーヘッドアセンブリ112は、その中の1つ以上のプロセス材料を凝縮することなく、堆積チャンバ110にプロセス材料を供給するように構成される。
幾つかの実施形態では、堆積システム100は、該堆積システム100において所定のプロセス(例えば、膜の堆積)を実行及び監視するために用いられる構成要素を備えることができる。このような構成要素は、概して、堆積システム100のさまざまなサブシステム(例えば、減圧及び排気サブシステムなど)並びにデバイス(例えば、電源、プロセス制御機器など)を含む。幾つかの実施形態では、堆積システム100は、システムの圧力を制御して、堆積システム100を減圧状態にするか、あるいは減圧状態に維持するために、第1のポンプ180、第2のポンプ181、スロットルバルブ184、及び圧力弁183を含む。圧力弁183は、減圧状態を解除するために含まれうる。
図2は、本開示の幾つかの実施形態による、シャワーヘッド及びリッドアセンブリの上面等角図を示している。示されるように、シャワーヘッド及びリッドアセンブリ200は、第1のガス供給部分220、第2のガス供給部分230、第3のガス供給部分240、及び第4のガス供給部分250を含む複数のガス供給部分を備えている。複数のガス供給部分220、230、240、250は、同一平面上にあり、かつそれらが一緒に円形形状を有するシャワーヘッドアセンブリ112を形成する。幾つかの実施形態では、シャワーヘッドの直径は、約300mmから約500mmである。幾つかの実施形態では、シャワーヘッドの直径は、基板116の直径に対応する。幾つかの実施形態では、複数のガス供給部分は、3つのガス供給部分を含みうる。幾つかの実施形態では、複数のガス供給部分は、6つのガス供給部分を含みうる。複数のガス供給部分220、230、240、250は、各ガス供給部分間に間隙246が存在するように配置される。ガス供給部分220、230、240、250間の間隔を置いた関係は、堆積チャンバ110へと出る前に、各ガス供給部分間の熱クロストークを有利に低減又は防止する。
再び図1を参照すると、第1の加熱アセンブリ125は、第1のガス供給部分220に熱を印加するように構成される。第1の加熱アセンブリ125は、実質的に均一な温度で第1のガス供給部分を維持するように構成された1つ以上の加熱要素を備えることができる。幾つかの実施形態では、第1の加熱アセンブリ125は、第1のガス供給部分220の上壁及び底壁の少なくとも一方に抵抗ヒータなどの加熱要素を備えている(以下で論じる)。第1の加熱アセンブリ125は、第1のプロセス材料が堆積チャンバ110内に移動するときに、第1の温度などの所定の温度で第1のガス供給部分220を通過する第1のプロセス材料に熱を印加するように構成される。
幾つかの実施形態では、1つ以上の第1の温度センサ141及び第1の温度コントローラ124は、第1のガス供給部分220に結合される。1つ以上の第1の温度センサ141は、第1のガス供給部分220から熱情報を取得するように構成される。第1の温度コントローラ124は、1つ以上の第1の温度センサ141から入力を受信して、第1の加熱アセンブリ125の温度を制御、調整、又は設定するように構成される。第1の温度センサ141は、熱電対、高温計などでありうる。
第2の加熱アセンブリ127は、第2のガス供給部分230に熱を印加するように構成される。第2の加熱アセンブリ127は、実質的に均一な温度で第2のガス供給部分230を維持するように構成された1つ以上の加熱要素を備えることができる。幾つかの実施形態では、第1の加熱アセンブリ125は、第2のガス供給部分230の上壁及び底壁の少なくとも一方に抵抗ヒータなどの加熱要素を備えている。第2の加熱アセンブリ127は、第2のプロセス材料が堆積チャンバ110に移動するときに、第2の温度などの所定の温度で第2のガス供給部分230を通過する第2のプロセス材料に熱を印加するように構成される。
幾つかの実施形態では、1つ以上の第2の温度センサ143及び第2の温度コントローラ126は、第2のガス供給部分230に結合される。1つ以上の第2の温度センサ143は、第2のガス供給部分230から熱情報を取得するように構成される。第2の温度コントローラ126は、1つ以上の第2の温度センサ143から入力を受信して、第2の加熱アセンブリ127の温度を制御、調整、又は設定するように構成される。1つ以上の第2の温度センサ143は、熱電対、高温計などでありうる。
第3の加熱アセンブリ155は、第3のガス供給部分240に熱を印加するように構成される。第3の加熱アセンブリ155は、実質的に均一な温度で第3のガス供給部分240を維持するように構成された1つ以上の加熱要素を備えることができる。幾つかの実施形態では、第3の加熱アセンブリ155は、第3のガス供給部分240の上壁及び底壁の少なくとも一方に抵抗ヒータなどの加熱要素を備えている。第3の加熱アセンブリ155は、プロセス材料が堆積チャンバ110に移動するときに、第1の温度、第2の温度、又は第3の温度などの所定の温度で第3のガス供給部分240を通過するプロセス材料に熱を印加するように構成される。プロセス材料は、第1のプロセス材料、第2のプロセス材料、又は第3のプロセス材料でありうる。幾つかの実施形態では、第1の温度と第2の温度との間の温度差は、摂氏約200度から約400度の間である。
幾つかの実施形態では、1つ以上の第3の温度センサ及び第3の温度コントローラ163は、第3のガス供給部分240に結合される。1つ以上の第3の温度センサ145は、第3のガス供給部分240から熱情報を取得するように構成される。第3の温度コントローラ163は、1つ以上の第3の温度センサ145から入力を受信して、第3の加熱アセンブリ155の温度を制御、調整、又は設定するように構成される。1つ以上の第3の温度センサ145は、熱電対、高温計などでありうる。
第4の加熱アセンブリ159は、第4のガス供給部分250に熱を印加するように構成される。第4の加熱アセンブリ159は、実質的に均一な温度で第4のガス供給部分250を維持するように構成された1つ以上の加熱要素を備えることができる。幾つかの実施形態では、第4の加熱アセンブリ159は、第4のガス供給部分250の上壁及び底壁の少なくとも一方に抵抗ヒータなどの加熱要素を備えている。第4の加熱アセンブリ159は、プロセス材料が堆積チャンバ110に移動するときに、第1の温度、第2の温度、第3の温度、又は第4の温度などの所定の温度で第4のガス供給部分250を通過するプロセス材料に熱を印加するように構成される。プロセス材料は、第1のプロセス材料、第2のプロセス材料、第3のプロセス材料、又は第4のプロセス材料でありうる。
幾つかの実施形態では、1つ以上の第4の温度センサ147及び第4の温度コントローラ165は、第4のガス供給部分250に結合される。1つ以上の第4の温度センサ147は、第4のガス供給部分250から熱情報を取得するように構成される。第4の温度コントローラ165は、1つ以上の第4の温度センサ147から入力を受信して、第4の加熱アセンブリ159の温度を制御、調整、又は設定するように構成される。1つ以上の第4の温度センサ147は、熱電対、高温計などでありうる。
再び図2を参照すると、シャワーヘッド及びリッドアセンブリ200は、リッドプレート210に取り付けられたシャワーヘッドアセンブリ112を備えている。リッドプレート210は、該リッドプレート210の底面202から延びる複数のマウント204を有する。シャワーヘッドアセンブリ112のガス供給部分220、230、240、250の各々は、シャワーヘッドアセンブリ201をリッドプレート210に結合するために、リッドプレート210の対応するマウント204と嵌合させることができる1つ以上のマウント216を備えている。幾つかの実施形態では、1つ以上のマウント216は、シャワーヘッドアセンブリ112の半径方向外面から延びる。幾つかの実施形態では、マウント204、216は、絶縁材料でできている。
幾つかの実施形態では、図2に示されるように、複数のガス供給部分220、230、240、250は、サイズが類似している。幾つかの実施形態では、複数のガス供給部分は、異なるサイズでありうる。幾つかの実施形態では、シャワーヘッドアセンブリ112は、2つのプロセスガスを流すことができる。例えば、第1のガス供給部分220及び第3のガス供給部分240は、第1のガス源に結合され、第2のガス供給部分230及び第4のガス供給部分250は、第2のガス源に結合される。幾つかの実施形態では、シャワーヘッドアセンブリ112は、3つのプロセスガスを流すことができる。例えば、第1及び第3のガス供給部分220、240は第1のガス源に結合され、第2のガス供給部分230は第2のガス源に結合され、第4のガス供給部分250は第3のガス源に結合される。幾つかの実施形態では、シャワーヘッドアセンブリ112は、4つのプロセスガスを流すことができる。
第1のガス供給部分220は、第1のプレナム318を画成するくさび形の本体を備えている。第1のガス供給部分220は、くさび形の本体からリッドプレート210の開口部を通じて延びる第1の入り口208を備えている。同様に、第2のガス供給部分230、第3のガス供給部分240、及び第4のガス供給部分250は、それぞれのくさび形の本体からリッドプレート210の開口部を通じて延びる、第2の入り口212、第3の入り口214、及び第4の入り口224を備えている。幾つかの実施形態では、各入り口208、212、214、224は、各ガス供給部分220、230、240、250のそれぞれの外側部分に隣接して配置される。
第1のガス供給部分220は、くさび形の本体の底面236から第1のプレナム318へと延びる複数の開口部226を備えている。複数の開口部226は、堆積チャンバ110内にプロセスガスを供給するように構成される。ガス供給部分230、240、250は、それぞれの底面238、242、244から延びる複数の開口部228、232、234をそれぞれ備えている。複数の開口部228、232、234は、ガス供給部分230、240、250の各々から堆積チャンバ110内へとプロセスガスを供給するように構成される。複数の開口部226、228、232、243は、プロセス材料を基板116上に均一に堆積するのに適した任意のパターンで配置することができる。幾つかの実施形態では、複数の開口部226、228、232、243は、約1mmから約3mmの直径を有する。
シャワーヘッド及びリッドアセンブリ200は、複数のフィードスループレート218を含む。複数のフィードスループレート218は、ワイヤがシャワーヘッドアセンブリ112からリッドプレート210を通って通過することができるように構成される。ワイヤは、ヒータワイヤ、センサワイヤなどでありうる。幾つかの実施形態では、複数のフィードスループレート218の各々は、複数の開口部222を含む。幾つかの実施形態では、フィードスループレート218は、複数のガス供給部分220、230、240、250の各々の隣に配置される。幾つかの実施形態では、1つ以上のヒータワイヤ206(1つが示されている)は、フィードスループレート218の1つを通って第1のガス供給部分220へと通過するように構成される。
図3は、本開示の幾つかの実施形態による、シャワーヘッド及びリッドアセンブリの上面等角断面図を示している。リッドプレート210は、底面202の反対側に上面302を有する。幾つかの実施形態では、リッドプレート210は、上面302から底面202に向かって延びるチャネル310を備えている。チャネル310は、流体を流してリッドプレート210を冷却するように構成される。幾つかの実施形態では、チャネル310は、該チャネル310をシールするためにプラグ308で部分的に満たされうる。幾つかの実施形態では、上面302は、第1のポート304及び第2のポート306を含む。第1のポート304及び第2のポート306は、チャネル310の内外に流体を流すように構成される。流体は、冷却剤、水などでありうる。
第1のガス供給部分220は、第1のプレナム318を画成するように、上壁332、底壁334、及び側壁336を備えている。同様に、第2、第3、及び第4のガス供給部分230、240、250の上壁、底壁、及び側壁は、それぞれ、第2のプレナム(230の内部容積)、第3のプレナム320、及び第4のプレナム(250の内部容積)を画成する。上で論じたように、シャワーヘッドアセンブリ112は、該シャワーヘッドアセンブリ112の半径方向外面から延びる1つ以上のマウント216を介してリッドプレートに結合されうる。シャワーヘッドアセンブリ112のガス供給部分220、230、240、250は、それらの間の間隙246を維持しつつ、プラグ324を用いてシャワーヘッドアセンブリ112の中心部分で互いに結合することができる。プラグ324は、ファスナのオス部分を受け入れることができる中心開口部326を有しうる。
幾つかの実施形態では、ヒートシンク330は、隣接したガス供給部分の間の間隙246に配置される。幾つかの実施形態では、ヒートシンク330は、約150W/m-K以上の導電率を有する。ヒートシンク330は、ガス供給部分220、230、240、250からの熱が、より低温であるガス供給部分220、230、240、250に放射されること(すなわち、熱クロストーク)を低減又は防止するように構成される。幾つかの実施形態では、ヒートシンク330は、熱異方性材料を含む。熱異方性材料は、材料の横方向の熱伝導率よりもはるかに大きい面内熱伝導率(基底面の伝導率)を有利に有する材料であり、したがって、面の方向における温度均一性を高めることができる。Thermal Pyrolytic Graphite(登録商標)(TPG)は、約1,500W/m-Kの面内熱伝導率及び約10W/m-Kの横方向熱伝導率を有する、熱異方性材料の一例である。適切な異方性材料の他の例には、熱分解窒化ホウ素、合成ダイヤモンドなどが含まれる。
図2及び3に示されるように、複数のガス供給部分220、230、240、250は類似している(すなわち、同一である)。以下の論述は、第1のガス供給部分220に関するものである。しかしながら、第2、第3、及び第4のガス供給部分230、240、250にも同じ論述が当てはまる。幾つかの実施形態では、第1のガス供給部分220の上壁332は、抵抗ヒータのワイヤ312を担持することができるチャネルを備えている。幾つかの実施形態では、第1のガス供給部分220の底壁334は、抵抗ヒータのワイヤを担持することができるチャネル314を備えている。幾つかの実施形態では、第1のガス供給部分220は、第1のガス供給部分220を均一に有利に加熱するために、上壁332内のワイヤ312と、底壁334のチャネル314内に配置されたワイヤ(例えば、ワイヤ506)とを含む。幾つかの実施形態では、第1の入り口208は、第1の加熱アセンブリ125によって加熱することができる。幾つかの実施形態では、支柱322は、上壁332を通して、かつ少なくとも部分的に底壁334を通して配置される。支柱322は、底壁334の温度測定を提供するために、底壁334に配置された支柱322の下端部の温度の測定を容易にするように構成される。幾つかの実施形態では、支柱328は、少なくとも部分的に上壁332を通して配置される。支柱328は、上壁322の温度測定を提供するために、上壁332に配置された支柱328の下端部の温度の測定を容易にするように構成される。例えば、幾つかの実施形態では、支柱322及び支柱328は、上部が中心開口部を有しており、底部が中実である、管である。支柱322及び支柱328の中心開口部は、それぞれの熱電対を収容するように構成される。幾つかの実施形態では、支柱322及び支柱328の少なくとも一方は、1つ以上の第1の温度センサ141に結合される。
図4は、本開示の幾つかの実施形態によるガス供給部分の上面等角図を示している。幾つかの実施形態では、第1のガス供給部分220は、くさび形の本体408と、該くさび形の本体408の外面412から半径方向外向きに湾曲する湾曲部分410とを含む。第1の入り口208は、湾曲部分410に隣接して配置されうる。
幾つかの実施形態では、第1のガス供給部分220は、くさび形の本体408を実質的に覆う(すなわち、包む)、熱シールド402を備えている。熱シールド402は、第1のガス供給部分220の複数の開口部226に対応する複数の開口部を備えている。幾つかの実施形態では、熱シールド402は、支柱328のための開口部406を備えている。幾つかの実施形態では、熱シールド402は、支柱322のための開口部414を備えている。幾つかの実施形態では、熱シールド402は、1つ以上のマウント216のための1つ以上の開口部404を備えている。熱シールド402は、熱が第1のガス供給部分220から隣接するガス供給部分に放射されること(すなわち、熱クロストーク)を低減又は防止するように構成される。熱シールド402は、ステンレス鋼、アルミニウムなどで形成される。くさび形の本体408は、ステンレス鋼、チタンなどの高純度かつ高耐熱性の材料で形成される。
図5は、本開示の幾つかの実施形態によるガス供給部分の部分断面図を示している。図5に示されるように、ノズル316は、複数の開口部226の各穴に配置することができる。幾つかの実施形態では、ノズル316は、約0.1mmから約3mmの内径を有することができる。幾つかの実施形態では、ノズル316は、チタン、チタン合金、又は窒化チタンでコーティングされた鋼を含む。ノズル316は、プロセス材料の速度、方向、及び流れを制御するように構成することができる。ノズル316は、第1のプレナム318から堆積チャンバ110へと通過するプロセス材料を噴霧するように構成される。プロセス材料の噴霧は、基板116へのプロセス材料の堆積の均一性を有利に高めることができる。プロセス材料の噴霧はまた、基板116への複数のプロセス材料の混合の均一性を有利に高める。幾つかの実施形態では、ワイヤ506(1つだけが示されている)は、第1のガス供給部分220を加熱するために、底壁334のチャネル314に配置される。
上記は本開示の実施形態を対象とするが、本開示の基本的な範囲から逸脱することなく、本開示の他の実施形態及び更なる実施形態が考案されうる。

Claims (14)

  1. 基板上に複数の材料を堆積させるためのシャワーヘッドアセンブリであって、
    複数のガス供給部分を備え、各ガス供給部分が、入り口、プレナムを画成するくさび形の本体、及び該ガス供給部分の底面に配置された複数の開口部を有し、前記プレナムの各々が互いに流体的に分離されており、
    前記複数のガス供給部分間に配置されたヒートシンクをさらに備える
    シャワーヘッドアセンブリ。
  2. 基板上に複数の材料を堆積させるためのシャワーヘッドアセンブリであって、
    複数のガス供給部分を備え、各ガス供給部分が、入り口、プレナムを画成するくさび形の本体、及び該ガス供給部分の底面に配置された複数の開口部を有し、前記プレナムの各々が互いに流体的に分離されており、
    前記複数の開口部に配置されたノズルをさらに備える、
    シャワーヘッドアセンブリ。
  3. 基板上に複数の材料を堆積させるためのシャワーヘッドアセンブリであって、
    複数のガス供給部分を備え、各ガス供給部分が、入り口、プレナムを画成するくさび形の本体、及び該ガス供給部分の底面に配置された複数の開口部を有し、前記プレナムの各々が互いに流体的に分離されており、
    各入り口が、各ガス供給部分の外側部分に隣接して配置されている、
    シャワーヘッドアセンブリ。
  4. 前記複数のガス供給部分が、第1のガス供給部分、第2のガス供給部分、第3のガス供給部分、及び第4のガス供給部分を含む、請求項1から3のいずれか一項に記載のシャワーヘッドアセンブリ。
  5. 前記第1のガス供給部分と前記第3のガス供給部分とが、第1のガス源に結合されており、前記第2のガス供給部分と前記第4のガス供給部分とが第2のガス源に結合されている、請求項に記載のシャワーヘッドアセンブリ。
  6. 前記第1のガス供給部分に熱を供給するように構成された第1の加熱アセンブリ、前記第2のガス供給部分に熱を供給するように構成された第2の加熱アセンブリ、前記第3のガス供給部分に熱を供給するように構成された第3の加熱アセンブリ、及び前記第4のガス供給部分に熱を供給するように構成された第4の加熱アセンブリをさらに備えている、請求項に記載のシャワーヘッドアセンブリ。
  7. 前記第1の加熱アセンブリが、前記ガス供給部分の上壁及び底壁の少なくとも一方に抵抗ヒータを備えている、請求項に記載のシャワーヘッドアセンブリ。
  8. 前記複数のガス供給部分が同一平面上にあり、それらが一緒に円形形状を有するシャワーヘッドを形成する、請求項1からのいずれか一項に記載のシャワーヘッドアセンブリ。
  9. 前記複数のガス供給部分の各ガス供給部分のサイズが類似している、請求項1からのいずれか一項に記載のシャワーヘッドアセンブリ。
  10. 各ガス供給部分を包むシールドをさらに含む、請求項1からのいずれか一項に記載のシャワーヘッドアセンブリ。
  11. 請求項1から3のいずれか一項に記載のシャワーヘッドアセンブリを通じて前駆体を導入する方法であって、
    前記複数のガス供給部分のうちの第1のガス供給部分第1の温度へと加熱すること;及び
    前記複数のガス供給部分のうちの第2のガス供給部分前記第1の温度とは異なる第2の温度へと同時に加熱すること
    を含み、
    前記第1のガス供給部分及び前記第2のガス供給部分の各々が、(i)プレナムを画成するくさび形の本体を有しており、(ii)同一平面上にあり、かつ(iii)それらが一緒に円形形状を有するシャワーヘッドを形成する
    法。
  12. 第1のプロセス材料を前記第1のガス供給部分に供給すること、及び前記第1のプロセス材料とは異なる第2のプロセス材料を前記第2のガス供給部分に供給することをさらに含む、請求項11に記載の方法。
  13. 前記複数のガス供給部分のうちの第3のガス供給部分を、前記第1の温度、前記第2の温度、又は前記第1の温度及び前記第2の温度とは異なる第3の温度のうちの少なくとも1つへと加熱することをさらに含む、請求項11又は12に記載の方法。
  14. 前記複数のガス供給部分のうちの第4のガス供給部分を、前記第1の温度、前記第2の温度、前記第3の温度、又は第4の温度のうちの少なくとも1つへと加熱することをさらに含む、請求項13に記載の方法。
JP2021513814A 2018-09-14 2019-09-16 複数前駆体の均一供給のためのセグメント化シャワーヘッド Active JP7208363B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201862731799P 2018-09-14 2018-09-14
US62/731,799 2018-09-14
US16/570,317 2019-09-13
US16/570,317 US11834743B2 (en) 2018-09-14 2019-09-13 Segmented showerhead for uniform delivery of multiple precursors
PCT/US2019/051307 WO2020056413A1 (en) 2018-09-14 2019-09-16 Segmented showerhead for uniform delivery of multiple precursors

Publications (2)

Publication Number Publication Date
JP2021536531A JP2021536531A (ja) 2021-12-27
JP7208363B2 true JP7208363B2 (ja) 2023-01-18

Family

ID=69773783

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2021513814A Active JP7208363B2 (ja) 2018-09-14 2019-09-16 複数前駆体の均一供給のためのセグメント化シャワーヘッド

Country Status (6)

Country Link
US (2) US11834743B2 (ja)
JP (1) JP7208363B2 (ja)
KR (1) KR102600505B1 (ja)
CN (1) CN112740386A (ja)
TW (1) TWI825173B (ja)
WO (1) WO2020056413A1 (ja)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11393703B2 (en) * 2018-06-18 2022-07-19 Applied Materials, Inc. Apparatus and method for controlling a flow process material to a deposition chamber
CN114144540B (zh) 2019-07-26 2024-06-11 应用材料公司 用于在基板上形成膜的蒸发器腔室
KR102656121B1 (ko) * 2021-12-24 2024-04-12 (주)보부하이테크 용접 결함 및 크랙 발생을 개선한 히터 구조
CN115058702A (zh) * 2022-07-27 2022-09-16 拓荆科技(上海)有限公司 一种喷淋头及真空处理设备

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010538498A (ja) 2007-09-05 2010-12-09 インターモレキュラー,インク. 蒸気に基づく組合せ処理
JP2012222024A (ja) 2011-04-05 2012-11-12 Hitachi Kokusai Electric Inc 基板処理装置及び半導体装置の製造方法

Family Cites Families (55)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5653806A (en) * 1995-03-10 1997-08-05 Advanced Technology Materials, Inc. Showerhead-type discharge assembly for delivery of source reagent vapor to a substrate, and CVD process utilizing same
US5958510A (en) * 1996-01-08 1999-09-28 Applied Materials, Inc. Method and apparatus for forming a thin polymer layer on an integrated circuit structure
US6050506A (en) * 1998-02-13 2000-04-18 Applied Materials, Inc. Pattern of apertures in a showerhead for chemical vapor deposition
WO2002008487A1 (en) * 2000-07-24 2002-01-31 The University Of Maryland, College Park Spatially programmable microelectronics process equipment using segmented gas injection showerhead with exhaust gas recirculation
JP4815724B2 (ja) * 2000-09-08 2011-11-16 東京エレクトロン株式会社 シャワーヘッド構造及び成膜装置
WO2002045561A2 (en) * 2000-11-20 2002-06-13 Applied Epi, Inc. Surface sealing showerhead for vapor deposition reactor having integrated flow diverters
US20060191637A1 (en) * 2001-06-21 2006-08-31 John Zajac Etching Apparatus and Process with Thickness and Uniformity Control
KR100442091B1 (ko) * 2002-07-09 2004-07-27 삼성전자주식회사 내장된 각 칩들의 성능을 충분히 동작시킬 수 있는 멀티 칩
US20040082251A1 (en) * 2002-10-29 2004-04-29 Applied Materials, Inc. Apparatus for adjustable gas distribution for semiconductor substrate processing
US20040177813A1 (en) * 2003-03-12 2004-09-16 Applied Materials, Inc. Substrate support lift mechanism
KR100550342B1 (ko) * 2004-02-24 2006-02-08 삼성전자주식회사 가스 산포 방법, 및 샤워 헤드, 및 샤워 헤드를 구비하는반도체 기판 가공 장치
WO2005124859A2 (en) * 2004-06-10 2005-12-29 Avansys, Inc. Methods and apparatuses for depositing uniform layers
KR101153161B1 (ko) 2005-04-01 2012-06-18 주성엔지니어링(주) 가스분사장치 및 이를 포함하는 액정표시소자의 제조장치
JP2007191792A (ja) * 2006-01-19 2007-08-02 Atto Co Ltd ガス分離型シャワーヘッド
US8187679B2 (en) * 2006-07-29 2012-05-29 Lotus Applied Technology, Llc Radical-enhanced atomic layer deposition system and method
CN100451163C (zh) 2006-10-18 2009-01-14 中微半导体设备(上海)有限公司 用于半导体工艺件处理反应器的气体分布装置及其反应器
WO2008118483A1 (en) * 2007-03-27 2008-10-02 Structured Materials Inc. Showerhead for chemical vapor deposition (cvd) apparatus
US7976631B2 (en) * 2007-10-16 2011-07-12 Applied Materials, Inc. Multi-gas straight channel showerhead
US20090095222A1 (en) * 2007-10-16 2009-04-16 Alexander Tam Multi-gas spiral channel showerhead
US8129288B2 (en) * 2008-05-02 2012-03-06 Intermolecular, Inc. Combinatorial plasma enhanced deposition techniques
US20090260571A1 (en) * 2008-04-16 2009-10-22 Novellus Systems, Inc. Showerhead for chemical vapor deposition
KR101412034B1 (ko) 2008-06-18 2014-06-26 주식회사 원익아이피에스 가스분사조립체 및 이를 이용한 박막증착장치
US20110239940A1 (en) * 2008-10-08 2011-10-06 Giacomo Benvenuti Vapor phase deposition system
US8293013B2 (en) * 2008-12-30 2012-10-23 Intermolecular, Inc. Dual path gas distribution device
JP2010245323A (ja) * 2009-04-07 2010-10-28 Seiko Epson Corp コイルユニット及び電子機器
WO2011031521A2 (en) * 2009-08-27 2011-03-17 Applied Materials, Inc. Method of decontamination of process chamber after in-situ chamber clean
US8216640B2 (en) * 2009-09-25 2012-07-10 Hermes-Epitek Corporation Method of making showerhead for semiconductor processing apparatus
US9449859B2 (en) * 2009-10-09 2016-09-20 Applied Materials, Inc. Multi-gas centrally cooled showerhead design
KR101771228B1 (ko) 2010-06-04 2017-08-25 주성엔지니어링(주) 원료 물질 공급 장치 및 이를 구비하는 기판 처리 장치
WO2011159690A2 (en) * 2010-06-15 2011-12-22 Applied Materials, Inc. Multiple precursor showerhead with by-pass ports
US20120052216A1 (en) * 2010-08-27 2012-03-01 Applied Materials, Inc. Gas distribution showerhead with high emissivity surface
US20120318457A1 (en) * 2011-06-17 2012-12-20 Son Nguyen Materials and coatings for a showerhead in a processing system
US20130087093A1 (en) * 2011-10-10 2013-04-11 Applied Materials, Inc. Apparatus and method for hvpe processing using a plasma
US20130220222A1 (en) * 2012-02-23 2013-08-29 Hermes-Epitek Corporation Gas Distribution Apparatus with Heat Exchanging Channels
KR101835755B1 (ko) 2012-06-13 2018-04-19 주식회사 원익아이피에스 박막 제조방법 및 기판 처리 장치
US9447499B2 (en) * 2012-06-22 2016-09-20 Novellus Systems, Inc. Dual plenum, axi-symmetric showerhead with edge-to-center gas delivery
US10486183B2 (en) * 2012-07-27 2019-11-26 Applied Materials, Inc. Methods and apparatus for delivering process gases to a substrate
US9121097B2 (en) * 2012-08-31 2015-09-01 Novellus Systems, Inc. Variable showerhead flow by varying internal baffle conductance
US8821987B2 (en) * 2012-12-17 2014-09-02 Intermolecular, Inc. Combinatorial processing using a remote plasma source
KR20140101049A (ko) 2013-02-07 2014-08-19 주식회사 원익아이피에스 기판 처리 장치
US20140235069A1 (en) * 2013-02-15 2014-08-21 Novellus Systems, Inc. Multi-plenum showerhead with temperature control
US9677176B2 (en) 2013-07-03 2017-06-13 Novellus Systems, Inc. Multi-plenum, dual-temperature showerhead
JP2015076495A (ja) * 2013-10-09 2015-04-20 日本電気株式会社 電力伝送システムおよび電力伝送方法
US9353440B2 (en) 2013-12-20 2016-05-31 Applied Materials, Inc. Dual-direction chemical delivery system for ALD/CVD chambers
FR3018526B1 (fr) * 2014-03-14 2021-06-11 Herakles Installation de densification cvi comprenant une zone de prechauffage a forte capacite
US20160240405A1 (en) * 2015-02-12 2016-08-18 Applied Materials, Inc. Stand alone anneal system for semiconductor wafers
US10378107B2 (en) * 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10358722B2 (en) * 2015-12-14 2019-07-23 Lam Research Corporation Showerhead assembly
US10415137B2 (en) * 2016-01-01 2019-09-17 Applied Materials, Inc. Non-metallic thermal CVD/ALD Gas Injector and Purge Systems
US10533252B2 (en) * 2016-03-31 2020-01-14 Taiwan Semiconductor Manufacturing Co., Ltd. Showerhead, semicondcutor processing apparatus having the same and semiconductor process
US11189502B2 (en) * 2018-04-08 2021-11-30 Applied Materials, Inc. Showerhead with interlaced gas feed and removal and methods of use
US11944988B2 (en) 2018-05-18 2024-04-02 Applied Materials, Inc. Multi-zone showerhead
KR102668240B1 (ko) * 2018-07-25 2024-05-22 삼성전자주식회사 사용자의 신체 상태를 추정하기 위한 방법 및 디바이스
US11970775B2 (en) * 2018-08-10 2024-04-30 Applied Materials, Inc. Showerhead for providing multiple materials to a process chamber
US20220093366A1 (en) * 2019-02-01 2022-03-24 Lam Research Corporation Showerhead for deposition tools having multiple plenums and gas distribution chambers

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010538498A (ja) 2007-09-05 2010-12-09 インターモレキュラー,インク. 蒸気に基づく組合せ処理
JP2012222024A (ja) 2011-04-05 2012-11-12 Hitachi Kokusai Electric Inc 基板処理装置及び半導体装置の製造方法

Also Published As

Publication number Publication date
CN112740386A (zh) 2021-04-30
US20200087790A1 (en) 2020-03-19
JP2021536531A (ja) 2021-12-27
TWI825173B (zh) 2023-12-11
KR20210043732A (ko) 2021-04-21
US20230092987A1 (en) 2023-03-23
US11834743B2 (en) 2023-12-05
WO2020056413A1 (en) 2020-03-19
TW202012048A (zh) 2020-04-01
KR102600505B1 (ko) 2023-11-08

Similar Documents

Publication Publication Date Title
JP7208363B2 (ja) 複数前駆体の均一供給のためのセグメント化シャワーヘッド
US11795545B2 (en) Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US11970775B2 (en) Showerhead for providing multiple materials to a process chamber
TWI548774B (zh) Cvd反應器之進氣機構
KR101930527B1 (ko) 고 복사율 표면을 갖는 가스 분배 샤워헤드
TWI523973B (zh) 應用於高溫操作之溫度控制噴灑頭
US20080092812A1 (en) Methods and Apparatuses for Depositing Uniform Layers
US20030124820A1 (en) Systems and methods for epitaxially depositing films on a semiconductor substrate
KR20160136238A (ko) 열 제어된 대면 플레이트를 가진 내부식성 가스 분배 매니폴드
WO2012058005A2 (en) Apparatus having improved substrate temperature uniformity using direct heating methods
JP7418567B2 (ja) 基板のエッジ膜厚均一性を向上させる処理キット
CN112400222A (zh) 用于控制处理材料到沉积腔室的流动的设备和方法
US20230357928A1 (en) Method for using shield plate in a cvd reactor
JP2014518452A (ja) 気相成長システム用のプロセスガスディフューザ組立体
US20130220222A1 (en) Gas Distribution Apparatus with Heat Exchanging Channels
US20210358777A1 (en) Temperature-controllable process chambers, electronic device processing systems, and manufacturing methods
KR102634223B1 (ko) 에피 챔버를 위한 라이너
TWI223328B (en) Apparatus for depositing thin film on wafer
JP4287918B2 (ja) 基板表面処理装置
TWI842908B (zh) 多站半導體處理中的可獨立調整流路傳導性
JP7161996B2 (ja) 化学気相成長(cvd)反応装置用の処理チャンバ及びこのチャンバを用いた熱化プロセス。

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20210507

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20220516

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20220524

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220824

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20221206

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20230105

R150 Certificate of patent or registration of utility model

Ref document number: 7208363

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150