KR20220086716A - 웨이퍼 보우 (bow) 보상을 위한 배면 증착 및 국부 응력 조절 - Google Patents

웨이퍼 보우 (bow) 보상을 위한 배면 증착 및 국부 응력 조절 Download PDF

Info

Publication number
KR20220086716A
KR20220086716A KR1020227020427A KR20227020427A KR20220086716A KR 20220086716 A KR20220086716 A KR 20220086716A KR 1020227020427 A KR1020227020427 A KR 1020227020427A KR 20227020427 A KR20227020427 A KR 20227020427A KR 20220086716 A KR20220086716 A KR 20220086716A
Authority
KR
South Korea
Prior art keywords
gas
semiconductor substrate
zone
supply tube
plenum volume
Prior art date
Application number
KR1020227020427A
Other languages
English (en)
Inventor
얀후이 후앙
비네시 찬드라세카
시리람 바산트 바파트
아드리아나 빈틸라
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Priority to KR1020237026375A priority Critical patent/KR20230120676A/ko
Publication of KR20220086716A publication Critical patent/KR20220086716A/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45597Reactive back side gas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/562Protection against mechanical damage
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • C23C16/45591Fixed means, e.g. wings, baffles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

보잉된 반도체 기판의 배면 상에 증착된 보우 보상 층은 비대칭적인 보잉을 완화시키기 위해 응력을 조절할 수도 있다. 일부 구현 예들에서, 보우 보상 층은 보잉된 반도체 기판을 따른 비선형 질량 유량 프로파일에 따라 배면에 인접한 전구체 농도를 달라지게 (vary) 함으로써 형성될 수도 있다. 전구체 플로우는 포물선 함수 또는 다항식 함수와 매칭하거나 실질적으로 매칭하는 방식으로 달라질 수도 있다. 일부 구현 예들에서, 샤워헤드 페데스탈은 보잉된 반도체 기판을 따라 전구체 플로우를 달라지게 할 수도 있고, 여기서 샤워헤드 페데스탈은 제 1 가스를 플레넘 볼륨의 제 1 존으로 전달하고 제 2 가스를 플레넘 볼륨의 제 2 존으로 전달하기 위해 복수의 존들로 분할된다.

Description

웨이퍼 보우 (BOW) 보상을 위한 배면 증착 및 국부 응력 조절
반도체 제작 프로세스들은 많은 증착 및 에칭 동작들을 수반하고, 이는 웨이퍼 보우를 크게 변화시킬 수 있다. 예를 들어, 다양한 애플리케이션들에서 보다 낮은 비용 및 보다 높은 신뢰성으로 인해 2D-NAND 칩들을 점진적으로 대체하는 3D-NAND 제조에서, 두꺼운, 고 응력 탄소-기반 하드 마스크들을 갖는 멀티-스택된 막들 및/또는 금속화 라인들은 상당한 웨이퍼 뒤틀림 (warpage) 을 유발할 수 있어서, 전면 리소그래피 오버레이 미스매칭, 또는 심지어 정전 척의 척킹 한계를 넘어서는 웨이퍼 보우를 야기한다.
본 명세서에 제공된 배경기술은 본 개시의 맥락을 일반적으로 제시할 목적들이다. 이 배경기술에 기술되는 정도의 본 명세서에 명명된 발명자들의 업적, 뿐만 아니라 출원 시 종래 기술로서 달리 인증되지 않을 수도 있는 본 기술 (description) 의 양태들은 본 개시에 대한 종래 기술로서 명시적으로나 암시적으로 인정되지 않는다.
참조로서 인용
PCT 신청 양식은 본 출원의 일부로서 본 명세서와 동시에 제출되었다. 본 출원이 동시에 제출된 PCT 신청 양식에서 식별된 바와 같이 우선권 또는 이익을 주장하는 출원 각각은 전체가 모든 목적들을 위해 참조로서 인용되었다.
반도체 기판 상에 보우 보상 층을 증착하는 방법이 본 명세서에 제공된다. 방법은 하나 이상의 인장 영역들 및 하나 이상의 압축 영역들을 갖는 보잉된 반도체 기판을 제공하는 단계, 보잉된 반도체 기판의 배면 상에 제 1 비선형 두께 프로파일을 갖는 압축 막을 증착하는 단계, 및 압축 막을 증착하기 전 또는 증착 한 후 보잉된 반도체 기판의 배면 상의 제 2 비선형 두께 프로파일을 갖는 인장 막을 증착하는 단계를 포함한다. 압축 막 및 인장 막은 함께 보우 보상 층을 형성한다.
일부 구현 예들에서, 제 1 비선형 두께 프로파일은 제 1 포물선 형상 프로파일이고 제 2 비선형 두께 프로파일은 제 2 포물선 형상 프로파일이다. 일부 구현 예들에서, 제 1 포물선 형상 프로파일은 상향 또는 하향으로 개방되고, 제 2 포물선 형상 프로파일은 제 1 포물선 형상 프로파일과 반대 방향으로 개방된다. 일부 구현 예들에서, 보우 보상 층은 편평하거나 실질적으로 편평하다. 일부 구현 예들에서, 제 1 비선형 두께 프로파일 및 제 2 비선형 두께 프로파일 각각은 다항식 함수와 매칭하거나 실질적으로 매칭한다. 일부 구현 예들에서, 보잉된 반도체 기판은 보우 보상 층을 증착하기 전에 새들 형상 (saddle-shaped) 이다. 일부 구현 예들에서, 보잉된 반도체 기판은 +300 ㎛ 이상 또는 -300 ㎛ 이하의 뒤틀림을 갖고 비대칭적으로 보잉되고, 보우 보상 층의 증착 후 보잉된 반도체 기판은 -300 ㎛ 내지 +300 ㎛이다. 일부 구현 예들에서, 압축 막을 증착하는 단계는 보잉된 반도체 기판의 배면에 걸쳐 달라지도록 샤워헤드 페데스탈로부터의 제 1 전구체 농도를 제어하는 것을 포함하고, 인장 막을 증착하는 단계는 배면에 걸쳐 달라지도록 보잉된 반도체 기판의 샤워헤드 페데스탈로부터 제 2 전구체 농도를 제어하는 것을 포함한다. 일부 구현 예들에서, 샤워헤드 페데스탈은 샤워헤드 페데스탈의 플레넘 볼륨 내에 제 1 공급 튜브 및 제 2 공급 튜브를 포함하고, 압축 막 또는 인장 막의 증착 동안 제 1 공급 튜브는 플레넘 볼륨의 제 1 존으로 제 1 가스를 흘리고 제 2 공급 튜브는 플레넘 볼륨의 제 2 존으로의 제 2 가스를 흘린다.
또한 샤워헤드가 본 명세서에 제공된다. 샤워헤드는 가스가 샤워헤드로부터 흘러 나오는 복수의 가스 분배 홀들을 포함하는 대면 플레이트, 대면 플레이트와 대향하고 (opposite), 그 사이에 플레넘 볼륨을 규정하는 배면 플레이트, 플레넘 볼륨의 제 1 공급 튜브로서, 제 1 가스를 플레넘 볼륨 내로 공급하는 복수의 제 1 홀들을 갖는, 제 1 공급 튜브, 플레넘 볼륨 내 제 2 공급 튜브로서, 플레넘 볼륨 내로 제 2 가스를 공급하는 복수의 제 2 홀들을 갖는, 제 2 공급 튜브, 및 플레넘 볼륨 내 복수의 배플들을 포함한다. 복수의 배플들은 적어도 플레넘 볼륨 내의 제 2 가스로부터 제 1 가스를 격리하도록 구성된다.
일부 구현 예들에서, 제 1 공급 튜브는 플레넘 볼륨의 기준 평면을 따라 제 2 공급 튜브에 직교한다. 일부 구현 예들에서, 복수의 배플들은 복수의 제 1 배플들 및 복수의 제 2 배플들을 포함하고, 복수의 제 1 배플들은 제 1 공급 튜브에 평행하고 플레넘 볼륨의 제 2 존으로부터의 제 1 존의 제 1 가스를 격리하도록 제 1 공급 튜브의 대향 측면들 상에 있고, 그리고 복수의 제 2 배플들은 제 1 공급 튜브에 평행하고 복수의 제 1 배플들로부터 더 멀리 제 1 공급 튜브의 대향하는 측면들 상에 적어도 2 개의 배플들을 포함하고, 복수의 제 2 배플들은 제 2 존 내의 제 2 가스의 플로우를 복수의 섹션들로 분할하도록 구성된다. 일부 구현 예들에서, 제 1 가스는 플레넘 볼륨의 제 1 존으로부터 대면 플레이트로부터 흐르고, 제 2 가스는 플레넘 볼륨의 제 2 존으로부터 대면 플레이트로부터 흐르고, 여기서 대면 플레이트는 반도체 기판의 배면을 대면하도록 구성된다. 일부 구현 예들에서, 제 1 공급 튜브에 걸친 복수의 제 1 홀들 각각의 직경은 균일하고, 그리고 제 2 존의 복수의 섹션들 각각의 제 2 홀들의 직경은 불균일하다. 일부 구현 예들에서, 복수의 배플들 각각의 높이는 배면 플레이트와 대면 플레이트 사이의 갭 거리에 걸친다. 일부 구현 예들에서, 샤워헤드는 플레넘 볼륨 내에 있고 제 1 공급 튜브 및 제 2 공급 튜브 각각과 유체로 연통하는 중심 플러그를 더 포함하고, 중심 플러그는 제 1 가스의 플로우를 제 1 공급 튜브로 그리고 제 2 가스의 플로우를 제 2 가스 공급 튜브로 지향시킨다. 일부 구현 예들에서, 제 1 가스는 전구체 가스이고 제 2 가스는 희석 가스이다. 일부 구현 예들에서, 샤워헤드는 배면 플레이트에 연결되고 플레넘 볼륨과 유체로 연통하는 스템을 더 포함하고, 스템은 제 1 가스 및 제 2 가스를 제 1 공급 튜브 및 제 2 가스 공급 튜브로 공급하는 하나 이상의 가스 전달 라인을 포함한다.
또한 샤워헤드가 본 명세서에 제공된다. 샤워헤드는 가스가 샤워헤드로부터 흘러 나오는 복수의 가스 분배 홀들을 포함하는 대면 플레이트, 대면 플레이트와 대향하고, 그 사이에 플레넘 볼륨을 규정하는, 배면 플레이트, 플레넘 볼륨을 적어도 제 1 존 및 제 2 존으로 분할하는 플레넘 볼륨 내의 하나 이상의 배플들, 및 제 1 가스 및 제 2 가스를 플레넘 볼륨 내로 전달하는 배면 플레이트에 커플링된 하나 이상의 가스 유입구들을 포함하고, 제 1 가스는 제 1 존으로 전달되도록 구성되고, 제 2 가스는 제 2 존으로 전달되도록 구성된다.
일부 구현 예들에서, 복수의 가스 분배 홀들은 제 1 존과 유체로 연통하는 제 1 홀들 및 제 2 존과 유체로 연통하는 제 2 홀들을 포함하고, 여기서 제 1 홀들의 밀도는 제 2 홀들의 밀도와 상이하다.
도 1은 x-축 방향 및 y-축 방향으로 웨이퍼 보잉을 예시하는 보잉된 반도체 기판의 사시도를 도시한다.
도 2a는 예시적인 보잉된 반도체 기판의 개략도의 x-y 평면의 평면도를 도시한다.
도 2b는 도 2a의 보잉된 반도체 기판의 y-축 방향의 측면도를 도시한다.
도 2c는 도 2a의 보잉된 반도체 기판의 x-축 방향의 측면도를 도시한다.
도 3은 일부 구현 예들에 따른 보잉된 반도체 기판에서 비대칭 보잉을 완화시키기 위해 보우 보상 층을 형성하는 예시적인 방법의 흐름도를 예시한다.
도 4a 내지 도 4c는 일부 구현 예들에 따른 보잉된 반도체 기판에서 비대칭 보잉을 완화시키기 위해 보우 보상 층을 형성하는 다양한 스테이지들의 단면 개략적 예시들을 도시한다.
도 5는 일부 구현 예들에 따른 (i) 압축 막, (ii) 인장 막, 및 (iii) 압축 막과 인장 막을 결합하는 보우 보상 층 각각에 대한 두께 프로파일 및 응력 프로파일을 예시하는 그래프를 도시한다.
도 6은 일부 구현 예들에 따른 샤워헤드 페데스탈로부터 보잉된 반도체 기판의 배면으로 흐르는 가스 반응 물질의 시뮬레이팅된 프로파일 및 목표된 프로파일을 예시하는 그래프를 도시한다.
도 7a는 일부 구현 예들에 따른 적어도 2 개의 존들에 다양한 홀 패턴들을 갖는 예시적인 샤워헤드 페데스탈의 개략도의 평면도를 도시한다.
도 7b는 일부 구현 예들에 따른 적어도 2 개의 존들에서 상이한 홀 밀도들을 갖는 예시적인 샤워헤드 페데스탈의 개략도의 측면도를 도시한다.
도 7c는 일부 구현 예들에 따른 적어도 2 개의 존들 사이에 데드 존들을 갖는 예시적인 샤워헤드 페데스탈의 개략도의 측면도를 도시한다.
도 8a는 일부 구현 예들에 따른 보잉된 반도체 기판의 배면까지 달라지는 갭 거리들을 제공하기 위한 예시적인 오목한 샤워헤드 페데스탈의 개략도의 측면도를 도시한다.
도 8b는 일부 구현 예들에 따른 보잉된 반도체 기판의 배면까지 달라지는 갭 거리들을 제공하기 위한 예시적인 볼록한 샤워헤드 페데스탈의 개략도의 측면도를 도시한다.
도 9a는 일부 구현 예들에 따른 반응 물질 가스를 전달하기 위한 제 1 존 및 희석 가스를 전달하기 위한 제 2 존으로 분리된 플레넘 볼륨을 갖는 예시적인 샤워헤드 페데스탈의 개략도의 측면도를 도시한다.
도 9b는 도 9a의 샤워헤드 페데스탈의 제 2 존으로부터 제 1 존을 분리하는 예시적인 배플의 개략도의 측면도를 도시한다.
도 10a는 일부 구현 예들에 따른 제 1 존으로 제 1 가스를 전달하는 제 1 공급 튜브 및 제 2 존으로 제 2 가스를 전달하는 제 2 공급 튜브를 포함하는 예시적인 멀티-존 샤워헤드 페데스탈의 다양한 컴포넌트들의 사시도를 도시한다.
도 10b는 도 10a의 멀티-존 샤워헤드 페데스탈의 제 1 공급 튜브 및 제 2 공급 튜브의 사시도를 도시한다.
도 10c는 도 10a의 멀티-존 샤워헤드 페데스탈의 배면 플레이트 위에 배치된 제 1 공급 튜브, 제 2 공급 튜브, 및 배플들을 도시하는 상단 사시도를 도시한다.
도 10d는 도 10a의 멀티-존 샤워헤드 페데스탈의 단면 개략도를 도시한다.
도 11은 일부 구현 예들에 따른 존의 상이한 섹션들에서 샤워헤드 페데스탈로부터 불활성 가스 플로우를 예시하는 그래프를 도시한다.
도 12는 일부 구현 예들에 따른 전구체 가스 플로우 레이트 대 불활성 가스 플로우 레이트의 다양한 비들에 대해 샤워헤드 페데스탈로부터 전구체 질량 유량 프로파일 (mass flow profile) 을 예시하는 그래프를 도시한다.
도 13은 일부 구현 예들에 따른 응력 조절을 위한 동작들을 수행하기 위한 예시적인 프로세스 툴의 개략도를 예시한다.
본 개시에서, 용어들 "반도체 웨이퍼", "웨이퍼", "기판", "웨이퍼 기판", 및 "부분적으로 제조된 집적 회로"는 상호 교환 가능하게 사용된다. 당업자는 용어 "부분적으로 제조된 집적 회로"가 집적 회로 제조의 많은 단계들 중 임의의 단계 동안의 실리콘 웨이퍼를 지칭할 수 있다는 것을 이해할 것이다. 반도체 디바이스 산업계에 사용된 웨이퍼 또는 기판은 통상적으로 200 ㎜, 또는 300 ㎜, 또는 450 ㎜의 직경을 갖는다. 이하의 상세한 기술 (description) 은 본 개시가 웨이퍼 상에서 구현된다는 것을 가정한다. 그러나, 본 개시는 이렇게 제한되지 않는다. 워크피스 (work piece) 는 다양한 형상들, 사이즈들, 및 재료들일 수도 있다.
반도체 제조 프로세스들은 대부분 2 차원일 수도 있는 다양한 구조체들의 형성을 수반한다. 반도체 디바이스 치수들이 축소되고 디바이스들이 보다 작게 스케일링됨에 따라, 반도체 기판에 걸친 피처들의 밀도가 상승하여, 재료 층들이 3 차원들을 포함하여 다양한 방식들로 에칭되고 증착된다. 예를 들어, 3D-NAND는 2D-NAND와 같은 다른 기법들과 비교하여 보다 낮은 비용 및 상승된 메모리 밀도, 및 다양한 애플리케이션들에서 보다 높은 신뢰성으로 인해 점점 대중화되고 있는 일 기술 (technology) 이다. 3D-NAND 구조체의 제조 동안, 웨이퍼 보우 (bow) 는 급격하게 변화할 수 있다. 예를 들어, 3D-NAND 구조체를 제조할 때 두꺼운 하드 마스크 재료들의 증착 및 웨이퍼 표면을 따라 트렌치들의 에칭은 웨이퍼 보잉을 유발할 수 있다. 막들의 층들이 제조 동안 서로의 상단에 스택됨 (stack) 에 따라, 보다 큰 응력이 반도체 웨이퍼에 도입되고 이는 보잉을 유발할 수 있다. 보잉은 광학 기법 (technique) 을 사용하여 측정될 수 있다. 웨이퍼 보잉은 웨이퍼 맵 또는 응력 맵을 획득함으로써 측정되거나 평가될 수 있다. 보잉은 본 명세서에 기술된 바와 같이 보우 값 또는 뒤틀림 (warpage) 값을 사용하여 정량화될 수 있고, 이는 반도체 웨이퍼의 가장 낮은 지점과 웨이퍼 상의 가장 높은 지점까지 사이의 수직 거리로서 측정된다. 뒤틀림 값은 하나 이상의 축들을 따를 수 있다-예를 들어, 비대칭적으로 뒤틀린 (warp) 웨이퍼는 x-축 뒤틀림 및/또는 y-축 뒤틀림을 가질 수도 있다.
보우 형상의 웨이퍼에서, 가장 낮은 지점은 웨이퍼의 중심이고 가장 높은 지점은 웨이퍼의 에지이다. 돔 형상 웨이퍼에서, 가장 낮은 지점은 웨이퍼의 에지이고 가장 높은 지점은 웨이퍼의 중심이다. 보우 형상 웨이퍼 및 돔 형상 웨이퍼는 대칭적이거나 대체로 대칭적인 보잉을 갖는다. 웨이퍼들은 또한 비대칭적인 보잉을 가질 수 있다. 비대칭적인 보잉에서, 뒤틀림은 x-축 및 y-축을 따라 측정된다. 비대칭적으로 보잉된 웨이퍼는 x-축 뒤틀림 및 y-축 뒤틀림에 대해 상이한 값들을 갖는다. 일부 경우들에서, 비대칭적으로 보잉된 웨이퍼는 음의 (negative) x-축 뒤틀림 및 양의 (positive) y-축 뒤틀림을 갖는다. 일부 경우들에서, 비대칭적으로 보잉된 웨이퍼는 양의 x-축 뒤틀림 및 음의 y-축 뒤틀림을 갖는다. 일부 경우들에서, 비대칭적으로 보잉된 웨이퍼는 모두 양의 x-축 뒤틀림 및 양의 y-축 뒤틀림을 갖지만, 뒤틀림 값들은 상이하다. 일부 경우들에서, 비대칭적으로 보잉된 웨이퍼는 모두 음의 x-축 뒤틀림 및 음의 y-축 뒤틀림을 갖지만, 뒤틀림 값들은 상이하다. 비대칭적으로 보잉된 웨이퍼의 일 예는 새들 형상 (saddle-shaped) 웨이퍼이다. 새들 형상 웨이퍼에 대해, 일 예에서, x-축 상의 뒤틀림은 200 ㎛일 수도 있고 y-축 상의 뒤틀림은 -200 ㎛일 수도 있다. 새들 형상 웨이퍼들은 상향으로 커브된 웨이퍼의 2 개의 대향하는 (opposing) 에지들을 갖는 한편, 웨이퍼의 또 다른 2 개의 대향하는 에지들은 하향으로 커브된다. 본 명세서에 사용된 바와 같이, 뒤틀림은 웨이퍼가 드러내는 (exhibited by) 평탄도 (planarity) 로부터 임의의 편차를 지칭할 수 있고, 여기서 보우 형상 웨이퍼, 돔 형상 웨이퍼, 및 새들 형상 웨이퍼는 웨이퍼에서 상이한 타입들의 뒤틀림의 예들이다.
보잉은 반도체 기판이 뒤틀리면 에칭이 고르지 않을 수 있기 때문에, 리소그래피 동안과 같은 후속 프로세싱에 문제들을 유발할 수 있다. 고 보잉은 두꺼운, 고 응력 탄소 하드 마스크 층의 증착에 의해 유발될 수 있다. 부가적으로, 멀티-스택 막들 및 이러한 제조 프로세스들에 사용된 두꺼운, 고 응력 탄소-기반 하드 마스크들의 존재로 인해, 에칭은 일부 비대칭적인 뒤틀림을 유발할 수 있고 증착 프로세스들은 최대 +500 ㎛ 내지 -1300 ㎛ 보우의 변동까지 상당한 웨이퍼 뒤틀림을 도입할 수 있다. 예를 들어, 애시 가능 하드 마스크는 최대 -1000 MPa의 응력 값을 가질 수도 있고 최대 -1000 ㎛의 보우 값을 가질 수도 있다. 일부 경우들에서, 고 종횡비 슬릿 (slit) 에칭 및 금속 충진 (fill) (예를 들어, 텅스텐 충진) 은 반도체 기판 상에 큰 이방성 (anisotropic) 응력을 유도할 수 있다.
이러한 웨이퍼 뒤틀림을 해결하는 것은 후속 또는 다운스트림 프로세싱이 ± 200 ㎛를 초과하거나, ± 300 ㎛를 초과하거나 ± 500 ㎛를 초과하는 웨이퍼 뒤틀림에 의해 영향을 받을 수도 있기 때문에 문제가 될 수 있다. 예를 들어, 기계적 웨이퍼 핸들링은 웨이퍼 뒤틀림으로 인해 영향을 받을 수도 있고, 편평하지 않은 웨이퍼들은 웨이퍼 로봇 또는 웨이퍼 핸들링 메커니즘에 의해 효과적으로 파지되거나 (grip) 홀딩되지 않을 수도 있다. 부가적으로, 웨이퍼 뒤틀림은 프로세스 불균일도에 기여할 수도 있고, 다운스트림 에칭, 증착, 또는 세정 동작들은 웨이퍼의 표면에 걸친 프로세싱 불균일도들로 인해 부정적으로 영향을 받을 수도 있다. 일부 경우들에서, 고도로 뒤틀린 웨이퍼들의 프로세싱은 추가의 뒤틀림을 유발할 수도 있다. 예를 들어, 일 방향으로의 트렌치의 에칭은 웨이퍼 상의 비대칭 응력으로 인해 비대칭적인 보잉에서 뒤틀림을 유발할 수 있다. 더욱이, 리소그래피 동작들은 정밀한 패턴들이 형성될 수 없기 때문에 웨이퍼 뒤틀림에 의해 부정적으로 영향을 받을 수도 있다. 웨이퍼들이 정전 척에 대한 웨이퍼의 척킹 (chucking) 을 수반하는 후속 프로세싱에서 사용될 때, 고도로 뒤틀린 웨이퍼들은 일부 툴들에서 프로세싱되지 않을 수도 있다. 많은 정전 척들은 웨이퍼가 효과적으로 척킹될 수 없기 전에 허용되는 최대 뒤틀림으로서 규정되는 "척킹 한계"를 갖는다. 예를 들어, 일부 정전 척들은 약 ± 300 ㎛의 척킹 한계를 갖는다. 척킹 한계를 초과하는 뒤틀린 웨이퍼들은 이러한 예들에서 프로세싱되지 않을 수도 있다.
도 1은 x-축 방향 및 y-축 방향으로 웨이퍼 보잉을 예시하는 보잉된 반도체 기판의 사시도를 도시한다. 보잉된 반도체 기판은 x-축 방향 및 y-축 방향에 의해 규정된 보잉된 반도체 기판의 기준 평면을 갖고, 그리고 뒤틀림을 나타내는 u-축을 갖는 3 차원 (3-D) 좌표계에서 중첩된다 (superimpose). 도 1에 도시된 바와 같이, 보잉된 반도체 기판은 비대칭적으로 보잉되고, 이는 x-축 뒤틀림 및 y-축 뒤틀림에 대한 값들이 상이하다는 것을 의미한다. x-축의 뒤틀림은 +78.5 ㎛이고 y-축의 뒤틀림은 -399.7 ㎛이다. 이는 새들 형상의 보잉을 생성한다. 상기 논의된 바와 같이, 뒤틀림은 반도체 기판이 드러내는 평탄도로부터 임의의 편차를 지칭하고, 새들 형상 웨이퍼는 반도체 기판의 뒤틀림의 예를 나타낸다.
3D-NAND 기술들이 계속해서 스케일링되고 고 종횡비 피처들이 점점 더 보편화됨에 따라, 반도체 기판들 상의 국부화된 응력 변동 및 다이 간 응력 변동과 관련된 새로운 과제들이 부상하고 있다. 국부화된 응력 변동 및 다이 간 응력 변동은 블록-벤딩 (block-bending), 셀 크로스 토크 (cell cross-talk), 셀 손실, 및/또는 셀 오정렬들 (misalignments) 을 야기할 수도 있다. 국부화된 응력은 불균일한 방식으로 웨이퍼 내에서 발생하는 응력 변화들을 지칭한다. 불량하게 보상된/보정된 국부화된 응력은 국부화된 웨이퍼 토폴로지 변화들을 야기할 수도 있고, 이는 결국 리소그래피 동안 불량한 정렬을 야기할 수도 있다. 이러한 불량한 정렬은 통상적으로 평면 내 왜곡 (in-plane deposition; IPD) 측면에서 보이고, 이는 웨이퍼 토폴로지로 인해 예상된 포지션들 (positions) 로부터 웨이퍼-상 정렬 마크들의 벡터 변위의 정량화이다. 리소그래피 동안 고 IPD는 리소그래피 단계에서 규정되는 임계 치수들 (critical dimensions) 또는 임의의 다른 피처의 바람직하지 않은 변화를 야기할 수도 있고, 따라서 리소그래피 에러들로 인해 전술한 블록-벤딩, 셀 크로스 토크, 셀 손실, 및/또는 셀 오정렬들의 현상이 발생할 수 있다.
도 2a 내지 도 2c는 비대칭적인 보잉을 야기할 수도 있는 국부화된 응력 변동들의 예를 예시한다. 도 2a는 예시적인 보잉된 반도체 기판의 개략도의 x-y 평면의 평면도를 도시한다. 반도체 기판 (200) 은 반도체 기판 (200) 상에 증착된 금속 라인들 (201) 을 포함할 수 있다. 도 2b는 도 2a의 보잉된 반도체 기판의 y-축 방향의 측면도를 도시한다. 도 2b에 도시된 바와 같이, 반도체 기판 (200) 은 y-축의 관점으로부터 보잉된 반도체 기판의 중심을 향해 하향으로 커브된다. 도 2c는 도 2a의 보잉된 반도체 기판의 x-축 방향의 측면도를 도시한다. 도 2c에 도시된 바와 같이, 반도체 기판 (200) 은 x-축의 관점으로부터 보잉된 반도체 기판의 중심을 향해 상향으로 커브된다.
반도체 기판들의 보잉을 해결하기 위한 일부 기법들이 존재한다. 일부 경우들에서, 기법들은 반도체 기판의 배면 상에 보우 보상 층을 증착하도록 사용될 수 있다. 일부 예들에서, 보우 보상 층을 사용한 배면 증착의 적용은 주로 단조적인 (monotonic) 전체 (global) 웨이퍼 뒤틀림 완화로 제한된다. 구체적으로, 반도체 기판들의 보잉을 해결하기 위한 기법들은 축 대칭 또는 다축 대칭인 기법들로 제한될 수도 있다. 대안적으로, 일부 예들에서, 보우 보상 층을 사용한 배면 증착의 적용은 마스크들 또는 전구체 조닝 (zoning) 기법들을 사용하여 비대칭적 보잉을 해결할 수도 있다. 국부화된 응력 조절은 캐리어 링 마스크를 사용하여 보잉된 반도체 기판의 특정한 영역들 (areas) 또는 영역들 (regions) 로 전구체 재료를 전달함으로써 달성될 수도 있다. 국부화된 응력 조절은 상이한 위치들로의 가스 전달을 제어하기 위해 복수의 플레넘들을 채용하는 전구체 조닝을 사용하여 달성될 수도 있다. 그러나, 이러한 기법들은 고 IPD 오버레이 및 반도체 기판을 척킹하는 것과 연관된 문제들로 인해 제한되거나 비효율적이다. 고 오버레이 에러 및 진공 척킹의 문제들은 존들 사이의 막 응력의 급격한 전이들 및 국부적인 토포그래피 변동을 최소화하는 존 레이아웃 설계의 어려움의 결과일 수도 있다.
본 개시는 배면 증착에 의해 보잉된 반도체 기판에서 비대칭적인 보잉을 완화하기 위한 방법을 제공한다. 샤워헤드 페데스탈로부터의 전구체 제어는 보잉된 반도체 기판의 배면 상에 증착된 하나 이상의 막들에 목표된 두께 프로파일을 제공할 수도 있다. 하나 이상의 증착된 막들은 보우 보상 층을 구성한다 (make up). 보우 보상 층의 응력 프로파일은 다항식 함수에 의해 기술될 수도 있다. 그 결과, 보우 보상 층은 비대칭적으로 보잉된 반도체 기판의 국부화된 응력을 보상하거나 보정할 수도 있다. 일부 구현 예들에서, 보우 보상 층은 상이한 두께 프로파일들의 복수의 막들을 증착함으로써 막 스택 방식에 의해 형성될 수도 있다. 일부 구현 예들에서, 비선형 두께 프로파일을 갖는 압축 막 (compressive film) 이 보잉된 반도체 기판의 배면 상에 증착된다. 상이한 비선형 두께 프로파일을 갖는 인장 막 (tensile film) 이 보잉된 반도체 기판의 배면 상에 증착된다. 압축 막 및 인장 막을 증착하는 순서는 상호 교환 가능하다. 일부 구현 예들에서, 압축 막은 제 1 포물선 형상 프로파일을 갖고 인장 막은 제 1 포물선 형상 프로파일과 반대 방향으로 개방되는 제 2 포물선 형상 프로파일을 갖는다. 압축 막 및 인장 막은 집합적으로 보우 보상 층을 형성한다. 보우 보상 층은 편평하거나 실질적으로 편평하다. 배면 증착시 이러한 막 스택 기법은 척킹에 영향을 주지 않고 IPD 오버레이 영향을 최소화한다.
보우 보상 층 내의 하나 이상의 막들의 두께 튜닝은 증착 동안 보잉된 반도체 기판에 인접한 전구체 농도를 제어함으로써 달성될 수도 있다. 본 개시에서, 보잉된 반도체 기판에 인접한 전구체 농도는 샤워헤드 페데스탈 내의 설계 특징들에 의해 제어될 수도 있다. 이러한 설계 특징들은 샤워헤드 페데스탈로부터 전구체의 플로우 역학에 영향을 줄 수도 있다. 일부 구현 예들에서, 샤워헤드 페데스탈은 복수의 존들로 분할될 수도 있다. 예를 들어, 전구체 가스는 제 1 존에서 전달될 수도 있고 희석 가스는 제 2 존에서 전달될 수도 있다. 이는 보잉된 반도체 기판에 인접한 전구체 가스의 농도를 조절한다. 일부 구현 예들에서, 전구체 가스는 제 1 공급 튜브를 통해 전달될 수도 있고 희석 가스는 제 2 공급 튜브를 통해 전달될 수도 있다. 부가적으로 또는 대안적으로, 샤워헤드 페데스탈의 대면 플레이트는 샤워헤드 페데스탈의 존들 사이에서 달라지는 홀 패턴들 (예를 들어, 홀 밀도들) 을 가질 수도 있다. 부가적으로 또는 대안적으로, 대면 플레이트의 기하학적 프로파일은 샤워헤드 페데스탈로부터 보잉된 반도체 기판까지 달라지는 갭 거리들로 설계될 수도 있다. 달라지는 갭 거리들은 샤워헤드 페데스탈의 x-축 방향 또는 y-축 방향을 따라 진행한다.
도 3은 일부 구현 예들에 따른 보잉된 반도체 기판에서 비대칭 보잉을 완화시키기 위해 보우 보상 층을 형성하는 예시적인 방법의 흐름도를 예시한다. 프로세스 (100) 의 동작들은 상이한 순서들로 그리고/또는 상이한, 보다 적은 또는 부가적인 동작들과 함께 수행될 수도 있다. 프로세스 (300) 의 동작들은 도 4a 내지 도 4c에서 보우 보상 층을 형성하는 다양한 스테이지들에 따라 기술될 수도 있다. 프로세스 (300) 의 동작들은 도 7a 내지 도 7c, 도 8a 및 도 8b, 도 9a 및 도 9b, 또는 도 10a 내지 도 10d의 막 증착을 위한 장치를 사용하여 수행될 수도 있다. 일부 구현 예들에서, 프로세스 (300) 의 동작들은 하나 이상의 비일시적 컴퓨터 판독 가능 매체에 저장된 소프트웨어에 따라 적어도 부분적으로 구현될 수도 있다.
프로세스 (300) 의 블록 (310) 에서, 하나 이상의 인장 영역들 및 하나 이상의 압축 영역들을 갖는 보잉된 반도체 기판이 제공된다. 보잉된 반도체 기판은 편평한 기준 평면으로부터 벗어나는 표면을 갖는 임의의 반도체 기판을 지칭한다. 특히, 보잉된 반도체 기판은 ± 300 ㎛를 초과하는 뒤틀림을 갖는다. 보잉된 반도체 기판은 배면 증착을 수행하기 위해 프로세스 챔버 내에 제공될 수도 있다. 보잉된 반도체 기판은 비대칭적으로 보잉될 수도 있다. 일부 실시 예들에서, 보잉된 반도체 기판은 새들 형상이다.
기판은 유전체 재료, 전도성 재료 또는 반전도성 재료와 같은 재료의 하나 이상의 층들이 기판의 전면 상에 증착된 웨이퍼들을 포함하는, 실리콘 웨이퍼, 예를 들어, 200 ㎜ 웨이퍼, 300 ㎜ 웨이퍼, 또는 450 ㎜ 웨이퍼일 수도 있다. 하나 이상의 층들 중 일부는 패터닝될 수도 있다. 층들의 비제한적인 예들은 유전체 층들 및 도전성 층들, 예컨대, 실리콘 옥사이드들, 실리콘 나이트라이드들, 실리콘 카바이드들, 금속 옥사이드들, 금속 나이트라이드들, 금속 카바이드들, 및 금속 층들을 포함한다. 다양한 구현 예들에서, 기판은 패터닝된다.
일부 구현 예들에서, 보잉된 반도체 기판은 기판 내에 패터닝된 3D-NAND 구조체 및 하나 이상의 에칭된 트렌치들을 포함한다.
보잉된 반도체 기판은 약 ± 1000 ㎛의 뒤틀림을 가질 수도 있다. 일부 구현 예들에서, 보잉된 반도체 기판은 약 ± 300 ㎛보다 큰 뒤틀림을 갖는다. 일부 구현 예들에서, 보잉된 반도체 기판은 약 ± 300 ㎛ 초과 약 ± 1000 ㎛ 미만의 뒤틀림을 갖는다. 뒤틀림은 보잉된 반도체 기판의 하나 이상의 국부화된 영역들에서 발생할 수도 있다. 뒤틀림은 x-축 뒤틀림과 y-축 뒤틀림 사이에 상이한 값들을 가질 수도 있다. 뒤틀림은 반도체 기판의 이방성 응력 분포의 결과일 수도 있다.
본 명세서에 사용된 바와 같이, 인장 영역들은 양의 값들을 갖는 뒤틀림을 유도하는 국부화된 인장 응력을 생성한다. 인장 영역들은 반도체 기판의 국부화된 오목한 벤딩을 유발한다. 본 명세서에 사용된 바와 같이, 압축 영역들은 음의 값들을 갖는 뒤틀림을 유도하는 국부화된 압축 응력을 생성한다. 압축 영역들은 반도체 기판의 국부화된 볼록한 벤딩을 유발한다. 하나 이상의 인장 영역들 및 하나 이상의 압축 영역들은 기판 상의 하나 이상의 재료들의 층들에 기인한다.
일부 구현 예들에서, 보잉된 반도체 기판의 중심은 압축 응력을 갖고 그리고 보잉된 반도체 기판의 적어도 2 개의 대향하는 에지들은 인장 응력을 갖는다. 일부 구현 예들에서, 보잉된 반도체 기판의 중심은 인장 응력을 갖고 그리고 보잉된 반도체 기판의 적어도 2 개의 대향하는 에지들은 압축 응력을 갖는다. 보잉된 반도체 기판의 x-축 방향의 응력 프로파일은 포물선 함수 또는 다른 비선형 함수에 의해 기술될 수도 있다. 보잉된 반도체 기판의 y-축 방향의 응력 프로파일은 포물선 함수 또는 다른 비선형 함수에 의해 기술될 수도 있다. 구체적으로, x-축 방향의 응력 프로파일은 다항식 함수에 의해 기술될 수도 있고 y-축 방향의 응력 프로파일은 다항식 함수에 의해 기술될 수도 있다.
일부 구현 예들에서, 보잉된 반도체 기판은 증착 동작을 수행하기 위해 프로세스 챔버 내에 제공된다. 증착 동작을 수행하기 위한 프로세스 챔버는 배면 또는 전면 증착을 위해 구성될 수도 있다. 일부 구현 예들에서, 프로세스 챔버는 배면 증착을 위해 구성된다. 일부 구현 예들에서, 배면 증착은 프로세스 챔버의 하단 샤워헤드 (하단 샤워헤드는 페데스탈로의 샤워헤드, 샤워헤드 페데스탈, 또는 "shoped"로서 지칭될 수도 있음) 로부터 보잉된 반도체 기판의 배면으로 프로세스 가스들을 전달함으로써 달성될 수도 있다. 일부 구현 예들에서, 보잉된 반도체 기판의 배면은 패터닝되지 않는다. 본 명세서에 일반적으로 기술된 샤워헤드들은 보잉된 반도체 기판의 배면으로 가스들을 전달하기 위한 하단 샤워헤드들 또는 샤워헤드 페데스탈들을 지칭한다.
도 4a는 보잉된 반도체 기판의 단면 개략적인 예시를 도시한다. 가시적으로 도시되지 않지만, 반도체 기판 (400) 이 보잉된다. 반도체 기판 (400) 의 전면은 반도체 기판 (400) 내 이방성 응력 분포를 야기하는 구조체들 (예를 들어, 나노 구조체들) 로 패터닝될 수도 있다. 이방성 응력 분포는 x-축 방향 및 y-축 방향 중 하나 또는 모두의 포물선 함수와 같은 다항식 함수를 특징으로 할 수도 있고, 여기서 x-축 및 y-축은 반도체 기판 (400) 의 기준 평면을 규정한다. 반도체 기판 (400) 은 비대칭적으로 보잉될 수도 있다. 예를 들어, 반도체 기판 (400) 은 새들 형상일 수도 있다. 반도체 기판 (400) 은 x-축 방향 및 y-축 방향 중 하나 또는 모두에서 약 +300 ㎛ 이상 또는 약 -300 ㎛ 이하의 뒤틀림을 가질 수도 있다. 반도체 기판 (400) 은 배면 증착을 위한 프로세스 챔버와 같은 증착을 위한 프로세스 챔버 내에 제공될 수도 있다.
도 3을 다시 참조하면, 프로세스 (300) 의 블록 (320) 에서, 보잉된 반도체 기판의 배면 상에 제 1 비선형 두께 프로파일을 갖는 압축 막이 증착된다. 압축 막은 고유의 (intrinsic) 압축 응력을 갖는 박막을 지칭한다. 압축 막은 최대 -4000 MPa의 음의 응력 값과 같은 고유의 압축 응력을 가질 수도 있다. 두께 프로파일은 막의 축 방향 (예를 들어, x-축 방향 또는 y-축 방향) 을 따라 제공된다. 비선형 두께 프로파일은 축 방향을 따른 막의 선형성으로부터의 임의의 편차를 특징으로 한다. 비선형 두께 프로파일은 포물선 함수 또는 다른 다항식 함수에 의해 특성화될 수도 있다. 예를 들어, 비선형 두께 프로파일은 상향 또는 하향으로 개방되는 제 1 포물선 형상 프로파일일 수도 있다. 제 1 포물선 형상 프로파일이 상향으로 개방되는 경우, 압축 막은 보잉된 반도체 기판의 에지들에서 보다 두껍고 보잉된 반도체 기판의 중심에서 테이퍼진다 (taper). 제 1 포물선 형상 프로파일이 하향으로 개방되는 경우, 압축 막은 보잉된 반도체 기판의 중심에서 보다 두껍고 에지들에서 테이퍼진다. 보잉된 반도체 기판의 뒤틀림에 따라, 압축 막은 x-축 방향 및 y-축 방향 중 하나 또는 모두에서 비선형 두께 프로파일을 가질 수도 있다.
비선형 두께 프로파일에 따라 압축 막을 증착하는 것은 샤워헤드 페데스탈로부터 전구체 농도를 제어함으로써 발생할 수도 있다. 전구체 농도는 반도체 기판의 배면에 걸쳐 달라지도록 (vary) 제어될 수도 있다. 구체적으로, 두께 제어는 증착 동안 보잉된 반도체 기판의 배면에 인접한 전구체 농도를 제어함으로써 달성될 수도 있다. 하나 이상의 압축 영역들에서 압축 막을 증착하기 위한 보다 많은 전구체가 흐른다. 하나 이상의 인장 영역들에서 압축 막을 증착하기 위한 보다 적은 전구체가 흐르거나 전구체가 흐르지 않는다. 반도체 기판의 배면에 걸쳐 달라지도록 전구체 농도를 제어하는 것은 샤워헤드 페데스탈로부터의 플로우 역학에 영향을 줌으로써 발생할 수도 있다. 전구체 농도는 보잉된 반도체 기판의 x-축 방향 및 y-축 방향 중 하나 또는 모두를 따라 달라질 수도 있다.
일부 구현 예들에서, 압축 막은 압축 실리콘 옥사이드 막, 압축 실리콘 나이트라이드 막, 압축 실리콘 막, 또는 압축 탄소 막일 수도 있다. 일부 구현 예들에서, 압축 막은 압축 실리콘 옥사이드 막 또는 압축 실리콘 나이트라이드 막이다. 전구체들 및 프로세스 조건들의 선택은 압축 막의 응력을 튜닝하도록 (tune) 사용될 수 있다. 일부 구현 예들에서, 압축 막은 플라즈마 강화 화학적 기상 증착 (plasma enhanced chemical vapor deposition; PECVD), 화학적 기상 증착 (chemical vapor deposition; CVD), 플라즈마 강화 원자 층 증착 (plasma enhanced atomic layer deposition; PEALD), 또는 원자 층 증착 (atomic layer deposition; ALD) 과 같은 임의의 적합한 증착 기법을 사용하여 보잉된 반도체 기판의 배면 상에 증착된다. 예를 들어, 압축 막은 PECVD를 사용하여 증착된다.
"실리콘 옥사이드"는 정수 값들의 x 및 비정수 값들 y 및의 x 및 y를 포함하여, SixOy에 대한 임의의 그리고 모든 화학량론적 (stoichiometric) 가능성들을 포함하는, 실리콘 원자 및 산소 원자를 포함하는 화학적 화합물들을 포함하는 것으로 본 명세서에서 참조된다. "실리콘 나이트라이드"는 예를 들어, X:Y 비는 3:4일 수도 있는, 정수 값들의 x 및 비정수 값들 y 및의 x 및 y를 포함하여, SixNy에 대한 임의의 그리고 모든 화학량론적 가능성들을 포함하는 것으로 본 명세서에서 참조된다.
일부 구현 예들에서, 압축 실리콘 옥사이드 막은 실리콘-함유 전구체와 산소-함유 반응 물질의 혼합물을 사용하여 증착될 수도 있다. 실리콘-함유 전구체들의 예들은 실란들 및 TEOS (tetraethyl orthosilicate) 를 포함하지만 이로 제한되지 않는다. 산소-함유 반응 물질들의 예들은 산소 및 아산화 질소를 포함하지만 이로 제한되는 것은 아니다. PECVD에서, 실리콘-함유 전구체는 압축 실리콘 옥사이드 막을 형성하도록 플라즈마에 노출된 산소-함유 반응 물질과 반응할 수도 있다. 헬륨과 같은 불활성 가스가 존재할 수도 있다.
일부 구현 예들에서, 압축 실리콘 나이트라이드 막은 실리콘-함유 전구체와 질소-함유 반응 물질의 혼합물을 사용하여 증착될 수도 있다. 실리콘-함유 전구체들의 예들은 실란들 및 TEOS를 포함하지만 이로 제한되지 않는다. 질소-함유 반응 물질들의 예들은 질소 및 암모니아를 포함하지만 이로 제한되지 않는다. PECVD에서, 실리콘-함유 전구체는 압축 실리콘 나이트라이드 막을 형성하도록 플라즈마에 노출된 질소-함유 반응 물질과 반응할 수도 있다. 헬륨과 같은 불활성 가스가 존재할 수도 있다.
실리콘-함유 전구체 및 반응 물질들의 선택뿐만 아니라 플라즈마 타입 (듀얼 주파수 또는 단일 주파수) 및 프로세스 조건들은 증착될 막의 응력에 영향을 줄 수도 있다. 일부 구현 예들에서, 증착 동안 흐르는 다른 가스들에 대한 실리콘-함유 전구체의 플로우 레이트는 응력을 튜닝할 수도 있다. 예를 들어, 압축 실리콘 나이트라이드의 증착에서, 실란 플로우의 증가는 응력을 감소시킬 수도 있고, 압축 실리콘 나이트라이드 막을 보다 덜 압축성이 되게 한다. 즉, 일부 구현 예들에서, 실란 플로우의 증가는 증착된 막이 보다 덜 압축성이 되게 한다. 일부 구현 예들에서, 기판 온도는 압축 막의 응력을 조절하도록 튜닝될 수도 있다. 예를 들어, 보다 높은 응력을 달성하거나 증착될 막의 안정성을 증가시키기 위해 보다 높은 온도들이 사용될 수도 있다. 일부 구현 예들에서, 보잉된 반도체 기판의 배면 상의 증착을 위한 기판 온도는 약 250 ℃ 이상 또는 약 300 ℃ 내지 약 550 ℃이다.
압축 막은 보잉된 반도체 기판의 하나 이상의 압축 영역들을 보상하도록 사용된다. 일부 구현 예들에서, 압축 막의 평균 두께는 약 20 ㎚ 내지 약 2000 ㎚ 또는 약 30 ㎚ 내지 약 1500 ㎚이다. 압축 막의 두께는 보잉된 반도체 기판에서 비대칭적인 보잉을 보상하도록 압축 막의 웨이퍼 보우에 영향을 줄 수 있다. 따라서, 압축 막의 비선형 두께 프로파일은 보잉된 반도체 기판의 하나 이상의 압축 영역들을 보상하는 목표된 웨이퍼 보우를 달성한다. 즉, 보다 큰 두께를 갖는 압축 막의 부분들은 보다 큰 웨이퍼 보우를 유도할 수도 있고 보다 작은 두께를 갖는 압축 막의 부분들은 보다 적은 웨이퍼 보우를 유도할 수도 있다.
도 4ba는 보잉된 반도체 기판의 배면 상에 증착된 포물선 두께 프로파일을 갖는 압축 막의 단면 개략적 예시를 도시한다. 압축 막 (410) 은 PECVD에 의해 증착될 수도 있다. 압축 막 (410) 은 압축 실리콘 옥사이드 막, 압축 실리콘 나이트라이드 막, 압축 실리콘 막, 또는 압축 탄소 막일 수도 있다. 압축 막 (410) 은 보잉된 반도체 기판 (400) 의 반대편 에지들에서보다 보잉된 반도체 기판 (400) 의 중심에서 보다 두꺼울 수도 있다. 도 4ba에서 압축 막 (410) 이 포물선 두께 프로파일을 갖는 것으로 도시되지만, 두께 프로파일은 2 차 또는 3 차 다항식 함수와 같은 다항식 함수와 매칭하거나 실질적으로 매칭할 수도 있다. 압축 막 (410) 의 포물선 두께 프로파일은 하향으로 개방된다. 압축 막 (410) 의 포물선 두께 프로파일은 x-축 방향 또는 y-축 방향을 따라 도시된다.
도 3을 다시 참조하면, 프로세스 (300) 의 블록 (330) 에서, 제 2 비선형 두께 프로파일을 갖는 인장 막이 압축 막을 증착하기 전 또는 증착한 후 보잉된 반도체 기판의 배면 상에 증착된다. 압축 막 및 인장 막은 함께 보잉된 반도체 기판에서 보잉을 완화시키기 위한 보우 보상 층을 형성한다. 인장 막은 고유의 인장 응력을 갖는 박막을 지칭한다. 인장 막은 +4000 MPa까지의 양의 응력 값과 같은 고유의 인장 응력을 가질 수도 있다. 비선형 두께 프로파일은 포물선 함수 또는 다른 다항식 함수에 의해 특성화될 수도 있다. 보잉된 반도체 기판의 뒤틀림에 따라, 인장 막은 x-축 방향 및 y-축 방향 중 하나 또는 모두에서 비선형 두께 프로파일을 가질 수도 있다. 일부 구현 예들에서, 비선형 두께 프로파일은 하향 또는 상향으로 개방되는 제 2 포물선 형상 프로파일일 수도 있다. 일부 구현 예들에서, 제 2 포물선 형상 프로파일은 제 1 포물선 형상 프로파일의 반대 방향으로 개방된다. 그 결과, 보우 보상 층은 편평하거나 실질적으로 편평하다. 보우 보상 층은 반도체 기판 내의 웨이퍼 보잉을 보정하거나 보상하기 위해 반도체의 배면의 배면 상에 증착된 하나 이상의 막들을 지칭한다. 본 명세서에 사용된 바와 같이, 본 개시 전반에 걸쳐 용어 "실질적으로 편평한"은 x-축 방향 또는 y-축 방향으로 100 ㎛ 미만인 편평한 기준 평면으로부터의 편차 또는 웨이퍼 보잉을 지칭한다. 편평하거나 실질적으로 편평한 보우 보상 층을 갖는 것은 IPD를 감소시키고, 저 IPD는 오버레이 영향을 감소시키고, 적절한 웨이퍼 척킹을 보장하고, 디포커싱 (defocusing) 을 방지한다.
비선형 두께 프로파일에 따라 인장 막을 증착하는 것은 샤워헤드 페데스탈로부터 전구체 농도를 제어함으로써 발생할 수도 있다. 전구체 농도는 반도체 기판의 배면에 걸쳐 달라지도록 제어될 수도 있다. 특히, 두께 제어는 증착 동안 보잉된 반도체 기판의 배면에 인접한 전구체 농도를 제어함으로써 달성될 수도 있다. 하나 이상의 인장 영역들에서 인장 막을 증착하기 위한 보다 많은 전구체가 흐른다. 하나 이상의 압축 영역들에서 인장 막을 증착하기 위한 보다 적은 전구체가 흐르거나 전구체가 흐르지 않는다. 보잉된 반도체 기판의 배면에 걸쳐 달라지도록 전구체 농도를 제어하는 것은 샤워헤드 페데스탈로부터의 플로우 역학에 영향을 줌으로써 발생할 수도 있다. 전구체 농도는 보잉된 반도체 기판의 x-축 방향 및 y-축 방향 중 하나 또는 모두를 따라 달라질 수도 있다.
일부 구현 예들에서, 인장 막은 인장 실리콘 옥사이드 막, 인장 실리콘 나이트라이드 막, 인장 실리콘 막, 또는 인장 탄소 막일 수도 있다. 일부 구현 예들에서, 인장 막은 인장 실리콘 옥사이드 막 또는 인장 실리콘 나이트라이드 막이다. 전구체들 및 프로세스 조건들의 선택은 인장 막의 응력을 튜닝하도록 사용될 수 있다. 일부 구현 예들에서, 인장 막은 PECVD, CVD, PEALD, 또는 ALD와 같은 임의의 적합한 증착 기법을 사용하여 보잉된 반도체 기판의 배면 상에 증착된다. 예를 들어, 인장 막은 PECVD를 사용하여 증착된다.
일부 구현 예들에서, 인장 실리콘 옥사이드 막은 실리콘-함유 전구체와 산소-함유 반응 물질의 혼합물을 사용하여 증착될 수도 있다. PECVD에서, 실리콘-함유 전구체는 인장 실리콘 옥사이드 막을 형성하도록 플라즈마에 노출된 산소-함유 반응 물질과 반응할 수도 있다. 헬륨과 같은 불활성 가스가 존재할 수도 있다.
일부 구현 예들에서, 인장 실리콘 나이트라이드 막은 실리콘-함유 전구체와 질소-함유 반응 물질의 혼합물을 사용하여 증착될 수도 있다. PECVD에서, 실리콘-함유 전구체는 인장 실리콘 나이트라이드 막을 형성하도록 플라즈마에 노출된 질소-함유 반응 물질과 반응할 수도 있다. 헬륨과 같은 불활성 가스가 존재할 수도 있다.
실리콘-함유 전구체 및 반응 물질들의 선택뿐만 아니라 플라즈마 타입 (듀얼 주파수 또는 단일 주파수) 및 프로세스 조건들은 증착될 막의 응력에 영향을 줄 수도 있다. 일부 구현 예들에서, 증착 동안 흐르는 다른 가스들에 대한 실리콘-함유 전구체의 플로우 레이트는 응력을 튜닝할 수도 있다. 일부 구현 예들에서, 기판 온도는 인장 막의 응력을 조절하도록 튜닝될 수도 있다. 예를 들어, 보다 높은 응력을 달성하거나 증착될 막의 안정성을 증가시키기 위해 보다 높은 온도들이 사용될 수도 있다. 일부 구현 예들에서, 보잉된 반도체 기판의 배면 상의 증착을 위한 기판 온도는 약 250 ℃ 이상 또는 약 300 ℃ 내지 약 550 ℃이다.
인장 막은 보잉된 반도체 기판의 하나 이상의 인장 영역들을 보상하도록 사용된다. 일부 구현 예들에서, 인장 막의 평균 두께는 약 20 ㎚ 내지 약 2000 ㎚ 또는 약 30 ㎚ 내지 약 1500 ㎚이다. 인장 막의 두께는 보잉된 반도체 기판에서 비대칭적인 보잉을 보상하도록 인장 막의 웨이퍼 보우에 영향을 줄 수 있다. 따라서, 인장 막의 비선형 두께 프로파일은 보잉된 반도체 기판의 하나 이상의 인장 영역들을 보상하는 목표된 웨이퍼 보우를 달성한다. 즉, 보다 큰 두께를 갖는 인장 막의 부분들은 보다 큰 웨이퍼 보우를 유도할 수도 있고 보다 작은 두께를 갖는 인장 막의 부분들은 보다 적은 웨이퍼 보우를 유도할 수도 있다.
도 4bb는 보잉된 반도체 기판의 배면 상에 증착된 포물선 두께 프로파일을 갖는 인장 막의 단면 개략적 예시를 도시한다. 인장 막 (420) 은 PECVD에 의해 증착될 수도 있다. 인장 막 (420) 은 인장 실리콘 옥사이드 막, 인장 실리콘 나이트라이드 막, 인장 실리콘 막, 또는 인장 탄소 막일 수도 있다. 인장 막 (420) 은 보잉된 반도체 기판 (400) 의 중심에서보다 보잉된 반도체 기판 (400) 의 반대편 에지들에서 보다 두꺼울 수도 있다. 도 4bb에서 인장 막 (420) 이 포물선 두께 프로파일을 갖는 것으로 도시되지만, 두께 프로파일은 2 차 또는 3 차 다항식 함수와 같은 다항식 함수와 매칭하거나 실질적으로 매칭할 수도 있다. 인장 막 (420) 의 포물선 두께 프로파일은 상향으로 개방된다. 인장 막 (420) 의 포물선 두께 프로파일은 x-축 방향 또는 y-축 방향을 따라 도시된다.
도 3을 다시 참조하면, 압축 막 및 인장 막을 증착하기 위한 블록 (320) 및 블록 (330) 은 상호 교환 가능하게 발생할 수도 있다. 일부 구현 예들에서, 압축 막이 먼저 증착되고 이어서 인장 막이 증착될 수도 있다. 일부 다른 구현 예들에서, 인장 막이 먼저 증착되고 이어서 압축 막이 증착될 수도 있다. 압축 막 및 인장 막은 편평하거나 실질적으로 편평한 표면을 달성하도록 스택된다. 이 편평도 (flatness) 는 제 2 비선형 두께 프로파일을 갖는 인장 막과 상이한 제 1 비선형 두께 프로파일을 갖는 압축 막으로부터 발생할 수도 있다.
보우 보상 층은 복수의 막들, 즉, 압축 막 및 인장 막을 스택함으로써 형성되고, 보우 보상 층은 비선형 응력 프로파일을 갖는다. 보우 보상 층의 비선형 응력 프로파일은 주로 포물선 함수와 같은 다항식 함수를 특징으로 할 수도 있다. 일부 구현 예들에서, 부가적인 막들 또는 층들이 보우 보상 층에서 목표된 응력 프로파일을 달성하기 위해 압축 막 및 인장 막 상에 스택될 수도 있다. 일부 구현 예들에서, 보우 보상 층이 제거된다. 예를 들어, 보우 보상 층은 추가의 다운스트림 프로세싱 동작들에서 제거된다.
도 4c는 보잉된 반도체 기판의 배면 상에 형성된 보우 보상 층의 단면 개략도를 도시한다. 보우 보상 층 (430) 은 서로 스택된 압축 막 (410) 및 인장 막 (420) 을 포함한다. 압축 막 (410) 및 인장 막 (420) 을 스택함으로써, 보우 보상 층 (430) 은 편평하거나 실질적으로 편평한 표면을 달성한다. 압축 막 (410) 및 인장 막 (420) 이 반대 방향으로 개방되기 때문에, 압축 막 (410) 및 인장 막 (420) 의 두께 프로파일들을 결합하여 편평하거나 실질적으로 편평한 프로파일을 형성한다. 보우 보상 층 (430) 의 상이한 영역들은 응력을 국부적으로 조절하기 위해 상이한 응력 값들을 갖는다. 보우 보상 층 (430) 의 응력 변동은 포물선 함수와 같은 다항식 함수를 특징으로 할 수도 있다. 결과적으로, 보우 보상 층 (430) 은 보잉된 반도체 기판 (400) 내 비대칭 보잉을 완화시키도록 기능한다. 보우 보상 층 (430) 을 증착하기 전에, 보잉된 반도체 기판 (400) 은 x-축 방향 및 y-축 방향 중 하나 또는 모두에서 약 +300 ㎛ 이상 또는 약 -300 ㎛ 이하의 뒤틀림을 가질 수도 있다. 보우 보상 층 (430) 을 증착한 후, 보잉된 반도체 기판 (400) 은 x-축 방향 및 y-축 방향 모두에서 약 -300 ㎛ 내지 약 +300 ㎛의 뒤틀림을 가질 수도 있다. 일부 구현 예들에서, 보잉된 반도체 기판 (400) 은 보우 보상 층 (430) 을 증착한 후 x-축 방향 및 y-축 방향 모두에서 약 -100 ㎛ 내지 약 +100 ㎛의 뒤틀림을 가질 수도 있다.
도 5는 일부 구현 예들에 따른 (i) 압축 막, (ii) 인장 막, 및 (iii) 압축 막과 인장 막을 결합하는 보우 보상 층 각각에 대한 두께 프로파일 및 응력 프로파일을 예시하는 그래프를 도시한다. 그래프의 상부 부분은 보잉된 반도체 기판의 x-축 방향을 따른 포지션의 함수로서 두께 프로파일을 측정한다. 그래프의 하부 부분은 보잉된 반도체 기판의 x-축 방향을 따른 포지션의 함수로서 응력 프로파일을 측정한다. 응력 프로파일의 값들은 응력에 막 두께를 곱한 곱셈 값들 (product values of stress multiplied by film thickness) 로 계산된다. 응력에 막 두께를 곱한 곱셈 값은 웨이퍼 보잉과 상관된다.
도 5에 도시된 바와 같이, 고 압축 막의 제 1 두께 프로파일 (510) 은 포물선 형상 곡선으로 도시된다. 두께는 다항식 함수로서 변화하고, 제 1 두께 프로파일 (510) 의 두께는 보잉된 반도체 기판의 중심을 향해 포물선으로 증가하고 보잉된 반도체 기판의 에지들을 향해 포물선으로 감소한다. 고 인장 막의 제 2 두께 프로파일 (520) 은 포물선 형상의 곡선으로 도시된다. 두께는 다항식 함수로서 변화하고, 여기서 제 2 두께 프로파일 (520) 의 두께는 보잉된 반도체 기판의 에지들을 향해 포물선으로 증가하고 보잉된 반도체 기판의 중심을 향해 포물선으로 감소한다. 고 압축 막 및 고 인장 막이 제 3 두께 프로파일 (530) 을 형성하도록 결합될 때, 발생되는 제 3 두께 프로파일 (530) 은 편평하거나 균일한 라인으로 도시된다. 제 3 두께 프로파일 (530) 의 두께는 보잉된 반도체 기판의 x-축 치수에 걸쳐 균일하다.
도 5에 도시된 바와 같이, 고 압축 막의 제 1 응력 프로파일 (515) 은 포물선 형상 곡선으로 도시된다. 두께가 보잉된 반도체 기판의 중심을 향해 포물선으로 증가함에 따라, 응력은 포물선으로 보다 음이 된다. 두께가 보잉된 반도체 기판의 에지들에서 포물선으로 감소함에 따라, 응력은 포물선으로 보다 덜 음이 되고 결국 0에 도달한다. 고 인장 막의 제 2 응력 프로파일 (525) 은 포물선 형상 곡선으로 도시된다. 두께가 보잉된 반도체 기판의 에지들을 향해 포물선으로 증가함에 따라, 응력은 포물선으로 증가한다. 두께가 보잉된 반도체 기판의 중심을 향해 포물선으로 감소함에 따라, 응력은 포물선으로 감소하고 결국 0에 도달한다. 제 3 응력 프로파일 (535) 은 고 압축 막 및 고 인장 막 모두에 대해 총 응력이 측정될 때 계산된다. 보잉된 반도체 기판의 에지들을 향해, 응력은 포물선으로 증가한다. 보잉된 반도체 기판의 중심을 향해, 응력은 포물선으로 보다 음이 된다.
압축 막 또는 인장 막의 두께 프로파일은 보잉된 반도체 기판에 인접하게 전달된 전구체 가스의 농도를 제어함으로써 조절된다. 전구체 가스의 이 농도는 x-축 방향 및 y-축 방향 중 하나 또는 모두를 따라 샤워헤드 페데스탈로부터 얼마나 많은 전구체 가스가 흐르는지를 달라지게 함으로써 제어된다. 샤워헤드 페데스탈의 하드웨어 컴포넌트들은 샤워헤드 페데스탈로부터 전구체 가스 분배를 달라지게 하도록 엔지니어링될 수도 있다.
본 개시는 반도체 기판의 배면에 인접한 전구체 가스 분배를 조절하기 위한 샤워헤드 페데스탈에 관한 것이다. 반도체 기판에 인접한 전구체 가스 분배는 다항식 함수에 의해 기술된 목표된 두께 프로파일과 매칭하거나 실질적으로 매칭할 수도 있다. 다항식 함수는 2 차 또는 보다 고차의 다항식 함수일 수도 있다. 전구체 가스 분배를 제어하기 위한 다양한 설계들의 샤워헤드 페데스탈이 도 7a 내지 도 7c, 도 8a 및 도 8b, 도 9a 및 도 9b, 및 도 10a 내지 도 10d에 도시된다. 일부 구현 예들에서, 샤워헤드 전구체는 샤워헤드 페데스탈의 플레넘 볼륨 내의 배플들에 의해 복수의 존들로 분할될 수도 있고, 여기서 전구체 가스는 적어도 제 1 존에서 흐르고 희석 가스는 적어도 제 2 존에서 흐른다.
도 6은 일부 구현 예들에 따른 샤워헤드 페데스탈로부터 보잉된 반도체 기판의 배면으로 흐르는 가스 반응 물질의 시뮬레이팅된 프로파일 및 목표된 프로파일을 예시하는 그래프를 도시한다. 샤워헤드 페데스탈로부터의 가스 반응 물질의 질량 유량 (mass flow) 은 축 방향 (x-축 방향 또는 y-축 방향) 을 따른 샤워헤드 페데스탈 상의 위치의 함수로서 측정될 수도 있다. 목표된 프로파일은 샤워헤드 페데스탈의 중심 (0 ㎜) 에서 최대 질량 유량을 갖고 샤워헤드 페데스탈의 에지 (140 ㎜) 에서 0 질량 유량을 갖는, 포물선 곡선을 따른다. 시뮬레이팅된 프로파일은 목표된 프로파일과 완벽하게 매칭하지 않을 수도 있지만 목표된 프로파일과 실질적으로 매칭할 수도 있다. 관찰된 곡선 또는 시뮬레이팅된 곡선은 관찰된 곡선을 다항식 함수에 피팅하고 (fitting) 매칭이 얼마나 우수한지 결정하기 위해 피팅으로부터 잔차들 (residuals) 을 취하는 것에 기초하여 포물선 또는 다항식 곡선과 "실질적으로 매칭"한다. 본 명세서에 사용된 바와 같이, 잔차들의 R-제곱 (R2) 측정 값이 약 0.95 이상일 때, 관찰된 곡선은 다항식 함수와 "실질적으로 매칭"하는 것으로 간주될 수 있다. 본 개시의 샤워헤드 페데스탈은 포물선 함수 또는 다항식 함수와 실질적으로 매칭하는 가스 반응 물질 질량 유량 프로파일을 달성할 수 있다.
샤워헤드 또는 샤워헤드 페데스탈은 프로세스 챔버 내의 반도체 기판에 프로세스 가스들을 분배하도록 사용된다. 샤워헤드는 샤워헤드 외부로 이어지는 복수의 가스 분배 홀들을 갖는 대면 플레이트 및 배면 플레이트를 포함한다. 일반적으로, 대면 플레이트는 프로세스 챔버의 내부를 향해 대면하는 (face) 샤워헤드의 외측 바디를 규정하는 재료(들)의 블록이다. 가스 분배 홀들은 가스가 샤워헤드 또는 샤워헤드 페데스탈로부터 반도체 기판으로 전달되게 하는 개구부들을 지칭한다. 배면 플레이트는 프로세스 챔버의 내부로부터 멀어지게 대면하는 샤워헤드의 외측 바디를 규정하는 재료(들)의 블록이다. 배면 플레이트 및 대면 플레이트 각각은 실린더 형상 또는 디스크 형상일 수도 있다. 배면 플레이트 및 대면 플레이트는 서로 연결될 수도 있고 또는 서로 제거 가능하게 부착될 수도 있다. 배면 플레이트 및 대면 플레이트는 플레넘 볼륨으로 지칭되는 샤워헤드 내의 볼륨을 둘러쌀 (enclose) 수도 있다. 플레넘 볼륨은 배면 플레이트와 대면 플레이트 사이의 공간이고 이에 의해 경계가 지어진다 (bounded by). 하나 이상의 가스 유입구들은 프로세스 가스들을 플레넘 볼륨 내로 전달하기 위해 배면 플레이트에 커플링될 수도 있다. 일부 예들에서, 하나 이상의 가스 유입구들은 배면 플레이트에 연결된 스템을 포함한다. 플레넘 볼륨 내의 프로세스 가스들은 복수의 가스 분배 홀들로부터 흐름으로써 샤워헤드를 나간다. 본 명세서에 기술된 샤워헤드의 기본 아키텍처는 도 7a 내지 도 7c, 도 8a 및 도 8b, 도 9a 및 도 9b, 및 도 10a 내지 도 10d에 기술된 샤워헤드 페데스탈들 각각에 적용될 수도 있다. 샤워헤드 페데스탈은 반도체 기판의 배면으로 프로세스 가스들을 전달하도록 구성되는 샤워헤드이다.
일부 구현 예들에서, 본 개시의 샤워헤드 페데스탈은 적어도 2 개의 존들로 분할됨으로써 전구체 가스 분배를 달라지게 할 수도 있다. 일부 실시 예들에서, 적어도 2 개의 존들 각각은 달라지는 홀 패턴들을 가질 수도 있다. 존 각각은 상이한 수 또는 상이한 밀도의 홀들, 상이한 직경들의 홀들, 상이한 기하 구조들의 홀들, 및 상이한 배열 또는 상이한 레이아웃의 홀들 중 하나 이상에 의해 특징지어질 수도 있다. 이러한 샤워헤드 페데스탈들의 예들은 도 7a 내지 도 7c에 개략적으로 예시된다.
도 7a는 일부 구현 예들에 따른 적어도 2 개의 존들에 다양한 홀 패턴들을 갖는 예시적인 샤워헤드 페데스탈의 개략도의 평면도를 도시한다. 샤워헤드 페데스탈의 대면 플레이트 (700) 는 제 1 존 (710) 및 제 2 존 (720) 으로 분할된다. 제 1 존 (710) 은 대면 플레이트 (700) 의 중심을 통해 연장하고 제 1 패턴에 따라 배열된 복수의 제 1 홀들 (715) 을 포함한다. 제 2 존 (720) 은 제 1 존 (710) 의 우측 및 좌측으로 대면 플레이트 (700) 의 2 개의 대향 에지들을 커버하고, 제 2 존 (720) 은 제 2 패턴에 따라 배열된 복수의 제 2 홀들 (725) 을 포함한다. 예를 들어, 제 1 존 (710) 의 복수의 제 1 홀들 (715) 은 제 2 존 (720) 의 복수의 제 2 홀들 (725) 과 상이한 밀도를 가질 수도 있다.
일부 구현 예들에서, 적어도 2 개의 존들로 분할된 도 7a의 샤워헤드 페데스탈은 반도체 기판에 인접하여 농도가 달라지는 전구체 가스를 분배한다. 일부 예들에서, 적어도 2 개의 존들로 분할된 샤워헤드 페데스탈은 다항식 함수 (예를 들어, 포물선 함수) 와 매칭하거나 실질적으로 매칭하는 가스 플로우 프로파일로 반도체 기판에 인접하게 전구체 가스를 분배할 수 있다. 고 압축 막을 증착하기 위한 반응 물질 가스와 같은 제 1 가스는 제 2 존 (720) 의 복수의 제 2 홀들 (725) 로부터 흐르지 않고 제 1 존 (710) 의 복수의 제 1 홀들 (715) 로부터 흐르도록 구성될 수도 있다. 고 인장 막을 증착하기 위한 반응 물질 가스와 같은 제 2 가스는 제 1 존 (710) 의 복수의 제 1 홀들 (715) 로부터 흐르지 않고 제 2 존 (720) 의 복수의 제 2 홀들 (725) 로부터 흐르도록 구성될 수도 있다. 이러한 방식으로, 고 압축 막의 두께 프로파일은 2 개의 대향 에지들보다 중심에서 보다 크고, 고 인장 막의 두께 프로파일은 중심보다 2 개의 대향 에지들에서 보다 크다. 고 압축 막을 증착하기 위한 반응 물질 가스는 제 2 존 (720) 의 복수의 제 2 홀들 (725) 로부터 흐르도록 스와핑될 (swap) 수도 있고, 고 인장 막을 증착하기 위한 반응 물질 가스는제 1 존 (710) 의 복수의 제 1 홀들 (715) 로부터 흐르도록 스와핑될 수도 있다는 것이 이해될 것이다.
도 7b는 일부 구현 예들에 따른 적어도 2 개의 존들에서 상이한 홀 밀도들을 갖는 예시적인 샤워헤드 페데스탈의 개략도의 측면도를 도시한다. 제 1 존 (710) 과 제 2 존 (720) 사이의 상이한 홀 밀도들은 존들 각각으로부터 가스의 질량 유량을 조절할 수 있다. 일부 구현 예들에서, 존 각각의 플로우 제한은 대면 플레이트 내의 개별 (discrete) 홀들의 홀 밀도를 조정함으로써 획득될 수도 있다. 일부 다른 구현 예들에서, 존 각각의 플로우 제한은 다공성 재료의 다공성을 조정함으로써 획득될 수도 있다.
도 7c는 일부 구현 예들에 따른 적어도 2 개의 존들 사이에 데드 존들을 갖는 예시적인 샤워헤드 페데스탈의 개략도의 측면도를 도시한다. 희석 가스는 압축 막 또는 인장 막을 증착하기 위해 반응 물질 가스와 동시에 흐를 수도 있다. 반응 물질 가스는 제 1 존 (710) 을 통해 흐를 수도 있고 희석 가스는 제 2 존 (720) 을 통해 흐를 수도 있고, 그 반대도 가능하다. 희석 가스는 반도체 기판에 인접한 반응 물질 가스의 농도를 감소시킨다 (즉, 희석한다). 구체적으로, 반도체 기판의 에지들에서 보다 많은 희석 가스는 반도체 기판의 에지들에서 반응 물질 가스의 농도를 감소시키거나, 반도체 기판의 중심에서 보다 많은 희석 가스는 반도체 기판의 중심에서 반응 물질 가스의 농도를 감소시킨다. 도 7c에서, 데드 존들 (730) 은 제 1 존 (710) 을 제 2 존 (720) 으로부터 분리하고 물리적으로 분할한다. 이는 가스들이 샤워헤드 페데스탈로부터 전달될 때까지 샤워헤드 페데스탈 내에서 희석 가스와 반응 물질 가스의 혼합을 제한한다.
일부 구현 예들에서, 본 개시의 샤워헤드 페데스탈은 오목한 형상, 볼록한 형상, 또는 다른 불균일한 형상을 갖는다. 이러한 형상들은 샤워헤드 페데스탈의 외측 표면으로부터 측정될 때 샤워헤드 페데스탈과 반도체 기판 사이에 달라지는 갭 거리들을 제공한다. 샤워헤드 페데스탈의 오목한, 볼록한, 또는 다른 불균일한 형상은 대면 플레이트 및/또는 플레넘 볼륨의 형상에 의해 규정될 수도 있다. 보다 큰 간격은 일반적으로 증착 레이트를 감소시키고, 보다 작은 간격은 일반적으로 증착 레이트를 상승시킨다. 이론에 의해 제한되지 않고, 보다 큰 간격은 일반적으로 플라즈마 밀도를 감소시키고 보다 작은 간격은 일반적으로 플라즈마 밀도를 상승시킨다. 반도체 기판에 걸쳐 상이한 지점들에서 갭 거리들을 달라지게 함으로써, PECVD 프로세스의 증착 균일도가 반도체 기판에 걸쳐 조절된다. 이러한 샤워헤드 페데스탈들의 예들은 도 8a 및 도 8b에 개략적으로 예시된다.
도 8a는 일부 구현 예들에 따른 보잉된 반도체 기판의 배면까지 달라지는 갭 거리들을 제공하기 위한 예시적인 오목한 샤워헤드 페데스탈의 개략도의 측면도를 도시한다. 샤워헤드 페데스탈의 대면 플레이트 (810) 는 갭 거리가 반도체 기판 (800) 의 중심을 향해 포물선으로 증가하고 반도체 기판 (800) 의 대향 에지들을 향해 감소하도록 오목한 형상일 수도 있다. 예로서, 샤워헤드 페데스탈의 대면 플레이트 (810) 로부터 반도체 기판 (800) 의 중심까지의 갭 거리는 약 14 ㎜일 수도 있고, 샤워헤드 페데스탈의 대면 플레이트 (810) 로부터 반도체 기판 (800) 의 대향 에지들까지 갭 거리는 약 2 ㎜일 수도 있다. 따라서, PECVD 프로세스 동안, 증착된 막의 두께 프로파일은 반도체 기판 (800) 의 중심보다 반도체 기판 (800) 의 대향 에지들에 증착되도록 실질적으로 포물선일 수도 있다.
도 8b는 일부 구현 예들에 따른 보잉된 반도체 기판의 배면까지 달라지는 갭 거리들을 제공하기 위한 예시적인 볼록한 샤워헤드 페데스탈의 개략도의 측면도를 도시한다. 샤워헤드 페데스탈의 대면 플레이트 (820) 는 갭 거리가 반도체 기판 (800) 의 중심을 향해 포물선으로 감소하고 반도체 기판 (800) 의 대향 에지들을 향해 증가하도록 볼록한 형상일 수도 있다. 대면 플레이트 (820) 및 플레넘 볼륨 (830) 중 하나 또는 모두는 볼록한 형상일 수도 있다는 것이 이해될 것이다. 예로서, 샤워헤드 페데스탈의 대면 플레이트 (820) 로부터 반도체 기판 (800) 의 대향 에지들까지 갭 거리는 약 14 ㎜일 수도 있고, 샤워헤드 페데스탈의 대면 플레이트 (820) 로부터 반도체 기판 (800) 의 중심까지 갭 거리는 약 2 ㎜일 수도 있다. 그 결과, PECVD 프로세스 동안, 증착된 막의 두께 프로파일은 반도체 기판 (800) 의 대향 에지들보다 반도체 기판 (800) 의 중심에 증착되도록 실질적으로 포물선일 수도 있다.
일부 구현 예들에서, 본 개시의 샤워헤드 페데스탈은 적어도 2 개의 존들로 분할된다. 샤워헤드 페데스탈은 존들 중 적어도 하나에서 희석 가스를 흘림으로써 반도체 기판의 배면에 걸쳐 전달된 전구체 가스의 농도를 조절한다. 반도체 기판에 인접한 특정한 존들 또는 영역들에서 흐르는 희석 가스는 반도체 기판에 인접한 영역들의 전구체 가스의 농도를 희석하거나 제한할 것이다. 희석 가스의 예들은 질소 가스 (N2) 또는 헬륨 (He), 아르곤 (Ar), 네온 (Ne), 또는 크세논 (Xe) 과 같은 불활성 가스 종을 포함한다. 일부 구현 예들에서, 희석 가스는 플레넘 볼륨의 전구체 가스와 혼합되도록 흐를 수 있다. 일부 구현 예들에서, 희석 가스는 플레넘 볼륨 내에서 혼합되지 않고 반도체 기판에 인접한 환경에서 전구체 가스와 혼합되도록 흐를 수 있다. 희석 가스와 혼합하는 것은 포물선 함수 또는 다른 다항식 함수와 매칭하거나 실질적으로 매칭하는 전구체 가스 플로우 프로파일을 제공할 수도 있다. 이러한 샤워헤드 페데스탈들의 예들은 도 9a 및 도 9b 및 도 10a 내지 도 10d에 개략적으로 예시된다. 본 개시의 샤워헤드 페데스탈들은 배면 증착 동작들을 수행하기 위해 프로세스 챔버 또는 툴 내에 통합될 수도 있다. 프로세스 챔버 또는 툴은 배면 증착 동작들을 수행하기 위해 샤워헤드 페데스탈과 인스트럭션들을 전달하기 위한 시스템 제어기를 포함할 수도 있다. 시스템 제어기에 관한 상세들은 도 13에 대해 기술된다.
도 9a는 일부 구현 예들에 따른 반응 물질 가스를 전달하기 위한 제 1 존 및 희석 가스를 전달하기 위한 제 2 존으로 분리된 플레넘 볼륨을 갖는 예시적인 샤워헤드 페데스탈의 개략도의 측면도를 도시한다. 샤워헤드 페데스탈 (900) 은 복수의 가스 분배 홀들 (922) 을 갖는 대면 플레이트 (920) 를 포함한다. 샤워헤드 페데스탈 (900) 은 대면 플레이트 (920) 와 대향하는 배면 플레이트 (910) 를 더 포함한다. 플레넘 볼륨 (930) 은 배면 플레이트 (910) 와 대면 플레이트 (920) 사이의 공간으로서 규정된다. 대면 플레이트 (920) 는 보잉된 반도체 기판의 배면을 대면하도록 구성된다. 하나 이상의 가스 유입구들 (미도시) 이 제 1 가스 (902) 및 제 2 가스 (904) 를 플레넘 볼륨 (930) 내로 전달하기 위해 배면 플레이트 (910) 에 커플링된다. 하나 이상의 배플들 (924) 이 플레넘 볼륨 (930) 을 복수의 존들 (932, 934) 로 분할하도록 플레넘 볼륨 (930) 내에 포지셔닝된다. 본 명세서에 사용된 바와 같이, 배플은 플레넘 볼륨 내의 가스 플로우를 차단, 제한 또는 재지향 (redirect) 하도록 샤워헤드의 플레넘 볼륨 내에 포지셔닝된 재료(들)의 블록을 지칭한다. 도 9a에서, 하나 이상의 배플들 (924) 은 샤워헤드 페데스탈 (900) 의 중심 영역에 걸친 제 1 존 (932) 및 샤워헤드 페데스탈 (900) 의 에지 영역에 걸친 제 2 존 (934) 으로 플레넘 볼륨 (930) 을 분리한다. 샤워헤드 페데스탈 (900) 의 에지 영역은 샤워헤드 페데스탈 (900) 의 적어도 2 개의 대향 에지들을 포함할 수도 있다. 하나 이상의 배플들 (924) 각각의 높이는 배면 플레이트 (910) 와 대면 플레이트 (920) 사이의 갭 거리를 연장할 수도 있다. 이는 제 1 존 (932) 과 제 2 존 (934) 사이의 가스 플로우를 제한한다. 도 9a의 샤워헤드 페데스탈 (900) 에서, 제 1 가스 (902) 는 플레넘 볼륨 (930) 의 제 1 존 (932) 내로 흐르고 제 2 가스 (904) 는 플레넘 볼륨 (930) 의 제 2 존 (934) 내로 흐른다. 일부 구현 예들에서, 중앙 배플 (926) 이 제 1 가스 (902) 의 플로우를 확산시키도록 플레넘 볼륨 (930) 내에 포지셔닝된다. 이러한 방식으로, 제 1 가스 (902) 의 플로우는 플레넘 볼륨 (930) 의 제 1 존 (932) 에 보다 균일하게 분포되고 플레넘 볼륨 (930) 의 중심으로부터 분출되지 (jet) 않는다.
도 9b는 도 9a의 샤워헤드 페데스탈의 제 2 존으로부터 제 1 존을 분리하는 예시적인 배플의 개략도의 측면도를 도시한다. 하나 이상의 배플들 (924) 이 샤워헤드 페데스탈 (900) 내의 존들 (932, 934) 을 분리하도록 사용되지만, 하나 이상의 배플들 (924) 각각은 플레넘 볼륨 (930) 에서 제 1 가스 (902) 와 제 2 가스 (904) 의 혼합을 허용하도록 홀들 (929) 을 가질 수도 있다. 홀들 (929) 은 임의의 적합한 배열, 수, 및 기하학적 구조에 따라 하나 이상의 배플들 (924) 을 따라 배열될 수도 있다. 홀들 (929) 은 샤워헤드 페데스탈 (900) 내의 존들 (932, 934) 사이의 유체 연통을 허용한다. 본 명세서에 사용된 바와 같이, 유체 연통은 영역들 또는 컴포넌트들 사이에서 유체 플로우를 허용하는 조건을 지칭한다.
일부 구현 예들에서, 제 1 가스 (902) 는 전구체 가스이고 제 2 가스 (904) 는 희석 가스이다. 예시적인 전구체 가스들은 압축 막 또는 인장 막을 증착하기 위한 실리콘-함유 가스들, 산소-함유 가스들, 및 질소-함유 가스들을 포함한다. 예시적인 희석 가스들은 질소 가스 및 불활성 가스들을 포함한다. 플레넘 볼륨 (930) 의 에지들로부터 희석 가스를 흘림으로써, 전구체 가스의 질량 유량은 플레넘 볼륨 (930) 의 중심 근방에서 가장 크고 플레넘 볼륨 (930) 의 에지들을 향해 점진적으로 감소한다. 샤워헤드 페데스탈 (900) 로부터의 전구체 가스의 질량 유량은 포물선 함수 또는 다른 다항식 함수와 매칭하거나 실질적으로 매칭할 수도 있다. 따라서, 압축 막 또는 인장 막의 두께 프로파일은 포물선 함수 또는 다른 다항식 함수와 매칭하거나 실질적으로 매칭할 수도 있다.
일부 구현 예들에서, 제 1 가스 (902) 는 희석 가스이고 제 2 가스 (904) 는 전구체 가스이다. 플레넘 볼륨 (930) 의 중심으로부터 희석 가스를 흘림으로써, 전구체 가스의 질량 유량은 플레넘 볼륨 (930) 의 에지들에서 가장 크고 플레넘 볼륨의 중심을 향해 점진적으로 감소한다. 샤워헤드 페데스탈 (900) 로부터의 전구체 가스의 질량 유량은 포물선 함수 또는 다른 다항식 함수와 매칭하거나 실질적으로 매칭할 수도 있다. 따라서, 압축 막 또는 인장 막의 두께 프로파일은 포물선 함수 또는 다른 다항식 함수와 매칭하거나 실질적으로 매칭할 수도 있다.
일부 다른 구현 예들에서, 플레넘 볼륨 (930) 내의 하나 이상의 배플들 (924) 은 제 1 가스 (902) 와 제 2 가스 (904) 사이의 혼합을 방지하도록 (prohibit) 홀들이 없을 수도 있다. 제 1 가스 (902) 및 제 2 가스 (904) 는 대면 플레이트 (920) 의 복수의 가스 분배 홀들 (922) 로부터 흐른 후에 혼합된다. 이에 더하여 또는 대안적으로, 샤워헤드 페데스탈 (900) 은 중앙 배플 (926) 이 없을 수도 있다. 혼합을 방지하기 위해 하나 이상의 배플들 (924) 내에 홀들이 없고 중앙 배플 (926) 이 없는 예시적인 샤워헤드 페데스탈이 도 10a 내지 도 10d에 개략적으로 예시된다.
도 10a는 일부 구현 예들에 따른 제 1 존으로 제 1 가스를 전달하는 제 1 공급 튜브 및 제 2 존으로 제 2 가스를 전달하는 제 2 공급 튜브를 포함하는 예시적인 멀티-존 샤워헤드 페데스탈의 다양한 컴포넌트들의 사시도를 도시한다. 본 명세서에 사용된 바와 같이, 공급 튜브는 플레넘 볼륨 내로 가스를 전달하기 위해 플레넘 볼륨 내에서 종 방향으로 (longitudinally) 연장하는 임의의 중공형 부재이다. 멀티-존 샤워헤드 페데스탈 (1000) 의 컴포넌트들은 예시를 위해 어셈블리 전에 개별 컴포넌트들로서 제공된다. 멀티-존 샤워헤드 페데스탈 (1000) 은 복수의 가스 분배 홀들 (1022) 을 갖는 대면 플레이트 (1020) 를 포함한다. 대면 플레이트 (1020) 는 보잉된 반도체 기판의 배면을 대면하도록 구성된다. 멀티-존 샤워헤드 페데스탈 (1000) 은 대면 플레이트 (1020) 와 대향하는 배면 플레이트 (1010) 를 더 포함한다. 도 10a에 인지 가능하게 예시되지 않지만, 플레넘 볼륨 (1030) 은 멀티-존 샤워헤드 페데스탈 (1000) 이 어셈블될 때 배면 플레이트 (1010) 와 대면 플레이트 (1020) 사이의 공간으로서 규정된다. 멀티-존 샤워헤드 페데스탈 (1000) 은 배면 플레이트 (1010) 에 연결된 스템 (1070) 을 더 포함할 수도 있고, 스템 (1070) 은 배면 플레이트 (1010) 를 통해 하나 이상의 프로세스 가스들을 전달하기 위한 가스 전달 라인들을 포함한다.
일부 구현 예들에서, 멀티-존 샤워헤드 페데스탈 (1000) 은 멀티-존 샤워헤드 페데스탈 (1000) 을 가열하기 위한 하나 이상의 히터들 (1080) 을 선택 가능하게 (optionally) 포함할 수도 있다. 하나 이상의 히터들 (1080) 은 배면 플레이트 (1010) 에 커플링될 수도 있다. 일부 구현 예들에서, 하나 이상의 히터들 (1080) 은 배면 플레이트 (1010) 의 상이한 존들에 국부화된 가열을 제공하도록 포지셔닝될 수도 있다.
멀티-존 샤워헤드 페데스탈 (1000) 은 플레넘 볼륨 (1030) 내에 제 1 공급 튜브 (1040) 및 플레넘 볼륨 (1030) 내에 제 2 공급 튜브 (1050) 를 더 포함할 수도 있다. 일부 구현 예들에서, 멀티-존 샤워헤드 페데스탈 (1000) 은 플레넘 볼륨 (1030) 내에 그리고 제 1 공급 튜브 (1040) 및 제 2 공급 튜브 (1050) 각각과 유체로 연통하는 중심 플러그 (1060) 를 더 포함한다. 본 명세서에 사용된 바와 같이, 유체 연통은 영역들 또는 컴포넌트들 사이에서 유체 플로우를 허용하는 조건을 지칭한다. 프로세스 가스들은 스템 (1070) 의 가스 전달 라인들을 통해 전달될 수도 있고 중심 플러그 (1060) 를 통해 제 1 공급 튜브 (1040) 및 제 2 공급 튜브 (1050) 내로 분배될 수도 있다. 중심 플러그 (1060) 는 제 1 가스가 제 1 공급 튜브 (1040) 로 분배되고 제 2 가스가 제 2 공급 튜브 (1050) 로 분배되도록 스플리터 (splitter) 와 같이 작용한다. 중심 플러그 (1060) 는 또한 제 1 공급 튜브 (1040) 및 제 2 공급 튜브 (1050) 각각을 2 개의 세그먼트들로 스플릿하도록 기능한다. 도 10b에 도시된 바와 같이, 제 1 공급 튜브 (1040) 는 제 1 공급 튜브 (1040) 를 따라 배열된 복수의 제 1 홀들 (1042) 을 포함하고 제 2 공급 튜브 (1050) 는 제 2 공급 튜브 (1050) 를 따라 배열된 복수의 제 2 홀들 (1052) 을 포함한다. 제 1 공급 튜브 (1040) 는 플레넘 볼륨 (1030) 의 기준 평면을 따라 제 2 공급 튜브 (1050) 에 직교하여 포지셔닝될 수도 있다. 따라서, 제 1 공급 튜브 (1040) 는 x-축 방향을 따라 연장할 수도 있고 제 2 공급 튜브 (1050) 는 멀티-존 샤워헤드 페데스탈 (1000) 의 y-축 방향을 따라 연장할 수도 있고, 그 반대일 수도 있다.
제 1 공급 튜브 (1040) 는 제 1 가스를 플레넘 볼륨 (1030) 내로 전달하도록 구성될 수도 있고 제 2 공급 튜브 (1050) 는 제 2 가스를 플레넘 볼륨 (1030) 내로 전달하도록 구성될 수도 있다. 일부 구현 예들에서, 제 1 가스는 전구체 가스이고 제 2 가스는 희석 가스이다. 일부 구현 예들에서, 제 1 가스는 희석 가스이고 제 2 가스는 전구체 가스이다. 도 10a 내지 도 10d에서, 제 1 공급 튜브 (1040) 는 "수직" 튜브로서 배향된 것으로 도시되고 제 2 공급 튜브 (1050) 는 "수평" 튜브로서 배향된 것으로 도시된다. 그러나, 제 1 공급 튜브 (1040) 는 제 1 공급 튜브 (1040) 가 "수평"이고 제 2 공급 튜브가 "수직"이도록 제 2 공급 튜브 (1050) 와 상호 교환될 수도 있다는 것이 이해될 것이다.
멀티-존 샤워헤드 페데스탈 (1000) 은 플레넘 볼륨 (1030) 내 제 2 가스와의 혼합으로부터 제 1 가스를 분리하기 위해 플레넘 볼륨 (1030) 내에 복수의 배플들 (1024) 을 포함한다. 이러한 방식으로, 제 1 가스는 대면 플레이트 (1020) 내의 복수의 가스 분배 홀들 (1022) 을 통해 멀티-존 샤워헤드 페데스탈 (1000) 을 나갈 때까지 제 2 가스와 혼합되지 않는다. 이는 제 1 가스와 제 2 가스의 혼합을 지연시키고 증착 동안 보다 포물선 또는 다항식 두께 프로파일을 획득하는데 보다 큰 제어를 용이하게 한다. 제 1 가스와 제 2 가스의 혼합은 가스들이 반도체 기판을 향해 흐를 때 발생한다. 복수의 배플들 (1024) 은 적어도 제 1 존 (z1) 및 제 2 존 (z2) 으로 플레넘 볼륨 (1030) 을 분리할 수도 있다. 복수의 배플들 (1024) 은 서로 평행할 수도 있다. 일부 구현 예들에서, 복수의 배플들 (1024) 은 제 1 공급 튜브 (1040) 에 평행하고 제 2 공급 튜브 (1050) 에 직교한다. 일부 구현 예들에서, 제 2 공급 튜브 (1050) 는 복수의 배플들 (1024) 각각의 중심을 통해 교차한다. 복수의 배플들 (1024) 각각의 높이는 배면 플레이트 (1010) 와 대면 플레이트 (1020) 사이의 갭 거리에 걸친다.
도 10c 및 도 10d에 도시된 바와 같이, 복수의 배플들 (1024) 은 복수의 제 1 배플들 (1024a) 및 복수의 제 2 배플들 (1024b) 을 포함할 수도 있다. 복수의 제 1 배플들 (1024a) 은 제 1 공급 튜브 (1040) 의 대향 측면들 상에 포지셔닝되고 복수의 제 2 배플들 (1024b) 보다 제 1 공급 튜브 (1040) 에 보다 가깝게 포지셔닝된 적어도 2 개의 배플들을 포함한다. 복수의 제 1 배플들 (1024a) 은 제 1 존 (z1) 의 제 1 가스를 제 2 존 (z2) 의 제 2 가스로부터 격리하거나 (isolate) 분리한다 (separate). 즉, 복수의 제 1 배플들 (1024a) 은 대면 플레이트 (1020) 의 가스 분배 홀들 (1022) 중 일부를 통해 나가기 전에 제 1 존 (z1) 내 제 1 공급 튜브 (1040) 로부터 공급된 제 1 가스를 담고 (contain), 제 1 가스가 플레넘 볼륨 (1030) 내의 제 2 가스와 혼합되는 것을 방지한다. 복수의 제 2 배플들 (1024b) 은 제 1 공급 튜브 (1040) 의 대향 측면들 상에 그리고 복수의 제 1 배플들 (1024a) 보다 제 1 공급 튜브 (1040) 로부터 더 멀리 포지셔닝되는 적어도 2 개의 배플들을 포함한다. 복수의 제 2 배플들 (1024b) 은 제 2 존 (z2) 을 복수의 섹션들 (s1, s2, 및 s3) 으로 더 세분화하도록 (sub-divide) 기능한다. 복수의 섹션들 (s1, s2, 및 s3) 로의 이 세분화는 섹션들 (s1, s2, 및 s3) 로부터 서로 제 2 가스의 혼합을 방지하여, 섹션 (s1, s2 및 s3) 각각으로부터의 제 2 가스의 질량 유량의 양의 보다 큰 제어를 제공한다. 이는 제 2 존 (z2) 에서 제 2 가스의 플로우의 조절을 허용하고, 증착 동안 포물선 또는 다항식 두께 프로파일을 획득하는데 보다 큰 제어를 가능하게 한다. 일부 구현 예들에서, 복수의 제 2 배플들 (1024b) 은 반드시 동일하게 이격될 필요는 없지만, 제 2 존 (z2) 에서 제 2 가스의 플로우의 조절을 위해 미리 결정된 위치들에 포지셔닝될 수도 있다.
복수의 제 2 배플들 (1024b) 은 제 2 공급 튜브 (1050) 를 섹션들 (s1, s2, 및 s3) 과 같은 임의의 적합한 수의 섹션들로 세분화할 수도 있다. 제 2 공급 튜브 (1050) 내의 복수의 제 2 홀들 (1052) 은 기하 구조들, 직경들, 간격, 배열, 또는 수에 의해 기술될 수도 있다. 제 2 공급 튜브 (1050) 내의 복수의 제 2 홀들 (1052) 의 이들 속성들은 섹션들 (s1, s2, 및 s3) 사이에서 달라질 수도 있고 (variable), 또는 섹션들 (s1, s2, 및 s3) 각각 내에서 달라질 수도 있다. 예를 들어, 복수의 제 2 홀들 (1052) 각각의 크기/직경은 제 2 존 (z2) 의 섹션 (s3) 에서 달라질 수도 있고, 이는 배기 포트들의 효과를 밸런싱하는데 유용할 수도 있다. 일부 구현 예들에서, 복수의 제 2 홀들 (1052) 각각의 사이즈/직경은 섹션 (s1, s2, 및 s3) 각각에서 균일할 수도 있지만, 복수의 섹션들 (s1, s2, 및 s3) 사이에서 달라질 수도 있다. 예를 들어, 섹션 (s1) 의 제 2 홀들 (1052) 은 특정한 사이즈/직경일 수도 있고, 섹션 (s2) 의 제 2 홀들 (1052) 은 섹션 (s1) 과 상이한 사이즈/직경일 수도 있고, 그리고 섹션 (s3) 의 제 2 홀들 (1052) 은 섹션 (s1) 또는 섹션 (s2) 과 상이한 사이즈/직경일 수도 있다. 복수의 제 2 홀들 (1052) 의 상이한 사이즈들의 직경들 또는 다른 속성들은 질량 유량 초킹 (mass flow choking) 을 제공할 수도 있다. 이는 제 2 가스의 플로우 최대량이 제 2 공급 튜브 (1050) 의 섹션들 (s1, s2, 및 s3) 각각에 대해 제공될 수도 있다는 것을 의미한다. 섹션들 (s1, s2, 및 s3) 중 임의의 섹션의 제 2 홀들 (1052) 은 플로우의 범위를 핸들링할 수 있는 방식으로 설계될 수도 있고, 특정한 문턱 값을 넘어 질량 유량이 초크된다. 문턱 값 아래에서, 플로우의 양은 제 2 가스의 플로우 레이트들을 단순히 변화시킴으로써 제어될 수도 있다. 따라서, 제 2 공급 튜브 (1050) 내의 복수의 제 2 홀들 (1052) 각각의 크기/직경은 불균일할 수도 있다. 일부 구현 예들에서, 제 1 공급 튜브 (1040) 내의 복수의 제 1 홀들 (1042) 각각의 사이즈/직경은 균일할 수도 있다. 전술한 기술은 제 2 공급 튜브 (1050) 를 복수의 섹션들로 세분화하고 섹션들 사이에서 제 2 홀들 (1052) 의 달라지는 속성들 (예를 들어, 기하 구조, 직경, 수, 간격, 또는 배열) 을 갖는 것에 적용되지만, 대안적으로 제 1 공급 튜브 (1040) 가 복수의 섹션들로 세분화될 수도 있고 섹션들 사이에서 제 1 홀들 (1042) 의 달라지는 속성들 (예를 들어, 기하 구조, 직경, 수, 간격, 또는 배열) 을 가질 수도 있다는 것이 당업자에게 이해될 것이다.
도 11은 일부 구현 예들에 따른 존의 상이한 섹션들에서 샤워헤드 페데스탈로부터 불활성 가스 플로우를 예시하는 그래프를 도시한다. 불활성 가스는 도 10a 내지 도 10d에 기술된 제 2 공급 튜브와 같은 공급 튜브로부터 흐를 수도 있다. 제 2 공급 튜브는 제 1 존 (z1), 제 2 존 (z2) 의 제 1 섹션 (s1), 제 2 존 (z2) 의 제 2 섹션 (s2), 및 제 2 존 (z2) 의 제 3 섹션 (s3) 으로 분할될 수도 있다. 샤워헤드 페데스탈의 플레넘 볼륨 내에 배플들의 배치가 불활성 가스가 제 1 존 (z1) 내에서 흐르는 것을 방지하기 때문에 제 1 존 (z1) 내에 불활성 가스 플로우가 없다. 제 2 존 (z2) 의 제 1 섹션 (s1) 에서, 불활성 가스의 질량 유량은 제 2 공급 튜브의 길이를 따라 약 2x10-6 ㎏/s의 최대 질량 유량으로 점진적으로 증가한다. 제 2 존 (z2) 의 제 2 섹션 (s2) 에서, 불활성 가스의 질량 유량은 제 2 공급 튜브의 길이를 따라 약 2.5x10-6 ㎏/s의 질량 유량으로 점진적으로 증가하고, 그리고 제 2 공급 튜브의 길이를 따라 약 7.5x10-6 ㎏/s의 최대 질량 유량으로 점진적으로 더 증가한다. 제 2 존 (z2) 의 제 3 섹션 (s3) 에서, 불활성 가스의 질량 유량은 약 7.5x10-6 ㎏/s로 일정하게 유지된다. 이는 샤워헤드 페데스탈로부터의 제 2 가스의 질량 유량이 제 2 공급 튜브를 따라 복수의 섹션들 (s1, s2, 및 s3) 에 걸쳐 튜닝 가능하다는 것을 도시한다. 공급 튜브의 길이를 따른 질량 유량 초킹은 전구체 가스의 희석을 엄격하게 제어하도록 기능할 수도 있고, 이에 따라 증착 동안 포물선 또는 다항식 두께 프로파일을 획득할 때 증가된 제어를 제공한다. 이는 보잉된 반도체 기판의 x-축 방향 및 y-축 방향을 따른 보잉의 비대칭 레벨에 기초하여 응력의 보다 큰 튜닝 가능성을 가능하게 한다.
일부 구현 예들에서, 전구체 가스 플로우 레이트 대 불활성 가스 플로우 레이트의 비는 반도체 기판에 인접한 전구체 가스의 농도를 조절하기 위해 제어될 수도 있다. 비가 보다 높은 경우, 보다 많은 전구체 가스가 샤워헤드 페데스탈의 x-축 방향 또는 y-축 방향과 같은 샤워헤드 페데스탈의 축 방향 길이를 따라 흐른다. 그 결과, 전구체 가스의 농도는 축 방향 길이를 따라 보다 적게 테이퍼진다 (즉, 얕은 경사). 비가 보다 낮은 경우, 보다 적은 전구체 가스가 샤워헤드 페데스탈의 축 방향 길이를 따라 흐른다. 그 결과, 전구체 가스의 농도는 축 방향 길이를 따라 보다 테이퍼진다 (즉, 급경사). 전구체 가스 플로우 레이트 대 불활성 가스 플로우 레이트의 비는 전구체 질량 유량 프로파일에 대한 커브-피팅을 최적화하도록 제어될 수도 있다. 전구체 질량 유량 프로파일은 막 두께 프로파일에 직접적으로 상관될 수도 있다. 전구체 가스 플로우 레이트 대 불활성 가스 플로우 레이트의 비는 보다 포물선 또는 다항식 막 두께 프로파일을 획득하도록 튜닝될 수도 있다. 실제로, 비를 제어함으로써, 편평, 벨 커브, 로그 프로파일, 및 다른 프로파일들과 같은 비포물선 프로파일들이 또한 달성될 수 있다. 넓은 범위의 질량 유량 프로파일들 또는 막 두께 프로파일들은 전구체 가스 플로우 레이트 대 불활성 가스 플로우 레이트의 비를 제어함으로써 샤워헤드 페데스탈로부터 달성될 수도 있다.
도 12는 일부 구현 예들에 따른 전구체 가스 대 불활성 가스의 다양한 비들에 대해 샤워헤드 페데스탈로부터 전구체 질량 유량 프로파일을 예시하는 그래프를 도시한다. 전구체 질량 분율 (mass fraction) 은 샤워헤드 페데스탈 상의 위치의 함수로서 측정된다. 도 12에 도시된 바와 같이, 전구체 플로우 대 불활성 가스 플로우의 비를 달라지게 하는 것은 전구체 질량 유량 프로파일들의 튜닝 가능성을 허용한다. 이 비에 따라, 전구체 질량 유량 프로파일은 표준 포물선 함수 또는 다항식 함수에 밀접하게 (closely) 피팅될 수도 있다. 5 : 1의 비에서, 전구체 질량 유량 프로파일은 표준 포물선 프로파일에 적당히 피팅한다. 2.5 : 1의 비에서, 전구체 질량 유량 프로파일은 표준 포물선 프로파일에 밀접하게 피팅한다. 0.86 : 1의 비에서, 전구체 질량 유량 프로파일은 표준 포물선 프로파일에 밀접하게 피팅한다. 0.4 : 1의 비에서, 전구체 질량 유량 프로파일은 표준 포물선 프로파일에 밀접하게 피팅하지 않는다. 0.2 : 1의 비에서, 전구체 질량 유량 프로파일은 표준 포물선 프로파일에 밀접하게 피팅하지 않는다.
개시된 실시 예들은 임의의 적합한 장치 또는 툴에서 수행될 수도 있다. 장치 또는 툴은 하나 이상의 프로세스 스테이션들을 포함할 수도 있다. 일부 실시 예들에서 사용될 수도 있는 예시적인 프로세스 스테이션들 및 툴들이 이하에 기술된다.
도 13은 일부 구현 예들에 따른 응력 조절을 위한 동작들을 수행하기 위한 예시적인 프로세스 툴의 개략도를 예시한다. 멀티-스테이션 프로세싱 툴 (1300) 은 인바운드 로드 록 (1302) 및 아웃바운드 로드 록 (1304) 을 포함할 수 있고, 이들 중 하나 또는 모두는 플라즈마 소스 및/또는 UV 소스를 포함할 수도 있다. 대기압에서 로봇 (1306) 은, 카세트로부터 포드 (pod) (1308) 를 통해 로딩된 웨이퍼들을 대기 포트 (1310) 를 통해 인바운드 로드 록 (1302) 으로 이동시키도록 구성된다. 웨이퍼 (미도시) 는 인바운드 로드 록 (1302) 내의 페데스탈 (1312) 상에 로봇 (1306) 에 의해 배치되고, 대기 포트 (1310) 는 폐쇄되고, 인바운드 로드 록 (1302) 은 펌핑 다운된다 (pump down). 인바운드 로드 록 (1302) 이 리모트 플라즈마 소스를 포함하면, 웨이퍼는 프로세싱 챔버 (1314) 내로 도입되기 전에 인바운드 로드 록 (1302) 내에서 리모트 플라즈마 처리에 노출될 수도 있다. 또한, 웨이퍼는 또한 예를 들어, 수분 및 흡착된 가스들을 제거하기 위해 인바운드 로드 록 (1302) 내에서 또한 가열될 수도 있다. 다음에, 프로세싱 챔버 (1314) 로의 챔버 이송 포트 (1316) 가 개방되고, 또 다른 로봇 (미도시) 이 프로세싱을 위한 반응기 내에 도시된 제 1 스테이션의 페데스탈 상의 반응기 내로 웨이퍼를 배치한다. 도 13에 도시된 구현 예는 로드 록들을 포함하지만, 일부 구현 예들에서, 웨이퍼의 프로세스 스테이션으로의 직접적인 진입이 제공될 수도 있다는 것이 인식될 것이다.
도시된 프로세싱 챔버 (1314) 는 도 13에 도시된 실시 예에서 1 내지 4로 번호가 붙여진, 4 개의 프로세스 스테이션들을 포함한다. 스테이션 각각은 가열된 페데스탈 (스테이션 1에 대해 1318로 도시됨), 및 가스 라인 유입구들을 갖는다. 일부 실시 예들에서, 프로세스 스테이션 각각이 상이한 목적들 또는 복수의 목적들을 가질 수도 있다는 것이 인식될 것이다. 예를 들어, 일부 실시 예들에서, 프로세스 스테이션은 CVD 프로세스 모드와 PECVD 프로세스 모드 사이에서 스위칭 가능할 수도 있다. 또 다른 예에서, PECVD 동작들과 같은 증착 동작들은 일 스테이션에서 수행될 수도 있는 한편, UV 경화 (curing) 를 위한 UV 복사선에 대한 노출은 또 다른 스테이션에서 수행될 수도 있다. 일부 실시 예들에서, 증착 및 UV 경화는 동일한 스테이션에서 수행된다. 도시된 프로세싱 챔버 (1314) 는 4 개의 스테이션들을 포함하지만, 본 개시에 따른 프로세싱 챔버는 임의의 적합한 수의 스테이션들을 가질 수도 있다는 것이 이해될 것이다. 예를 들어, 일부 실시 예들에서, 프로세싱 챔버는 5 개 이상의 스테이션들을 가질 수도 있는 반면, 다른 실시 예들에서 프로세싱 챔버는 3 개 이하의 스테이션들을 가질 수도 있다.
도 13은 프로세싱 챔버 (1314) 내에서 웨이퍼들을 이송하기 위한 웨이퍼 핸들링 시스템 (1390) 의 구현 예를 도시한다. 일부 실시 예들에서, 웨이퍼 핸들링 시스템 (1390) 은 다양한 프로세스 스테이션들 사이 그리고/또는 프로세스 스테이션과 로드 록 사이에서 웨이퍼들을 이송할 수도 있다. 임의의 적합한 웨이퍼 핸들링 시스템이 채용될 수도 있다는 것이 인식될 것이다. 비제한적인 예들은 웨이퍼 캐로절들 (carousels) 및 웨이퍼 핸들링 로봇들을 포함한다. 도 13은 또한 프로세스 툴 (1300) 의 프로세스 조건들 및 하드웨어 상태들을 제어하도록 채용된 시스템 제어기 (1350) 의 구현 예를 도시한다. 시스템 제어기 (1350) 는 하나 이상의 메모리 디바이스들 (1356), 하나 이상의 대용량 저장 디바이스들 (1354), 및 하나 이상의 프로세서들 (1352) 을 포함할 수도 있다. 프로세서 (1352) 는 CPU 또는 컴퓨터, 아날로그 입력/출력 연결부 및/또는 디지털 입력/출력 연결부, 스텝퍼 모터 제어 보드들, 등을 포함할 수도 있다.
일부 실시 예들에서, 시스템 제어기 (1350) 는 프로세스 툴 (1300) 의 모든 액티비티들을 제어한다. 시스템 제어기 (1350) 는 대용량 저장 디바이스 (1354) 에 저장되고 메모리 디바이스 (1356) 내로 로딩되어 프로세서 (1352) 상에서 실행되는 시스템 제어 소프트웨어 (1358) 를 실행한다. 대안적으로, 제어 로직은 제어기 (1350) 에 하드코딩될 (hard coded) 수도 있다. ASICs (Applications Specific Integrated Circuits), PLDs (Programmable Logic Devices) (예를 들어, field-programmable gate arrays, 또는 FPGAs) 등이 이들 목적들을 위해 사용될 수도 있다. 이하의 논의에서, "소프트웨어 (software)" 또는 "코드 (code)"가 사용될 때마다, 기능적으로 비슷한 하드 코딩된 로직이 그 자리에 사용될 수도 있다. 시스템 제어 소프트웨어 (1358) 는 타이밍, 가스의 혼합물, 가스 플로우 레이트들, 챔버 및/또는 스테이션 압력, 챔버 및/또는 스테이션 온도, 웨이퍼 온도, 타겟 전력 레벨들, RF 전력 레벨들, 기판 페데스탈, 척 및/또는 서셉터 (susceptor) 포지션, 및 프로세스 툴 (1300) 에 의해 수행되는 특정한 프로세스의 다른 파라미터들을 제어하기 위한 인스트럭션들을 포함할 수도 있다. 시스템 제어 소프트웨어 (1358) 는 임의의 적합한 방식으로 구성될 수도 있다. 예를 들어, 다양한 프로세스 툴 프로세스들을 실행하도록 사용된 프로세스 툴 컴포넌트들의 동작을 제어하도록 다양한 프로세스 툴 컴포넌트 서브루틴들 또는 제어 객체들이 작성될 수도 있다. 시스템 제어 소프트웨어 (1358) 는 임의의 적합한 컴퓨터 판독 가능 프로그래밍 언어로 코딩될 수도 있다.
일부 실시 예들에서, 시스템 제어 소프트웨어 (1358) 는 상기 기술된 다양한 파라미터들을 제어하기 위한 IOC (Input/Output Control) 시퀀싱 인스트럭션들을 포함할 수도 있다. 시스템 제어기 (1350) 와 연관된 대용량 저장 디바이스 (1354) 및/또는 메모리 디바이스 (1356) 에 저장된 다른 컴퓨터 소프트웨어 및/또는 프로그램들이 일부 실시 예들에서 채용될 수도 있다. 이 목적을 위한 프로그램들 또는 프로그램들의 섹션들의 예들은 기판 포지셔닝 (positioning) 프로그램, 프로세스 가스 제어 프로그램, 압력 제어 프로그램, 히터 제어 프로그램, 및 플라즈마 제어 프로그램을 포함한다.
기판 포지셔닝 프로그램은 페데스탈 (1318) 상에 기판을 로딩하고 기판과 프로세스 툴 (1300) 의 다른 부분들 사이의 간격을 제어하도록 사용된 프로세스 툴 컴포넌트들에 대한 프로그램 코드를 포함할 수도 있다.
프로세스 가스 제어 프로그램은 가스 조성 (예를 들어, 본 명세서에 기술된 바와 같은 실리콘-함유 가스들, 산소-함유 가스들, 질소-함유 가스들, 및 희석 가스 또는 불활성 가스) 및 플로우 레이트들을 제어하기 위한 코드 그리고 선택 가능하게 프로세스 스테이션 내 압력을 안정화하기 위해 증착 전에 하나 이상의 프로세스 스테이션들 내로 가스를 흘리기 위한 코드를 포함할 수도 있다. 압력 제어 프로그램은 예를 들어, 프로세스 스테이션의 배기 시스템 내의 쓰로틀 밸브, 프로세스 스테이션 내의 가스 플로우, 등을 조절함 (regulate) 으로써, 프로세스 스테이션 내의 압력을 제어하기 위한 코드를 포함할 수도 있다.
히터 제어 프로그램은 기판을 가열하도록 사용되는 가열 유닛으로의 전류를 제어하기 위한 코드를 포함할 수도 있다. 대안적으로, 히터 제어 프로그램은 (헬륨과 같은) 열 전달 가스의 기판으로의 전달을 제어할 수도 있다.
플라즈마 제어 프로그램은 본 명세서의 실시 예들에 따라 하나 이상의 프로세스 스테이션들의 프로세스 전극들로 인가된 RF 전력 레벨들을 설정하기 위한 코드를 포함할 수도 있다.
압력 제어 프로그램은 본 명세서의 실시 예들에 따라 반응 챔버 내 압력을 유지하기 위한 코드를 포함할 수도 있다.
일부 실시 예들에서, 시스템 제어기 (1350) 와 연관된 사용자 인터페이스가 있을 수도 있다. 사용자 인터페이스는 디스플레이 스크린, 장치 및/또는 프로세스 조건들의 그래픽 소프트웨어 디스플레이들, 및 포인팅 디바이스들, 키보드들, 터치 스크린들, 마이크로폰들, 등과 같은 사용자 입력 디바이스들을 포함할 수도 있다.
일부 실시 예들에서, 시스템 제어기 (1350) 에 의해 조정된 파라미터들은 프로세스 조건들에 관련될 수도 있다. 비제한적인 예들은 프로세스 가스 조성 및 플로우 레이트들, 온도, 압력, (RF 바이어스 전력 레벨들과 같은) 플라즈마 조건들, 등을 포함한다. 이들 파라미터들은 사용자 인터페이스를 활용하여 입력될 수도 있는 레시피의 형태로 사용자에게 제공될 수도 있다.
프로세스를 모니터링하기 위한 신호들은 다양한 프로세스 툴 센서들로부터 시스템 제어기 (1350) 의 아날로그 입력 연결부 및/또는 디지털 입력 연결부에 의해 제공될 수도 있다. 프로세스를 제어하기 위한 신호들은 프로세스 툴 (1300) 의 아날로그 출력 연결부 및 디지털 출력 연결부 상에 출력될 수도 있다. 모니터링될 수도 있는 프로세스 툴 센서들의 비한정적인 예들은 질량 플로우 레이트 제어기들, (마노미터들 (manometers) 과 같은) 압력 센서들, 열전대들 (thermocouples), 등을 포함한다. 적절하게 프로그래밍된 피드백 및 제어 알고리즘들이 프로세스 조건들을 유지하기 위해 이들 센서들로부터 데이터로 사용될 수도 있다.
시스템 제어기 (1350) 는 상기 기술된 증착 프로세스들을 구현하기 위한 프로그램 인스트럭션들을 제공할 수도 있다. 프로그램 인스트럭션들은 DC 전력 레벨, RF 바이어스 전력 레벨, 압력, 온도, 등과 같은 다양한 프로세스 파라미터들을 제어할 수도 있다. 인스트럭션은 본 명세서에 기술된 다양한 실시 예들에 따라 보우 보상 층의 막 스택들의 증착을 동작시키도록 파라미터들을 제어할 수도 있다.
시스템 제어기 (1350) 는 통상적으로 장치가 개시된 실시 예들에 따른 방법을 수행하도록 인스트럭션들을 실행하게 구성된 하나 이상의 메모리 디바이스들 및 하나 이상의 프로세서들을 포함할 것이다. 개시된 실시 예들에 따른 프로세스 동작들을 제어하기 위한 인스트럭션을 포함하는 머신-판독가능 매체가 시스템 제어기 (1350) 에 커플링될 수도 있다.
일부 구현 예들에서, 시스템 제어기 (1350) 는 상기 기술된 예들의 일부일 수도 있는, 시스템의 일부이다. 이러한 시스템들은, 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들, 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함할 수 있다. 이들 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 프로세싱 동안에, 그리고 프로세싱 이후에 그들의 동작을 제어하기 위한 전자장치들과 통합될 수도 있다. 전자장치들은 시스템들 또는 시스템의 서브 파트들 또는 다양한 컴포넌트들을 제어할 수도 있는 "제어기 (controller)"로서 지칭될 수도 있다. 시스템 제어기 (1350) 는, 시스템의 프로세싱 조건들 및/또는 타입에 따라서, 프로세싱 가스들의 전달, 온도 설정사항들 (예를 들어, 가열 및/또는 냉각), 압력 설정사항들, 진공 설정사항들, 전력 설정사항들, 무선 주파수 (RF) 생성기 설정사항들, RF 매칭 회로 설정사항들, 주파수 설정사항들, 플로우 레이트 설정사항들, 유체 전달 설정사항들, 포지션 및 동작 설정사항들, 툴들 및 다른 이송 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드 록들 내외로의 웨이퍼 이송들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스들을 제어하도록 프로그래밍될 수도 있다.
일반적으로 말하면, 시스템 제어기 (1350) 는 인스트럭션들을 수신하고, 인스트럭션들을 발행하고, 동작을 제어하고, 세정 동작들을 인에이블하고, 엔드 포인트 측정들을 인에이블하는 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSPs), ASICs (Application Specific Integrated Circuits) 로서 규정되는 칩들, 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 수행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 시스템 제어기 (1350) 로 또는 시스템으로 전달되는 인스트럭션들일 수도 있다. 일부 실시 예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 옥사이드들, 실리콘, 실리콘 다이옥사이드, 표면들, 회로들, 및/또는 웨이퍼의 다이들 (dies) 의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어들에 의해서 규정된 레시피의 일부일 수도 있다.
시스템 제어기 (1350) 는, 일부 구현 예들에서, 시스템에 통합되거나, 시스템에 커플링되거나, 이와 달리 시스템에 네트워킹되거나, 또는 이들의 조합으로 될 수 있는 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들어, 시스템 제어기 (1350) 는 웨이퍼 프로세싱의 원격 액세스를 가능하게 할 수 있는 공장 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하거나, 과거 제조 동작들의 이력을 조사하거나, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하거나, 현 프로세싱의 파라미터들을 변경하거나, 현 프로세싱을 따르는 프로세싱 단계들을 설정하거나, 새로운 프로세스를 시작하기 위해서, 시스템으로의 원격 액세스를 가능하게 할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 가 로컬 네트워크 또는 인터넷을 포함할 수도 있는, 네트워크를 통해 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 가능하게 하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 시스템 제어기 (1350) 는 하나 이상의 동작들 동안에 수행될 프로세스 단계들 각각에 대한 파라미터들을 특정하는, 데이터의 형태의 인스트럭션들을 수신한다. 파라미터들은 시스템 제어기 (1350) 가 제어하거나 인터페이싱하도록 구성된 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수도 있다는 것이 이해되어야 한다. 따라서 상기 기술된 바와 같이, 시스템 제어기 (1350) 는 예컨대 본 명세서에 기술된 프로세스들 및 제어들과 같은, 공동의 목적을 향해 함께 네트워킹되고 작동되는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적들을 위한 분산형 제어기의 일 예는 챔버 상의 프로세스를 제어하도록 조합되는 원격으로 (예컨대 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 것이다.
다른 실시 예들
전술한 기술 (description) 에서, 제시된 실시 예들의 완전한 이해를 제공하기 위해 수많은 구체적 상세들이 제시되었다. 개시된 실시 예들은 이들 구체적인 상세들 중 일부 또는 전부가 없이 실시될 수도 있다. 다른 예들에서, 공지된 프로세스 동작들은 개시된 실시 예들을 불필요하게 모호하게 하지 않기 위해 상세히 기술되지 않았다. 개시된 실시 예들이 구체적인 실시 예들과 함께 기술되지만, 이는 개시된 실시 예들을 제한하는 것으로 의도되지 않았다는 것이 이해될 것이다.
전술한 실시 예들이 이해의 명확성의 목적들을 위해 다소 상세히 기술되었지만, 특정한 변화들 및 수정들이 첨부된 청구항들의 범위 내에서 실시될 수도 있다는 것이 자명할 것이다. 본 실시 예들의 프로세스들, 시스템들, 및 장치를 구현하는 많은 대안적인 방식들이 있다는 것을 주의해야 한다. 따라서, 본 실시 예들은 예시적이고, 제한적이지 않은 것으로 간주될 것이며, 실시 예들은 본 명세서에 주어진 세부 사항들로 한정되지 않을 것이다.

Claims (20)

  1. 하나 이상의 인장 영역들 및 하나 이상의 압축 영역들을 갖는 보잉된 (bow) 반도체 기판을 제공하는 단계;
    상기 보잉된 반도체 기판의 배면 (backside) 상에 제 1 비선형 두께 프로파일을 갖는 압축 막을 증착하는 단계; 및
    상기 압축 막을 증착하기 전 또는 증착한 후, 상기 보잉된 반도체 기판의 상기 배면 상에 제 2 비선형 두께 프로파일을 갖는 인장 막을 증착하는 단계로서, 상기 압축 막 및 상기 인장 막은 함께 보우 보상 층을 형성하는, 상기 인장 막을 증착하는 단계를 포함하는, 방법.
  2. 제 1 항에 있어서,
    상기 제 1 비선형 두께 프로파일은 제 1 포물선 형상 프로파일 (parabolic-shaped profile) 이고 그리고 상기 제 2 비선형 두께 프로파일은 제 2 포물선 형상 프로파일인, 방법.
  3. 제 2 항에 있어서,
    상기 제 1 포물선 형상 프로파일은 상향 또는 하향으로 개방되고, 그리고 상기 제 2 포물선 형상 프로파일은 상기 제 1 포물선 형상 프로파일의 반대 방향으로 개방되는, 방법.
  4. 제 1 항에 있어서,
    상기 보우 보상 층은 편평하거나 실질적으로 편평한, 방법.
  5. 제 1 항에 있어서,
    상기 제 1 비선형 두께 프로파일 및 상기 제 2 비선형 두께 프로파일 각각은 다항식 함수와 매칭하거나 실질적으로 매칭하는, 방법.
  6. 제 1 항에 있어서,
    상기 보잉된 반도체 기판은 상기 보우 보상 층을 증착하기 전에 새들 형상 (saddle-shape) 인, 방법.
  7. 제 1 항 내지 제 6 항 중 어느 한 항에 있어서,
    상기 보잉된 반도체 기판은 +300 ㎛ 이상 또는 -300 ㎛ 이하의 뒤틀림 (warpage) 을 가지고 비대칭적으로 보잉되고, 그리고 상기 보우 보상 층의 증착 후 상기 보잉된 반도체 기판은 -300 ㎛ 내지 +300 ㎛인, 방법.
  8. 제 1 항 내지 제 6 항 중 어느 한 항에 있어서,
    상기 압축 막을 증착하는 단계는 상기 보잉된 반도체 기판의 상기 배면에 걸쳐 달라지도록 (vary) 샤워헤드 페데스탈로부터의 제 1 전구체 농도를 제어하는 단계를 포함하고, 그리고 상기 인장 막을 증착하는 단계는 상기 보잉된 반도체 기판의 상기 배면에 걸쳐 달라지도록 상기 샤워헤드 페데스탈로부터 제 2 전구체 농도를 제어하는 단계를 포함하는, 방법.
  9. 제 8 항에 있어서,
    상기 샤워헤드 페데스탈은 상기 샤워헤드 페데스탈의 플레넘 볼륨 내에 제 1 공급 튜브 및 제 2 공급 튜브를 포함하고, 상기 압축 막 또는 상기 인장 막의 증착 동안 상기 제 1 공급 튜브는 상기 플레넘 볼륨의 제 1 존으로 제 1 가스를 흘리고 상기 제 2 공급 튜브는 상기 플레넘 볼륨의 제 2 존으로 제 2 가스를 흘리는, 방법.
  10. 샤워헤드로부터의 가스가 흐르는, 복수의 가스 분배 홀들을 포함하는 대면 플레이트 (faceplate);
    상기 대면 플레이트와 대향하고 상기 대면 플레이트와의 사이에 플레넘 볼륨을 규정하는 배면 플레이트 (backplate);
    상기 플레넘 볼륨 내 제 1 공급 튜브로서, 상기 플레넘 볼륨 내로 제 1 가스를 공급하는 복수의 제 1 홀들을 갖는, 상기 제 1 공급 튜브;
    상기 플레넘 볼륨 내 제 2 공급 튜브로서, 상기 플레넘 볼륨 내로 제 2 가스를 공급하는 복수의 제 2 홀들을 갖는, 상기 제 2 공급 튜브; 및
    상기 플레넘 볼륨 내의 복수의 배플들로서, 상기 복수의 배플들은 적어도 상기 플레넘 볼륨 내의 상기 제 2 가스로부터 상기 제 1 가스를 격리하도록 (isolate) 구성되는, 상기 복수의 배플들을 포함하는, 샤워헤드.
  11. 제 10 항에 있어서,
    상기 제 1 공급 튜브는 상기 플레넘 볼륨의 기준 평면을 따라 상기 제 2 공급 튜브에 직교하는, 샤워헤드.
  12. 제 10 항에 있어서,
    상기 복수의 배플들은 복수의 제 1 배플들 및 복수의 제 2 배플들을 포함하고, 상기 복수의 제 1 배플들은 상기 제 1 공급 튜브에 평행하고 상기 플레넘 볼륨의 제 2 존으로부터 제 1 존의 상기 제 1 가스를 격리하도록 상기 제 1 공급 튜브의 대향 측면들 상에 있고, 그리고 상기 복수의 제 2 배플들은 상기 제 1 공급 튜브에 평행하고 상기 복수의 제 1 배플들로부터 더 멀리 상기 제 1 공급 튜브의 대향하는 측면들 상에 적어도 2 개의 배플들을 포함하고, 상기 복수의 제 2 배플들은 상기 제 2 존 내의 상기 제 2 가스의 플로우를 복수의 섹션들로 분할하도록 구성되는, 샤워헤드.
  13. 제 12 항에 있어서,
    상기 제 1 가스는 상기 플레넘 볼륨의 상기 제 1 존으로부터 상기 대면 플레이트로부터 흐르고, 그리고 상기 제 2 가스는 상기 플레넘 볼륨의 상기 제 2 존으로부터 상기 대면 플레이트로부터 흐르고, 상기 대면 플레이트는 상기 반도체 기판의 배면을 대면하도록 구성되는, 샤워헤드.
  14. 제 12 항에 있어서,
    상기 제 1 공급 튜브에 걸친 상기 복수의 제 1 홀들 각각의 직경은 균일하고, 그리고 상기 제 2 존의 상기 복수의 섹션들 각각의 상기 제 2 홀들의 직경은 불균일한, 샤워헤드.
  15. 제 10 항에 있어서,
    상기 복수의 배플들 각각의 높이는 상기 배면 플레이트와 상기 대면 플레이트 사이의 갭 거리에 걸치는, 샤워헤드.
  16. 제 10 항 내지 제 15 항 중 어느 한 항에 있어서,
    상기 플레넘 볼륨 내에 있고 상기 제 1 공급 튜브 및 상기 제 2 공급 튜브 각각과 유체로 연통하는 중심 플러그를 더 포함하고, 상기 중심 플러그는 상기 제 1 가스의 플로우를 상기 제 1 공급 튜브로 그리고 상기 제 2 가스의 플로우를 상기 제 2 가스 공급 튜브로 지향시키는, 샤워헤드.
  17. 제 10 항 내지 제 15 항 중 어느 한 항에 있어서,
    상기 제 1 가스는 전구체 가스이고 상기 제 2 가스는 희석 가스인, 샤워헤드.
  18. 제 10 항 내지 제 15 항 중 어느 한 항에 있어서,
    상기 배면 플레이트에 연결되고 상기 플레넘 볼륨과 유체로 연통하는 스템을 더 포함하고, 상기 스템은 상기 제 1 공급 튜브 및 상기 제 2 공급 튜브에 상기 제 1 가스 및 상기 제 2 가스를 공급하는 하나 이상의 가스 전달 라인들을 포함하는, 샤워헤드.
  19. 샤워헤드로부터의 가스가 흐르는, 복수의 가스 분배 홀들을 포함하는 대면 플레이트 (faceplate);
    상기 대면 플레이트와 대향하고 상기 대면 플레이트와의 사이에 플레넘 볼륨을 규정하는 배면 플레이트 (backplate);
    상기 플레넘 볼륨을 적어도 제 1 존 및 제 2 존으로 분할하는 상기 플레넘 볼륨 내의 하나 이상의 배플들; 및
    제 1 가스 및 제 2 가스를 상기 플레넘 볼륨 내로 전달하는 상기 배면 플레이트에 커플링된 하나 이상의 가스 유입구들을 포함하고, 상기 제 1 가스는 상기 제 1 존으로 전달되도록 구성되고 상기 제 2 가스는 상기 제 2 존으로 전달되도록 구성되는, 샤워헤드.
  20. 제 19 항에 있어서,
    상기 복수의 가스 분배 홀들은 상기 제 1 존과 유체로 연통하는 제 1 홀들 및 상기 제 2 존과 유체로 연통하는 제 2 홀들을 포함하고, 상기 제 1 홀들의 밀도는 상기 제 2 홀들의 밀도와 상이한, 샤워헤드.
KR1020227020427A 2020-12-03 2021-11-19 웨이퍼 보우 (bow) 보상을 위한 배면 증착 및 국부 응력 조절 KR20220086716A (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020237026375A KR20230120676A (ko) 2020-12-03 2021-11-19 웨이퍼 보우 (bow) 보상을 위한 배면 증착 및 국부응력 조절

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US202063199044P 2020-12-03 2020-12-03
US63/199,044 2020-12-03
PCT/US2021/060159 WO2022119732A1 (en) 2020-12-03 2021-11-19 Backside deposition and local stress modulation for wafer bow compensation

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020237026375A Division KR20230120676A (ko) 2020-12-03 2021-11-19 웨이퍼 보우 (bow) 보상을 위한 배면 증착 및 국부응력 조절

Publications (1)

Publication Number Publication Date
KR20220086716A true KR20220086716A (ko) 2022-06-23

Family

ID=81854185

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020237026375A KR20230120676A (ko) 2020-12-03 2021-11-19 웨이퍼 보우 (bow) 보상을 위한 배면 증착 및 국부응력 조절
KR1020227020427A KR20220086716A (ko) 2020-12-03 2021-11-19 웨이퍼 보우 (bow) 보상을 위한 배면 증착 및 국부 응력 조절

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020237026375A KR20230120676A (ko) 2020-12-03 2021-11-19 웨이퍼 보우 (bow) 보상을 위한 배면 증착 및 국부응력 조절

Country Status (5)

Country Link
US (1) US20240003010A1 (ko)
KR (2) KR20230120676A (ko)
CN (1) CN115867692A (ko)
TW (1) TW202237887A (ko)
WO (1) WO2022119732A1 (ko)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20240103385A1 (en) * 2022-09-28 2024-03-28 Applied Materials, Inc. Frequency and Amplitude Modulation of Implant Dose for Stress Management
CN116926511A (zh) * 2023-09-18 2023-10-24 上海陛通半导体能源科技股份有限公司 气相沉积设备和晶圆应力调整方法

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1361604B1 (en) * 2001-01-22 2009-03-18 Tokyo Electron Limited Device and method for treatment
US8178436B2 (en) * 2006-12-21 2012-05-15 Intel Corporation Adhesion and electromigration performance at an interface between a dielectric and metal
US8334015B2 (en) * 2007-09-05 2012-12-18 Intermolecular, Inc. Vapor based combinatorial processing
KR100997104B1 (ko) * 2008-07-04 2010-11-29 주식회사 테스 반도체 제조용 샤워헤드 및 이 샤워헤드를 구비한 반도체제조장치
KR100980397B1 (ko) * 2010-05-24 2010-09-07 주식회사 시스넥스 유기금속가스의 농도분포조절이 가능한 화학기상증착반응기
US9121097B2 (en) * 2012-08-31 2015-09-01 Novellus Systems, Inc. Variable showerhead flow by varying internal baffle conductance
KR102464720B1 (ko) * 2018-09-28 2022-11-07 램 리써치 코포레이션 비대칭 웨이퍼 보우 보상

Also Published As

Publication number Publication date
TW202237887A (zh) 2022-10-01
CN115867692A (zh) 2023-03-28
US20240003010A1 (en) 2024-01-04
KR20230120676A (ko) 2023-08-17
WO2022119732A1 (en) 2022-06-09

Similar Documents

Publication Publication Date Title
US11075127B2 (en) Suppressing interfacial reactions by varying the wafer temperature throughout deposition
US20230040728A1 (en) Substrate processing method and apparatus
US10431431B2 (en) Gas supply delivery arrangement including a gas splitter for tunable gas flow control
KR102605484B1 (ko) 막 프로파일 조정을 위한 샤워헤드 커튼 가스 방법 및 시스템
US10062563B2 (en) Selective atomic layer deposition with post-dose treatment
US9847221B1 (en) Low temperature formation of high quality silicon oxide films in semiconductor device manufacturing
US20170167017A1 (en) Methods and apparatuses for showerhead backside parasitic plasma suppression in a secondary purge enabled ald system
US10903070B2 (en) Asymmetric wafer bow compensation by chemical vapor deposition
KR20220086716A (ko) 웨이퍼 보우 (bow) 보상을 위한 배면 증착 및 국부 응력 조절
KR20150075362A (ko) 콘택트층의 형성 방법
WO2020068139A1 (en) Asymmetric wafer bow compensation
KR20220068180A (ko) 기판 처리 방법 및 기판 처리 시스템
KR101759769B1 (ko) Ti막의 성막 방법
CN112838004A (zh) 蚀刻方法以及蚀刻装置
JP6988629B2 (ja) 成膜方法及び成膜装置
US20230032481A1 (en) Station-to-station control of backside bow compensation deposition
US20210320004A1 (en) Nitride films with improved etch selectivity for 3d nand integration
WO2023220308A1 (en) Multi-path helical mixer for asymmetric wafer bow compensation
WO2024076478A1 (en) Showerhead gas inlet mixer
CN116897409A (zh) 用于处理基板的方法及设备

Legal Events

Date Code Title Description
A302 Request for accelerated examination
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
X091 Application refused [patent]
AMND Amendment
X601 Decision of rejection after re-examination