CN115867692A - 用于晶片弯曲补偿的背面沉积和局部应力调节 - Google Patents

用于晶片弯曲补偿的背面沉积和局部应力调节 Download PDF

Info

Publication number
CN115867692A
CN115867692A CN202180046530.8A CN202180046530A CN115867692A CN 115867692 A CN115867692 A CN 115867692A CN 202180046530 A CN202180046530 A CN 202180046530A CN 115867692 A CN115867692 A CN 115867692A
Authority
CN
China
Prior art keywords
gas
semiconductor substrate
showerhead
plenum volume
supply tube
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202180046530.8A
Other languages
English (en)
Inventor
黄彦辉
维尼什·钱德拉斯卡
施瑞拉姆·瓦桑特·巴帕特
阿德里亚娜·文蒂拉
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN115867692A publication Critical patent/CN115867692A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45597Reactive back side gas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/562Protection against mechanical damage
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • C23C16/45591Fixed means, e.g. wings, baffles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

沉积在弯曲半导体衬底背面上的弯曲补偿层可调节应力以减轻非对称弯曲现象。在一些实施方式中,可通过根据沿着弯曲半导体衬底的非线性质量流分布改变背面附近的前体浓度来形成弯曲补偿层。可以匹配或实质匹配拋物线或多项式函数的方式改变前体流。在一些实施方式中,喷头基座可改变沿着弯曲半导体衬底的前体流动,其中喷头基座被分成多个区域,用于将第一气体输送到气室容积的第一区域并且将第二气体输送到气室容积的第二区域。

Description

用于晶片弯曲补偿的背面沉积和局部应力调节
通过引用并入
PCT申请表与本说明书同时提交,作为本申请的一部分。本申请要求在同时提交的PCT申请表中确定的权益或优先权的每份申请均出于所有目的通过引用整体并入本文。
背景技术
半导体制造过程涉及许多沉积和蚀刻操作,这会极大地改变晶片弯曲度。例如,在3D-NAND制造中(由于在各种应用中成本较低并且可靠性较高,它逐渐取代2D-NAND芯片),具有厚的、高应力碳基硬掩模和/或金属化线的多层堆叠膜可能导致显著的晶片翘曲,其造成正面光刻覆盖不匹配,或甚至造成超出静电卡盘的夹持限度的晶片弯曲。
这里提供的背景技术是为了总体呈现本公开的背景的目的。当前指定的发明人的工作在其在此背景技术部分以及在提交申请时不能确定为现有技术的说明书的各方面中描述的范围内既不明确也不暗示地承认是针对本公开的现有技术。
发明内容
本文提供一种在半导体衬底上沉积弯曲补偿层的方法。该方法包括:提供具有一个或多个拉伸区域和一个或多个压缩区域的弯曲半导体衬底;在该弯曲半导体衬底的背面上沉积具有第一非线性厚度分布的压缩性膜;以及在沉积该压缩性膜之前或之后,在该弯曲半导体衬底的背面上沉积具有第二非线性厚度分布的拉伸性膜。该压缩性膜和该拉伸性膜一起形成一弯曲补偿层。
在一些实施方式中,该第一非线性厚度分布是第一拋物线形分布,并且该第二非线性厚度分布是第二拋物线形分布。在一些实施方式中,该第一拋物线形分布向上或向下开口,并且该第二拋物线形分布在与该第一拋物线形分布相反的方向上开口。在一些实施方式中,该弯曲补偿层是平坦或实质平坦的。在一些实施方式中,该第一和第二非线性厚度分布中的每个匹配或实质上匹配多项式函数。在一些实施方式中,在沉积该弯曲补偿层之前,该弯曲半导体衬底是鞍形的。在一些实施方式中,该弯曲半导体衬底非对称地弯曲,具有等于或大于+300μm或等于或小于-300μm的翘曲,并且在沉积该弯曲补偿层之后的该弯曲半导体衬底在-300μm至+300μm之间。在一些实施方式中,沉积该压缩性膜包括控制来自喷头基座的第一前体浓度以在整个该弯曲半导体衬底的背面上变化,其中沉积该拉伸性膜包括控制来自该喷头基座的第二前体浓度以在整个该弯曲半导体衬底的背面上变化。在一些实施方式中,该喷头基座包括在该喷头基座的气室容积中的第一供应管和第二供应管,其中在沉积该压缩性膜或该拉伸性膜期间,该第一供应管使第一气体流到该气室容积的第一区域,并且该第二供应管使第二气体流到该气室容积的第二区域。
本文还提供一种喷头。该喷头包括:面板,其包括多个气体分配孔,气体通过该多个气体分配孔流出该喷头;背板,其与该面板相对并且在它们之间限定气室容积;第一供应管,其在该气室容积中,该第一供应管具有将第一气体供应至该气室容积中的多个第一孔;第二供应管,其在该气室容积中,该第二供应管具有将第二气体供应至该气室容积中的多个第二孔;以及多个挡板,其在该气室容积中。该多个挡板被配置成在该气室容积中至少将该第一气体与该第二气体隔离开。
在一些实施方式中,该第一供应管沿该气室容积的参考平面与该第二供应管正交。在一些实施方式中,该多个挡板包括多个第一挡板和多个第二挡板,其中该多个第一挡板平行于该第一供应管并且在该第一供应管的相对侧,以将第一区域中的该第一气体与该气室容积的第二区域隔离开,并且其中该多个第二挡板包括至少两个挡板,其平行于该第一供应管并且在该第一供应管的相对侧上远离该多个第一挡板,其中该多个第二挡板被配置成将该第二区域中的该第二气体流分成多个区段。在一些实施方式中,该第一气体从该气室容积的该第一区域流出该面板,并且该第二气体从该气室容积的该第二区域流出该面板,其中该面板被配置成面向半导体衬底的背面。在一些实施方式中,在整个该第一供应管的该多个第一孔中的每个的直径是一致的,并且其中该第二区域中的该多个区段中的每个中的这些第二孔的直径是不一致的。在一些实施方式中,该多个挡板中的每个的高度跨越该背板和该面板之间的间隙距离。在一些实施方式中,该喷头还包括中央塞,其在该气室容积中并且与该第一供应管和该第二供应管中的每个流体连通,其中该中央塞将该第一气体流引导至该第一供应管并且将该第二气体流引导至该第二供应管。在一些实施方式中,该第一气体是前体气体,并且该第二气体是稀释气体。在一些实施方式中,该喷头还包括杆,其连接至该背板并且与该气室容积流体连通,其中该杆包括一个或多个气体输送管线,该一个或多个气体输送管线将该第一气体和该第二气体供应至该第一供应管和该第二供应管。
本文还提供一种喷头。该喷头包括:面板,其包括多个气体分配孔,气体通过该多个气体分配孔流出该喷头;背板,其与该面板相对并且在它们之间限定气室容积;一个或多个挡板,其在该气室容积中,该一个或多个挡板将该气室容积至少分成第一区域和第二区域;以及一个或多个气体入口,其耦接至该背板,该一个或多个气体入口将第一气体和第二气体输送到该气室容积中,其中该第一气体被配置为输送到该第一区域,并且该第二气体被配置为输送到该第二区域。
在一些实施方式中,该多个气体分配孔包括与该第一区域流体连通的第一孔和与该第二区域流体连通的第二孔,其中第一孔的密度与第二孔的密度不同。
附图说明
图1示出了弯曲半导体衬底的透视图,其示出了在x轴方向和y轴方向上的晶片弯曲。
图2A示出了示例性弯曲半导体衬底的示意图在x-y平面中的俯视图。
图2B示出了图2A的弯曲半导体衬底在y轴方向上的侧视图。
图2C示出了图2A的弯曲半导体衬底在x轴方向上的侧视图。
图3示出了根据一些实施方式的形成弯曲补偿层以减轻弯曲半导体衬底中的非对称弯曲的示例性方法的流程图。
图4A-4C示出了根据一些实施方式的形成弯曲补偿层以减轻弯曲半导体衬底中的非对称弯曲的各个阶段的横截面示意图。
图5示出了根据一些实施方式的图示(i)压缩性膜、(ii)拉伸性膜和(iii)结合压缩性膜和拉伸性膜的弯曲补偿层中的每个的厚度分布和应力分布的图表。
图6示出了根据一些实施方式的图示从喷头基座流到弯曲半导体衬底的背面的气体反应物的期望分布和模拟分布的图表。
图7A示出了根据一些实施方式的在至少两个区域中具有各种孔图案的示例性喷头基座的示意图的俯视图。
图7B示出了根据一些实施方式的在至少两个区域中具有不同孔密度的示例性喷头基座的示意图的侧视图。
图7C示出了根据一些实施方式的在至少两个区域之间具有死区的示例性喷头基座的示意图的侧视图。
图8A示出了根据一些实施方式的示例性凹形喷头基座以向弯曲的半导体衬底的背面提供变化的间隙距离的示意图的侧视图。
图8B示出了根据一些实施方式的示例性凸形喷头基座以向弯曲的半导体衬底的背面提供变化的间隙距离的示意图的侧视图。
图9A示出了根据一些实施方式的示例性喷头基座的示意图的侧视图,该示例性喷头基座具有分隔成用于输送反应物气体的第一区域和用于输送稀释气体的第二区域的气室容积。
图9B示出了在图9A的喷头基座中将第一区域与第二区域分隔开的示例性挡板的示意图的侧视图。
图10A示出了根据一些实施方式的示例性多区域喷头基座的各个部件的透视图,该示例性多区域喷头基座包括将第一气体输送到第一区域的第一供应管和将第二气体输送到第二区域的第二供应管。
图10B示出了图10A的多区域喷头基座的第一供应管和第二供应管的透视图。
图10C示出了顶部透视图,其示出了设置在图10A的多区域喷头基座的背板上的第一供应管、第二供应管和挡板。
图10D示出了图10A的多区域喷头基座的截面示意图。
图11示出了根据一些实施方式的图示区域的不同区段中的来自喷头基座的惰性气体流的图表。
图12示出了根据一些实施方式的图示针对前体气体流速与惰性气体流速的各种比率的来自喷头基座的前体质量流量分布的图表。
图13示出了根据一些实施方式的用于执行应力调节的操作的示例性处理工具的示意图。
具体实施方式
在本公开中,术语“半导体晶片”、“晶片”、“衬底”、“晶片衬底”和“部分制造的集成电路”可互换使用。本领域的普通技术人员应理解,术语“部分制造的集成电路”可以指在集成电路制造的许多阶段中的任一阶段期间的硅晶片。半导体器件行业中使用的晶片或衬底通常具有200mm、300mm或450mm的直径。下面的详细描述假定本公开在晶片上实现。然而,本公开不限于此。工件可以具有各种形状、尺寸和材料。
半导体制造处理涉及各种结构的形成,其中许多结构可以是二维的。随着半导体器件尺寸缩小和器件微缩成更小,整个半导体衬底上的特征部密度增加,导致材料层以各种方式经受蚀刻和沉积,包括在三维的情况下。例如,因为与其他技术(如2D-NAND)相比,3D-NAND有更低的成本和增加的存储密度,并且在各种应用中具有更高的可靠度,因此成为一种越来越受欢迎的技术。在3D-NAND结构的制造过程中,晶片弯曲会发生剧烈变化。例如,在制造3D-NAND结构时,沿晶片表面的厚硬掩模材料沉积和沟槽蚀刻可能导致晶片弯曲。由于膜层在制造过程中相互堆叠,更多的应力会引入半导体晶片,从而导致弯曲。可使用光学技术测量弯曲。可通过获取晶片图或应力图来测量或评估晶片弯曲。弯曲可使用本文所述的弯曲值或翘曲值来量化,其测量为半导体晶片的最低点与晶片上的最高点之间的垂直距离。翘曲值可沿着一个或多个轴—例如,非对称翘曲的晶片可具有x轴翘曲和/或y轴翘曲。
在弓形晶片中,最低点是晶片的中心,最高点是晶片的边缘。在圆顶形晶片中,最低点是晶片的边缘,最高点是晶片的中心。弓形和圆顶形晶片具有对称或基本对称的弯曲。晶片还可具有非对称弯曲。在非对称弯曲中,沿着x轴和y轴测量翘曲。非对称弯曲的晶片具有不同的x轴翘曲值和y轴翘曲值。在一些情况下,非对称弯曲的晶片具有负的x轴翘曲和正的y轴翘曲。在一些情况下,非对称弯曲的晶片具有正的x轴翘曲和负的y轴翘曲。在一些情况下,非对称弯曲的晶片同时具有正的x轴翘曲和正的y轴翘曲,但翘曲值是不同的。在一些情况下,非对称弯曲的晶片同时具有负的x轴翘曲和负的y轴翘曲,但翘曲值是不同的。非对称弯曲的晶片的一个示例是鞍形晶片。对于鞍形晶片,在一个示例中,x轴上的翘曲可以是+200μm,y轴上的翘曲可以是-200μm。鞍形晶片具有两个向上弯曲的晶片相对边缘,晶片的另外两个相对边缘向下弯曲。如本文所用,翘曲可以指晶片表现出的与平面度的任何偏差,其中弯曲晶片、圆顶形晶片和鞍形晶片是晶片中不同类型翘曲的示例。
弯曲会引起后续处理(例如在光刻期间)出现问题,因为如果半导体衬底是翘曲的,则蚀刻处理可能不均匀。高弯曲可能由沉积厚的、高应力的碳硬掩模层所引起。此外,由于多层堆叠膜和在这种制造处理中使用的厚的、高应力的碳基硬掩模的存在,蚀刻会导致一些非对称翘曲,而沉积处理会引入明显的晶片翘曲,其具有高达+500μm与-1300μm之间的变化的弯曲。例如,可灰化硬掩模可具有高达-1000MPa的应力值和具有高达-1000μm的弯曲值。在一些情况下,高深宽比狭缝蚀刻和金属填充(例如,钨填充)可能在半导体衬底上引起大的各向异性应力。
解决这种晶片翘曲可能具有挑战性,因为后续或下游的处理可能受到超过±200μm、超过±300μm或超过±500μm的晶片翘曲的影响。例如,机械晶片搬运可能会由于晶片翘曲受到影响,其中不平坦的晶片可能无法被晶片机器人或晶片搬运机构有效地夹持或保持。此外,晶片翘曲可能导致工艺不均匀,其中下游的蚀刻、沉积或清洁操作可能由于整个晶片表面上的处理不均匀而受到不利影响。在一些情况下,对高度翘曲的晶片进行处理可能会导致进一步翘曲。例如,由于晶片上的非对称应力,在一个方向上蚀刻沟槽会在非对称弯曲中引起翘曲。此外,光刻操作可能受到晶片翘曲的不利影响,因为无法形成精确的图案。当晶片用于涉及将晶片夹持在静电卡盘的后续处理时,高度翘曲的晶片可能无法在某些工具中进行处理。许多静电卡盘有“夹持限度”,其被定义为在晶片不能被有效夹持之前所容许的最大翘曲。例如,一些静电卡盘具有约±300μm的夹持限度。在这种情况下,可能不会处理超过夹持限度的翘曲晶片。
图1示出了弯曲的半导体衬底的透视图,其示出x轴方向和y轴方向上的晶片弯曲。弯曲半导体衬底在三维(3-D)坐标系中叠加,并且弯曲半导体衬底的参考平面由x轴方向和y轴方向定义,并且u轴表示翘曲。如图1所示,弯曲半导体衬底是非对称弯曲的,这意味着x轴翘曲和y轴翘曲的值是不同的。在x轴上的翘曲是+78.5μm,在y轴上的翘曲是-399.7μm。这会产生鞍形的弯曲。如上所述,翘曲是指半导体衬底所表现出的与平面度的任何偏差,其中鞍形晶片代表半导体衬底中的一翘曲示例。
随着3D-NAND技术持续扩展和高深宽比特征部变得越来越普遍,与半导体衬底上的局部应力和管芯间应力变化有关的新挑战正在出现。局部应力和管芯间应力变化可能导致块体弯曲(block-bending)、单元串扰(cell cross-talk)、单元损耗(cell loss)和/或单元错位(cell misalignments)。局部应力是指在晶片内以非均匀方式发生的应力变化。补偿/校正不佳的局部应力可能导致局部晶片拓扑变化,进而可能导致光刻期间的不良对准。这种不良对准通常从面内畸变(IPD)的角度来看待,面内畸变(IPD)是晶片上对准标记由于晶片拓扑而偏离其预期位置的矢量位移的量化。光刻期间的高IPD可能导致关键尺寸或在光刻步骤中定义的任何其他特征发生不良变化,因此上述块体弯曲(block-bending)、单元串扰(cell cross-talk)、单元损耗(cell loss)和/或单元错位(cellmisalignments)的现象可能会因光刻误差出现。
图2A-2C示出了可能导致非对称弯曲的局部应力变化的示例。图2A示出了示例性弯曲半导体衬底的示意图在x-y平面中的顶视图。半导体衬底200可包括沉积在半导体衬底200上的金属线201。图2B示出了图2A的弯曲半导体衬底在y轴方向上的侧视图。如图2B所示,从y轴的角度,半导体衬底200朝弯曲半导体衬底的中心向下弯曲。图2C示出了图2A的弯曲半导体衬底在x轴方向上的侧视图。如图2C所示,从x轴的角度,半导体衬底200朝弯曲半导体衬底的中心向上弯曲。
存在一些用于解决半导体衬底弯曲的技术。在一些情况下,可以使用技术在半导体衬底的背面沉积弯曲补偿层。在一些情况下,以弯曲补偿层进行背面沉积的应用在很大程度上仅限于单调全局晶片翘曲减缓。具体地,用于解决半导体衬底弯曲的技术可能限于轴对称或多轴对称的技术。或者,在一些情况下,以弯曲补偿层进行背面沉积的应用可使用掩模或前体分区技术解决非对称弯曲问题。可通过使用承载环掩模将前体材料输送到弯曲半导体衬底的某些区或区域来实现局部应力调节。可使用前体分区来实现局部应力调节,其中前体分区采用多个气室来控制气体向不同位置的输送。然而,由于高IPD重叠和与夹持半导体衬底相关的问题,这种技术受到限制或无效。高重叠误差和真空夹持的问题可能是多个区域之间膜应力的急剧转变以及设计将局部形貌变异最小化的区域布局的困难的结果。
本公开提供了一种用于通过背面沉积减轻弯曲半导体衬底中的非对称弯曲的方法。来自喷头基座中的前体控制可在沉积在弯曲半导体衬底的背面上的一个或多个膜中提供期望的厚度分布。一个或多个沉积膜构成弯曲补偿层。弯曲补偿层的应力分布可由多项式函数描述。结果,弯曲补偿层可以补偿或校正非对称弯曲半导体衬底中的局部应力。在一些实施方式中,弯曲补偿层可通过沉积不同厚度分布的多个膜的膜堆叠方法形成。在一些实施方式中,具有非线性厚度分布的压缩性膜沉积在弯曲半导体衬底的背面上。具有不同非线性厚度分布的拉伸性膜沉积在弯曲半导体衬底的背面上。沉积压缩性膜和拉伸性膜的顺序是可互换的。在一些实施方式中,压缩性膜具有第一拋物线形分布并且拉伸性膜具有第二拋物线形分布,该第二拋物线形分布在与第一拋物线形分布相反的方向上开口。压缩性膜与拉伸性膜共同形成弯曲补偿层。弯曲补偿层是平坦的或实质平坦的。这种背面沉积中的这种膜堆叠技术可在不影响夹持的情况下将IPD重叠影响最小化。
可通过在沉积期间控制弯曲半导体衬底附近的前体浓度来实现弯曲补偿层中的一个或多个膜的厚度调整。在本公开中,可通过喷头基座中的设计特征来控制弯曲半导体衬底附近的前体浓度。这样的设计特征可影响来自喷头基座的前体的流动动力学。在一些实施方式中,喷头基座可被分成多个区域。例如,可在第一区域中输送前体气体,并且可在第二区域中输送稀释气体。这调节了弯曲半导体衬底附近的前体气体的浓度。在一些实施方式中,可通过第一供应管输送前体气体,并且可通过第二供应管输送稀释气体。附加地或替代地,喷头基座的面板在喷头基座的多个区域这之间可具有不同的孔图案(例如,孔密度)。附加地或替代地,面板的几何分布可以被设计为具有从喷头基座到弯曲半导体衬底的变化的间隙距离。变化的间隙距离沿着喷头基座的x轴或y轴方向进行。
图3示出了根据一些实施方式的形成弯曲补偿层以减轻弯曲半导体衬底中的非对称弯曲的示例性方法的流程图。过程300的操作可以不同的顺序和/或以不同的、更少的或额外的操作来执行。可根据图4A-4C中形成弯曲补偿层的各个阶段来描述过程300的操作。可使用图7A-7C、8A-8B、9A-9B或10A-10D中的用于膜沉积的设备来执行过程300的操作。在一些实施方式中,可至少部分地根据存储在一个或多个非暂时性计算机可读介质体中的软件来实施过程300的操作。
在过程300的框310,提供具有一个或多个拉伸区域和一个或多个压缩区域的弯曲半导体衬底。弯曲半导体衬底是指具有偏离平坦参考平面的表面的任何半导体衬底。特别地,弯曲半导体衬底具有超过±300μm的翘曲。弯曲半导体衬底可被设置在用于执行背面沉积的处理室中。弯曲半导体衬底可以是非对称弯曲的。在一些实施方式中,弯曲半导体衬底是鞍形的。
衬底可以是硅晶片,例如200-mm晶片、300-mm晶片或450-mm晶片,包括具有一层或多层材料(例如沉积在衬底正面上的电介质材料、导电材料或半导体材料)的晶片。一个或多个膜层中的一些膜层可以被图案化。膜层的非限制性示例包括电介质层和导电层,例如硅氧化物、硅氮化物、硅碳化物、金属氧化物、金属氮化物、金属碳化物和金属层。在各种实施方式中,衬底被图案化。
在一些实施方式中,弯曲半导体衬底包括经图案化的3D-NAND结构和衬底中的一个或多个蚀刻沟槽。
弯曲半导体衬底可以具有约±1000μm的翘曲。在一些实施方式中,弯曲半导体衬底具有大于约±300μm的翘曲。在一些实施方式中,弯曲半导体衬底具有大于约±300μm并且小于约±1000μm的翘曲。翘曲可能发生在弯曲半导体衬底的一个或多个局部区域处。翘曲在x轴翘曲和y轴翘曲之间可能具有不同的值。翘曲可能是半导体衬底中的各向异性应力分布的结果。
如本文所用,拉伸区域产生局部拉伸应力,其引起具有正值的翘曲。拉伸区域导致半导体衬底的局部凹形弯曲。如本文所用,压缩区域产生局部压缩应力,其引起具有负值的翘曲。压缩区域导致半导体衬底的局部凸形弯曲。一个或多个拉伸区域和一个或多个压缩区域可归因于衬底上的一层或多层材料。
在一些实施方式中,弯曲半导体衬底的中心具有压缩应力,并且弯曲半导体衬底的至少两个相对边缘具有拉伸应力。在一些实施方式中,弯曲半导体衬底的中心具有拉伸应力,并且弓形半导体衬底的至少两个相对边缘具有压缩应力。弯曲半导体衬底的x轴方向上的应力分布可通过拋物线或其他非线性函数来描述。弯曲半导体衬底在y轴方向上的应力分布可通过拋物线或其他非线性函数来描述。具体地,x轴方向上的应力分布可通过多项式函数来描述,并且y轴方向上的应力分布可通过多项式函数来描述。
在一些实施方式中,在用于执行沉积操作的处理室中提供弯曲半导体衬底。用于执行沉积操作的处理室可配置用于背面或正面沉积。在一些实施方式中,处理室被配置用于背面沉积。在一些实施方式中,通过将处理气体从处理室的底部喷头(其底部喷头可称为基座的喷头、喷头基座或“shoped”)输送到弯曲半导体衬底的背面,可实现背面沉积。在一些实施方式中,弯曲半导体衬底的背面未被图案化。本文中一般描述的喷头是指用于将气体输送到弯曲半导体衬底的背面的底部喷头或喷头基座。
图4A示出了弯曲半导体衬底的横截面示意图。尽管未明显示出,但半导体衬底400是弯曲的。半导体衬底400的正面可以被图案化以具有导致半导体衬底400中的各向异性应力分布的结构(例如纳米结构)。各向异性应力分布可以多项式函数为特征,例如在x轴和y轴方向的其中一者或两者上的拋物线函数,其中x轴和y轴限定半导体衬底400的参考平面。半导体衬底400可以是非对称弯曲的。例如,半导体衬底400可以是鞍形的。半导体衬底400可在x轴和y轴方向的其中一者或两者上具有等于或大于约+300μm或等于或小于约-300μm的翘曲。可在用于沉积的处理室(例如用于背面沉积的处理室)中提供半导体衬底400。
回到图3,在过程300的框320,在弯曲半导体衬底的背面上沉积具有第一非线性厚度分布的压缩性膜。压缩性膜是指具有固有压缩应力的膜。压缩性膜可具有诸如高达-4000MPa的负应力值的固有压缩应力。沿膜的轴向(例如,x轴或y轴方向)呈现厚度分布。非线性厚度分布的特征在于膜沿轴向的任何线性度偏差。非线性厚度分布可以拋物线函数或其他多项式函数为特征。例如,非线性厚度分布可以是向上或向下开口的第一拋物线形分布。在第一拋物线形分布向上开口的情况下,压缩性膜在弯曲半导体衬底的边缘处较厚并且在弯曲半导体衬底的中心处逐渐变细。在第一拋物线形分布向下开口的情况下,压缩性膜在弯曲半导体衬底的中心处较厚并且在边缘处逐渐变薄。取决于弯曲半导体衬底中的翘曲,压缩性膜可在x轴和y轴方向的其中一者或两者上具有非线性厚度分布。
通过控制来自喷头基座的前体浓度,可进行根据非线性厚度分布沉积压缩性膜的操作。可控制前体浓度以在整个半导体衬底的背面上变化。具体地,可通过在沉积期间控制弯曲半导体衬底的背面附近的前体浓度来实现厚度控制。在一个或多个压缩区域中流动较多用于沉积压缩性膜的前体。在一个或多个拉伸区域中流动较少或没有流动用于沉积压缩性膜的前体。可以通过影响来自喷头基座的流动动力学,来控制前体浓度以在整个半导体衬底的背面上变化。前体浓度可沿着弯曲半导体衬底的x轴和y轴方向的其中一者或两者变化。
在一些实施方式中,压缩性膜可以是压缩性硅氧化物、压缩性硅氮化物、压缩性硅或压缩性碳膜。在一些实施方式中,压缩性膜是压缩性硅氧化物或压缩性硅氮化物膜。前体和工艺条件的选择可用于适应压缩性膜的应力。在一些实施方式中,使用任何合适的沉积技术(例如等离子体增强化学气相沉积(PECVD)、化学气相沉积(CVD)、等离子体增强原子层沉积(PEALD)或原子层沉积(ALD))以在弯曲半导体衬底的背面上沉积压缩性膜。例如,使用PECVD沉积压缩性膜。
“硅氧化物”在本文中指的是包括含有硅和氧原子的化合物、包括SixOy的任何和所有化学计量可能性、包括x和y的整数值以及x和y的非整数值。“硅氮化物”在本文中指的是包括SixNy的任何和所有化学计量可能性、包括x和y的整数值以及x和y的非整数值;例如,X:Y的比率可以是3:4。
在一些实施方式中,可使用含硅前体和含氧反应物的混合物以沉积压缩性硅氧化物膜。含硅前体的示例包括但不限于硅烷和四乙基正硅酸盐(TEOS)。含氧反应物的示例包括但不限于氧和一氧化二氮。在PECVD中,含硅前体可与暴露于等离子体的含氧反应物反应以形成压缩性硅氧化物膜。可存在诸如氦的惰性气体。
在一些实施方式中,可使用含硅前体和含氮反应物的混合物来沉积压缩性硅氮化物膜。含硅前体的示例包括但不限于硅烷和TEOS。含氮反应物的示例包括但不限于氮和氨。在PECVD中,含硅前体可与暴露于等离子体的含氮反应物反应以形成压缩性硅氮化物膜。可存在诸如氦的惰性气体。
含硅前体和反应物的选择以及等离子体类型(双频或单频)和工艺条件可能影响所沉积的膜的应力。在一些实施方式中,含硅前体相对于在沉积期间所流动的其他气体的流速可调整应力。例如,在压缩性硅氮化物的沉积中,硅烷流量的增加可使应力减小,从使得压缩性硅氮化物膜的压缩性减低。也就是说,在一些实施方式中,硅烷流量的增加导致沉积膜的压缩性减低。在一些实施方式中,可调整衬底温度以调节压缩性膜中的应力。例如,可使用较高的温度来实现较高的应力或增加所沉积的膜的稳定性。在一些实施方式中,用于弯曲半导体衬底背面上的沉积的衬底温度等于或大于约250℃或在约300℃至约550℃之间。
压缩性膜用于补偿弯曲半导体衬底的一个或多个压缩区域。在一些实施方式中,压缩性膜的平均厚度在约20nm与约2000nm之间或在约30nm与约1500nm之间。压缩性膜的厚度可影响压缩性膜的晶片弯曲以补偿弯曲半导体衬底中的非对称弯曲。因此,压缩性膜中的非线性厚度分布实现期望的晶片弯曲,其补偿弯曲半导体衬底的一个或多个压缩区域。换句话说,压缩性膜的具有较大厚度的部分可引起较大的晶片弯曲,压缩性膜的具有较小厚度的部分可引起较小的晶片弯曲。
图4B-1示出了具有拋物线厚度分布的压缩性膜的横截面示意图,该压缩性膜沉积在弯曲半导体衬底的背面上。压缩性膜410可通过PECVD沉积。压缩性膜410可以是压缩性硅氧化物、压缩性硅氮化物、压缩性硅或压缩性碳膜。压缩性膜410在弯曲半导体衬底400的中心处可比在弯曲半导体衬底400的相对边缘处更厚。虽然图4B-1中的压缩性膜410被示出为具有拋物线厚度分布,但厚度分布可匹配或实质上匹配多项式函数,例如二阶或三阶多项式函数。压缩性膜410的拋物线厚度分布向下开口。压缩性膜410的拋物线厚度分布沿x轴或y轴方向描绘。
返回到图3,在过程300的框330,在沉积压缩性膜之前或之后,在弯曲半导体衬底的背面上沉积具有第二非线性厚度分布的拉伸性膜。压缩性膜和拉伸性膜一起形成用于减轻弯曲半导体衬底中的弯曲的弯曲补偿层。拉伸性膜是指具有固有张应力的膜。拉伸性膜可具有固有张应力,例如高达+4000MPa的正应力值。非线性厚度分布可以以拋物线函数或其他多项式函数为特征。取决于弯曲半导体衬底中的翘曲,拉伸性膜可在x轴和y轴方向的其中一者或两者上具有非线性厚度分布。在一些实施方式中,非线性厚度分布可以是向下或向上开口的第二拋物线形分布。在一些实施方式中,第二拋物线形分布在与第一拋物线形分布相反的方向上开口。因此,弯曲补偿层是平坦的或实质平坦的。弯曲补偿层是指沉积在半导体衬底的背面上的一个或多个膜,以校正或补偿半导体衬底中的晶片弯曲。如本文所用,本公开中各处的术语“实质上平坦”是指在x轴或y轴方向上晶片弯曲或与平坦参考平面的偏差小于100μm。具有平坦或实质平坦的弯曲补偿层可降低IPD,其中低IPD使得重叠影响降低,确保适当的晶片夹持,并且避免散焦。
通过控制来自喷头基座的前体浓度,可进行根据非线性厚度分布沉积拉伸性膜。可控制前体浓度以在整个半导体衬底的背面上变化。特别地,可通过在沉积期间控制弯曲半导体衬底的背面附近的前体浓度来实现厚度控制。在一个或多个拉伸区域中较多流动用于沉积拉伸性膜的前体。在一个或多个压缩区域中较少流动或没有流动用于沉积拉伸性膜的前体。可通过影响来自喷头基座的流动动力学,来控制前体浓度以在整个弯曲半导体衬底的背面上变化。前体浓度可沿着弯曲半导体衬底的x轴和y轴方向的其中一者或两者变化。
在一些实施方式中,拉伸性膜可以是拉伸性硅氧化物、拉伸性硅氮化物、拉伸性硅或拉伸性碳膜。在一些实施方式中,拉伸性膜是拉伸性硅氧化物或拉伸性硅氮化物膜。前体和工艺条件的选择可用于调整拉伸性膜的应力。在一些实施方式中,使用任何合适的沉积技术(例如PECVD、CVD、PEALD或ALD)将拉伸性膜沉积在弯曲半导体衬底的背面上。例如,使用PECVD沉积拉伸性膜。
在一些实施方式中,可使用含硅前体和含氧反应物的混合物以沉积拉伸性硅氧化物膜。在PECVD中,含硅前体可与暴露于等离子体的含氧反应物进行反应以形成拉伸性硅氧化物膜。可存在诸如氦的惰性气体。
在一些实施方式中,可使用含硅前体和含氮反应物的混合物以沉积拉伸性硅氮化物膜。在PECVD中,含硅前体可与暴露于等离子体的含氮反应物进行反应以形成拉伸性硅氮化物膜。可存在诸如氦的惰性气体。
含硅前体和反应物的选择以及等离子体类型(双频或单频)和工艺条件可影响所沉积的膜的应力。在一些实施方式中,含硅前体相对于在沉积期间所流动的其他气体的流速可调整应力。在一些实施方式中,可调整衬底温度以调节拉伸性膜中的应力。例如,可使用较高的温度来实现较高的应力或增加所沉积的膜的稳定性。在一些实施方式中,用于弯曲半导体衬底背面上的沉积的衬底温度等于或大于约250℃或在约300℃与约550℃之间。
拉伸性膜用于补偿弯曲半导体衬底的一个或多个拉伸区域。在一些实施方式中,拉伸性膜的平均厚度在约20nm与约2000nm之间或在约30nm与约1500nm之间。拉伸性膜的厚度可影响拉伸性膜的晶片弯曲,以补偿弯曲半导体衬底中的非对称弯曲。因此,拉伸性膜中的非线性厚度分布实现期望的晶片弯曲,其补偿弯曲半导体衬底的一个或多个拉伸区域。换句话说,拉伸性膜的具有较大厚度的部分可引起更多的晶片弯曲,拉伸性膜的具有较小厚度的部分可引起较小的晶片弯曲。
图4B-2示出了具有拋物线厚度分布的拉伸性膜的横截面示意图,该拉伸性膜沉积在弯曲半导体衬底的背面上。可通过PECVD以沉积拉伸性膜420。拉伸性膜420可以是拉伸性硅氧化物、拉伸性硅氮化物、拉伸性硅或拉伸性碳膜。拉伸性膜420在弯曲半导体衬底400的相对边缘处可比在弯曲半导体衬底400的中心处更厚。虽然图4B-2中的拉伸性膜420示出为具有拋物线厚度分布,但厚度分布可匹配或实质上匹配多项式函数,例如二阶或三阶多项式函数。拉伸性膜420的拋物线厚度分布向上开口。拉伸性膜420的拋物线厚度分布沿x轴或y轴方向描绘。
返回到图3,用于沉积压缩性膜和拉伸性膜的框320和框330可互换地进行。在一些实施方式中,可首先沉积压缩性膜,然后沉积拉伸性膜。在一些其他实施方式中,可首先沉积拉伸性膜,然后沉积压缩性膜。将压缩性膜和拉伸性膜堆叠以获得平坦或实质平坦的表面。这种平坦可能是由于具有第一非线性厚度分布的压缩性膜与具有第二非线性厚度分布的拉伸性膜不同。
弯曲补偿层通过堆叠多个膜(即,压缩性膜和拉伸性膜)形成,其中该弯曲补偿层具有非线性应力分布。弯曲补偿层的非线性应力分布主要可由多项式函数(例如拋物线函数)表征。在一些实施方式中,可将额外的膜或膜层堆叠在压缩性膜和拉伸性膜上,以在弯曲补偿层中实现期望的应力分布。在一些实施方式中,将弯曲补偿层去除。例如,在进一步的下游处理操作中将弯曲补偿层去除。
图4C示出了形成于弯曲半导体衬底背面上的弯曲补偿层的横截面示意图。弯曲补偿层430包括相互堆叠的压缩性膜410和拉伸性膜420。通过堆叠压缩性膜410和拉伸性膜420,弯曲补偿层430获得平坦或实质平坦的表面。由于压缩性膜410和拉伸性膜420在相反方向上开口,因此压缩性膜410和拉伸性膜420的厚度分布的组合形成平坦或实质平坦的分布。弯曲补偿层430的不同区域具有不同的应力值以局部地调节应力。弯曲补偿层430中的应力变化可由多项式函数(例如拋物线函数)表征。因此,弯曲补偿层430用于减轻弯曲半导体衬底400中的非对称弯曲。在沉积弯曲补偿层430之前,弯曲半导体衬底400在x轴和y轴方向的其中一者或两者上可具有等于或大于约+300μm或等于或小于约-300μm的翘曲。在沉积弯曲补偿层430之后,弯曲半导体衬底400在x轴和y轴方向上可具有在约-300μm与约+300μm之间的翘曲。在一些实施方式中,在沉积弯曲补偿层430之后,弯曲半导体衬底400在x轴和y轴方向上可具有在约-100μm与约+100μm之间的翘曲。
图5示出了根据一些实施方式的图示(i)压缩性膜、(ii)拉伸性膜和(iii)结合压缩性膜与拉伸性膜的弯曲补偿层中的每个的厚度分布和应力分布。该图的上部测量随着沿弯曲半导体衬底的x轴方向的位置变化的厚度分布。该图表的下部测量随着沿弯曲半导体衬底的x轴方向的位置变化的应力分布。应力分布中的数值以应力乘以膜厚度的乘积值计算。应力乘以膜厚度的乘积值与晶片弯曲相关联。
如图5所示,高压缩性膜的第一厚度分布510被描绘为拋物线形曲线。厚度作为多项式函数变化,其中第一厚度分布510中的厚度朝弯曲半导体衬底的中心拋物线地增加,并且朝弯曲半导体衬底的边缘拋物线地减小。高拉伸性膜的第二厚度分布520被描绘为拋物线形曲线。厚度作为多项式函数变化,其中第二厚度分布520中的厚度朝弯曲半导体衬底的边缘拋物线地增加,并且朝弯曲半导体衬底的中心拋物线地减小。当将高压缩性膜和高拉伸性膜结合以形成第三厚度分布530时,所得的第三厚度分布530被描绘为平坦或均匀的线。第三厚度分布530中的厚度在弯曲半导体衬底的x轴维度上是均匀的。
如图5所示,高压缩性膜的第一应力分布515被描绘为拋物线形曲线。随着厚度朝弯曲半导体衬底的中心拋物线地增加,应力拋物线地变得负值更大。随着厚度在弯曲半导体衬底的边缘处拋物线地减小,应力拋物线地变得负值更小,并且最终达到零。高拉伸性膜的第二应力分布525被描绘为拋物线形曲线。随着厚度朝弯曲半导体衬底的边缘拋物线地增加,应力拋物线地增加。随着厚度朝弯曲半导体衬底的中心拋物线地减小,应力拋物线地减小,并且最终达到零。在测量高压缩性膜和高拉伸性膜两者的总应力时计算第三应力分布535。朝向弯曲半导体衬底的边缘,应力拋物线地增加。朝向弯曲半导体衬底的中心,应力拋物线地变得负值更大。
通过控制邻近弯曲半导体衬底输送的前体气体的浓度,调节压缩性或拉伸性膜的厚度分布。通过改变从喷头基座沿x轴和y轴方向中的一个或两个方向流动的前体气体的量来控制前体气体浓度。喷头基座的硬件部件可被设计成改变来自喷头基座的前体气体分布。
本公开涉及用于调节半导体衬底的背面附近的前体气体分布的喷头基座。半导体衬底附近的前体气体分布可匹配或实质上匹配由多项式函数描述的期望厚度分布。多项式函数可以是二阶或高阶多项式函数。图7A-7C、8A-8B、9A-9B和10A-10D中示出了用于控制前体气体分布的各种喷头基座设计。在一些实施方式中,通过喷头基座的气室容积中的挡板,可将喷头前体分成多个区域,其中前体气体在至少第一区域中流动,并且稀释气体在至少第二区域中流动。
图6示出了示出根据一些实施方式的从喷头基座流到弯曲半导体衬底的背面的气体反应物的期望分布和模拟分布的图表。来自喷头基座的气体反应物的质量流量可作为喷头基座上沿轴向(x轴或y轴方向)的位置的函数来测量。期望分布遵循拋物线曲线,其中最大质量流量在喷头基座的中心(0mm),并且在喷头基座的边缘(140mm)的质量流量是零。模拟f分布可能不会完全匹配期望分布,但可实质上匹配期望分布。基于将观察到的曲线拟合为多项式函数并从拟合结果中获取残差以判定是否良好匹配,观察到的或模拟的曲线“实质上匹配”拋物线或多项式曲线。如本文所用,当残差的R平方(R2)测量值等于或大于约0.95时,则观察到的曲线可被视为“实质上匹配”多项式函数。本公开的喷头基座可实现实质上匹配拋物线或多项式函数的气体反应物质量流量分布。
喷头或喷头基座用于将处理气体分配至处理室中的半导体衬底。喷头包括背板和面板,其中面板具有通向喷头外部的多个气体分配孔。一般而言,面板是(多个)材料的块体,其限定喷头的外主体,该外主体面向处理室的内部。气体分配孔是指允许气体从喷头或喷头基座输送到半导体衬底的开口。背板是(多个)材料的块体,其限定喷头的外主体,该外主体背对处理室的内部。背板和面板中的每个可以是圆柱形或盘形。背板和面板可相互连接或可拆卸式地相互附接。背板和面板可包围喷头中的容积,其被称为气室容积。气室容积是位于背板与面板之间并且由其限定的空间。可将一个或多个气体入口耦接至背板以将处理气体输送到气室容积中。在一些情况下,一个或多个气体入口包括连接至背板的杆。气室容积中的处理气体通过从多个气体分配孔流出离开喷头。如本文所述的喷头的基本结构可应用于图7A-7C、8A-8B、9A-9B和10A-10D中所描述的喷头基座中的每个。喷头基座被配置成将处理气体输送到半导体衬底背面的喷头。
在一些实施方式中,本公开的喷头基座可通过被分成至少两个区域来改变前体气体分布。在一些实施方式中,该至少两个区域中的每个可具有不同的孔图案。各个区域可由下列一项或多项来表征:不同数量或不同密度的孔、不同直径的孔、不同几何形状的孔、以及不同排列方式或不同布局的孔。这种喷头基座的示例在图7A-7C中示意性地示出。
图7A示出了根据一些实施方式的在至少两个区域中具有各种孔图案的示例性喷头基座的示意图的俯视图。喷头基座的面板700被分为第一区域710和第二区域720。第一区域710延伸穿过面板700的中心并且包含根据第一图案排列的多个第一孔715。第二区域720覆盖面板700在第一区域710右侧和左侧的两个相对边缘,其中第二区域720包含根据第二图案排列的多个第二孔725。例如,第一区域710中的多个第一孔715可具有与第二区域720中的多个第二孔725不同的密度。
在一些实施方式中,分成至少两个区域的图7A的喷头基座分配前体气体,其在半导体衬底附近的浓度上有所变化。在一些情况下,分成至少两个区域的喷头基座可以匹配或实质匹配多项式函数(例如,拋物线函数)的气流分布在半导体衬底附近分配前体气体。第一气体(例如用于沉积高压缩性膜的反应物气体)可配置成从第一区域710中的多个第一孔715流出,非从第二区域720中的多个第二孔725流出。第二气体(例如用于沉积高拉伸性膜的反应物气体)可配置成从第二区域720中的多个第二孔725流出,非从第一区域710中的多个第一孔715流出。这样,高压缩性膜的厚度分布在中心处大于两个相对边缘处,并且高拉伸性膜的厚度分布在两个相对边缘处大于中心处。可以理解,用于沉积高压缩性膜的反应物气体可以交换以从第二区域720中的多个第二孔725流出,并且用于沉积高拉伸性膜的反应物气体可以交换以从第一区域710中的多个第一孔715流出。
图7B示出了根据一些实施方式的在至少两个区域中具有不同孔密度的示例性喷头基座的示意图的侧视图。第一区域710与第二区域720之间的不同孔密度可调节来自这些区域中的每个的气体的质量流量。在一些实施方式中,可通过调节面板中的离散孔的孔密度来获取在各个区域中的流动限制。在一些其他实施方式中,可通过调节多孔材料的孔隙度来获取在各个区域中的流动限制。
图7C示出了根据一些实施方式的在至少两个区域之间具有死区的示例性喷头基座的示意图的侧视图。稀释气体可与用于沉积压缩性或拉伸性膜的反应气体同时流动。可使反应物气体流过第一区域710,并且可使稀释气体流过第二区域720,反之亦然。稀释气体降低(也就是说,稀释)半导体衬底附近的反应物气体的浓度。具体地,半导体衬底边缘处的稀释气体越多使得半导体衬底边缘处的反应物气体浓度越低,或者半导体衬底中心处的稀释气体越多使得半导体衬底中心处的反应物气体浓度越低。在图7C中,死区730将第一区域710与第二区域720分开并且物理分隔。这在气体被输送出喷头基座之前限制稀释气体与反应物气体在喷头基座中的混合。
在一些实施方式中,本公开的喷头基座具有凹形、凸形或其他非均匀的形状。这样的形状在喷头基座与半导体衬底之间提供变化的间隙距离(当从喷头基座的外表面测量)。喷头基座的凹形、凸形或其他非均匀的形状可由面板和/或气室容积的形状所限定。较大的间距通常会降低沉积速率,较小的间距通常会增加沉积速率。不受任何理论的限制,较大的间距通常使得等离子体密度降低,较小的间距通常使得等离子体密度增加。通过改变整个半导体衬底的不同点处的间隙距离,在整个半导体衬底上调节PECVD工艺中的沉积均匀性。在图8A-8B中示意性地示出了这些喷头基座的示例。
图8A示出了根据一些实施方式的提供距弯曲半导体衬底背面的变化间隙距离的示例性凹形喷头基座的示意图的侧视图。喷头基座的面板810可以是凹形的,使得间隙距离朝着半导体衬底800的中心拋物线地增加,并且朝着半导体衬底800的相对边缘减小。举例来说,从喷头基座的面板810到半导体衬底800的中心的间隙距离可以是大约14mm,并且从喷头基座的面板810到半导体衬底800的相对边缘的间隙距离可以是大约2mm。因此,在PECVD工艺期间,沉积膜的厚度分布可实质上是拋物线,使得在半导体衬底800的相对边缘处沉积的膜比在半导体衬底800的中心处更多。
图8B示出了根据一些实施方式的提供距弯曲半导体衬底背面的变化间隙距离的示例性凸形喷头基座的示意图的侧视图。喷头基座的面板820可以是凸形的,使得间隙距离朝着半导体衬底800的中心拋物线地减小,并且朝着半导体衬底800的相对边缘增加。应理解,面板820和气室容积830的其中一个或两个可以是凸形的。举例来说,从喷头基座的面板820到半导体衬底800的相对边缘的间隙距离可以是大约14mm,并且从喷头基座的面板820到半导体衬底800的中心的间隙距离可以是大约2mm。因此,在PECVD工艺期间,沉积膜的厚度分布可实质上是拋物线,使得在半导体衬底800的中心处沉积的膜比在半导体衬底800的相对边缘处更多。
在一些实施方式中,本公开的喷头基座被分成至少两个区域。通过在这些区域的其中至少一个中使稀释气体流动,喷头基座调节在整个半导体衬底背面上输送的前体气体的浓度。在半导体衬底附近的某些区域或区域中流动稀释气体将会稀释或者以其他方式限制半导体衬底附近的区域中的前体气体的浓度。稀释气体的示例包括氮气(N2)或惰性气体种类如氦(He)、氩(Ar)、氖(Ne)或氙(Xe)。在一些实施方式中,可使稀释气体流动以与气室容积中的前体气体混合。在一些实施方式中,可使稀释气体流动以在邻近半导体衬底的环境中与前体气体混合,非在气室容积中混合。与稀释气体混合的操作可提供匹配或实质上匹配拋物线或其他多项式函数的前体气流分布。这种喷头基座的示例在图9A-9B和10A-10D中示意性地说明。本公开的喷头基座可被集成在用于执行背面沉积操作的处理室或工具中。处理室或工具可以包括系统控制器,用于与喷头基座通信指令以执行背面沉积操作。参考图13描述了关于系统控制器的细节。
图9A示出了根据一些实施方式的示例性喷头基座的示意性侧视图,该喷头基座具有分隔成用于输送反应物气体的第一区域和用于输送稀释气体的第二区域的气室容积。喷头基座900包括具有多个气体分配孔922的面板920。喷头基座900还包含与面板920相对的背板910。气室容积930被定义为背板910与面板920之间的空间。面板920被配置成面向弯曲半导体衬底的背面。一个或多个气体入口(未示出)耦接至背板910以将第一气体902和第二气体904输送到气室容积930中。一个或多个挡板924定位在气室容积930中以将气室容积930分成多个区域932、934。如本文所用,挡板是指位于喷头的气室容积内以阻挡、限制或重定向气室容积中的气流的(多个)材料块体。在图9A中,一个或多个挡板924将气室容积930分隔成跨越喷头基座900的中心区域的第一区域932和跨越喷头基座900的边缘区域的第二区域934。喷头基座900的边缘区域可以包括喷头基座900的至少两个相对边缘。一个或多个挡板924中的每个的高度可延伸背板910与面板920之间的间隙距离。这限制了第一区域932与第二区域934之间的气体流。在图9A的喷头基座900中,第一气体902流入气室容积930的第一区域932中,第二气体904流入气室容积930的第二区域934中。在一些实施方式中,中央挡板926定位在气室容积930中以使第一气体902的流动分散。这样,第一气体902的流动更均匀地分布在气室容积930的第一区域932中,并且不会从气室容积930的中心喷射出。
图9B示出了在图9A的喷头基座中将第一区域与第二区域分隔开的示例性挡板的示意图的侧视图。虽然使用一个或多个挡板924来分隔喷头基座900中的区域932、934,但一个或多个挡板924中的每个可具有孔929以允许第一气体902与第二气体904在气室容积930中的混合。孔929可根据任何合适的配置、数量和几何形状沿着一个或多个挡板924设置。孔929允许喷头基座900中的区域932、934之间的流体连通。如本文所用,流体连通是指允许区域或部件之间的流体流动的情况。
在一些实施方式中,第一气体902是前体气体并且第二气体904是稀释气体。示例性前体气体包括用于沉积压缩性或拉伸性膜的含硅气体、含氧气体和含氮气体。示例性稀释气体包括氮气和惰性气体。通过使稀释气体从气室容积930的边缘流动,前体气体的质量流量在气室容积930的中心附近最大,并且朝着气室容积930的边缘逐渐减小。离开喷头基座900的前体气体的质量流量可匹配或实质上匹配拋物线函数或其他多项式函数。因此,压缩性或拉伸性膜的厚度分布可匹配或实质上匹配拋物线函数或其他多项式函数。
在一些实施方式中,第一气体902是稀释气体并且第二气体904是前体气体。通过使稀释气体从气室容积930的中心流动,前体气体的质量流量在气室容积930的边缘处最大,并且朝着气室容积的中心逐渐减小。离开喷头基座900的前体气体的质量流量可匹配或实质上匹配拋物线函数或其他多项式函数。因此,压缩性或拉伸性膜的厚度分布可匹配或实质上匹配拋物线函数或其他多项式函数。
在一些其他实施方式中,气室容积930中的一个或多个挡板924可不具有孔以防止第一气体902与第二气体904之间的混合。第一气体902和第二气体904在从面板920的多个气体分配孔922流出之后混合。附加地或替代地,喷头基座900可不具有中央挡板926。在图10A-10D中示意性地示出示例性喷头基座,其在一个或多个挡板924中不具有孔以防止混合并且不具有中央挡板926。
图10A示出了根据一些实施方式的示例性多区域喷头基座的各个部件的透视图,该多区域喷头基座包括将第一气体输送到第一区域的第一供应管和将第二气体输送到第二区域的第二供应管。如本文所用,供应管是在气室容积内纵向延伸以将气体输送到气室容积中的任何中空构件。为了说明起见,多区域喷头基座1000的部件在组装之前呈现为分立部件。多区域喷头基座1000包括面板1020,其具有多个气体分配孔1022。面板1020被配置成面向弯曲半导体衬底的背面。多区域喷头基座1000还包含与面板1020相对的背板1010。虽然在图10A中未明显示出,但气室容积1030被定义为当组装多区域喷头基座1000时背板1010与面板1020之间的空间。多区域喷头基座1000可还包含连接至背板1010的杆1070,其中杆1070包括气体输送管线,用以输送一个或多个处理气体通过背板1010。
在一些实施方式中,多区域喷头基座1000可以可选性地包括一个或多个加热器1080,用以加热多区域喷头基座1000。一个或多个加热器1080可耦接至背板1010。在一些实施方式中,一个或多个加热器1080可以定位成在背板1010的不同区域提供局部加热。
多区域喷头基座1000可还包含气室容积1030中的第一供应管1040和气室容积1030中的第二供应管1050。在一些实施方式中,多区域喷头基座1000还包含中央塞1060,其位于气室容积1030中并且与第一供应管1040和第二供应管1050中的每个流体连通。如本文所用,流体连通是指允许在区域或部件之间的流体流动的情况。处理气体可通过杆1070的气体输送管线输送,并且通过中央塞1060分配至第一供应管1040和第二供应管1050中。中央塞1060起到分流器的作用,使得第一气体被分配至第一供应管1040并且第二气体被分配至第二供应管1050。中央塞1060还用于将第一供应管1040和第二供应管1050中的每个分成两个区段。如图10B所示,第一供应管1040包括沿着第一供应管1040设置的多个第一孔1042,并且第二供应管1050包括沿着第二供应管1050设置的多个第二孔1052。第一供应管1040可沿着气室容积1030的参考平面与第二供应管1050正交地定位。因此,第一供应管1040可沿着x轴方向延伸,并且第二供应管1050可沿着多区域喷头基座1000的y轴方向延伸,反之亦然。
第一供应管1040可配置成将第一气体输送到气室容积1030中,并且第二供应管1050可配置成将第二气体输送到气室容积1030中。在一些实施方式中,第一气体是前体气体并且第二气体是稀释气体。在一些实施方式中,第一气体是稀释气体并且第二气体是前体气体。在图10A-10D中,第一供应管1040示出为被定向成“竖直”管,第二供应管1050示出为被定向成“水平”管。然而,应理解,第一供应管1040可以与第二供应管1050互换,使得第一供应管1040是“水平”的第二供应管是“竖直”的。
多区域喷头基座1000包括气室容积1030中的多个挡板1024,用于隔离第一气体以免于在气室容积1030中与第二气体混合。这样,第一气体在通过面板1020中的多个气体分配孔1022离开多区域喷头基座1000之前不会与第二气体混合。这使得第一气体与第二气体的混合延迟,并且有助于在以下方面更好的控制:在沉积处理期间获得更加拋物线或多项式形的厚度分布。当气体流向半导体衬底时,发生第一气体与第二气体的混合。多个挡板1024可将气室容积1030分成至少第一区域z1和第二区域z2。多个挡板1024可相互平行。在一些实施方式中,多个挡板1024平行于第一供应管1040并且垂直于第二供应管1050。在一些实施方式中,第二供应管1050穿过多个挡板1024中的每个的中心相交。多个挡板1024中的每个的高度跨越背板1010与面板1020之间的间隙距离。
如图10C和10D所示,多个挡板1024可以包括多个第一挡板1024a和多个第二挡板1024b。多个第一挡板1024a包括至少两个挡板,其位于第一供应管1040的相对侧并且比多个第二挡板1024b更靠近第一供应管1040。多个第一挡板1024a将第一区域z1中的第一气体与第二区域z2中的第二气体隔离或分隔。换句话说,在从第一供应管1040供应的第一气体通过面板1020的一些气体分配孔1022离开之前,多个第一挡板1024a将该第一气体容纳在第一区域z1中,并且多个第一挡板1024a防止第一气体在气室容积1030中与第二气体混合。多个第二挡板1024b包括至少两个挡板,其位于第一供应管1040的相对侧并且与多个第一挡板1024a相比离第一供应管1040更远。多个第二挡板1024b用于将第二区域z2进一步细分成多个区段s1、s2和s3。这种细分成多个区段s1、s2和s3的操作可防止来自区段s1、s2和s3的第二气体相互混合,从而更好地控制来自每个区段s1、s2和s3的第二气体的质量流量。这允许对第二区域z2中的第二气体流进行调节,并且能够更好地控制:在沉积期间获得拋物线或多项式的厚度分布。在一些实施方式中,多个第二挡板1024b不必等距地间隔开,而是可以定位在预定位置以用于调节第二区域z2中的第二气体流。
多个第二挡板1024b可将第二供应管1050细分成任何合适数量的区段,例如区段s1、s2和s3。第二供应管1050中的多个第二孔1052可以通过其几何形状、直径、间距、排列或数量来描述。第二供应管1050中的多个第二孔1052的这些属性在区段s1、s2和s3之间可以是可变的,或者在区段s1、s2和s3中的每个内是可变的。例如,多个第二孔1052中的每个的尺寸/直径在第二区域z2的区段s3中可以是可变的,这可以有助于平衡排气口的影响。在一些实施方式中,多个第二孔1052中的每个的尺寸/直径在每个区段s1、s2和s3中可以是一致的,但在多个区段s1、s2和s3之间是可变的。例如,区段s1中的第二孔1052可以具有特定尺寸/直径,并且区段s2中的第二孔1052可具有与区段s1不同的尺寸/直径,并且区段s3中的第二孔1052可具有与区段s1或区段s2不同的尺寸/直径。多个第二孔1052的不同尺寸的直径或其他属性可提供质量流阻流(mass flow choking)。这意味着,可为第二供应管1050的区段s1、s2和s3中的每个提供最大的第二气体流量。区段s1、s2和s3的任何一个的第二孔1052可以如下方式设计:使其可以处理一定范围的流量,并且在超过某个阈值时质量流量受阻。在阈值以下,可通过简单地改变第二气体的流速来控制流量。因此,第二供应管1050中的多个第二孔1052中的每个的尺寸/直径可以是不一致的。在一些实施方式中,第一供应管1040中的多个第一孔1042中的每个的尺寸/直径可以是一致的。尽管前面的描述适用于将第二供应管1050细分成多个区段并且在这些区段之间具有变化的第二孔1052的属性(例如,几何形状、直径、数量、间距或排列),但本领域技术人员可以理解,第一供应管1040可替代地被细分成多个区段并且在这些区段之间具有变化的第一孔1042的属性(例如,几何形状、直径、数量、间距或排列)。
图11示出了图示根据一些实施方式的来自区域的不同区段中的喷头基座的惰性气体流的图表。惰性气体可从供应管(例如图10A-10D中描述的第二供应管)流出。第二供应管可被分为第一区域z1、第二区域z2的第一区段s1、第二区域z2的第二区段s2和第二区域z2的第三区段s3。在第一区域z1中没有惰性气体流,因为在喷头基座的气室容积中的挡板设置防止惰性气体在第一区域z1中流动。在第二区域z2的第一区段s1中,惰性气体的质量流量沿着第二供应管的长度逐渐增加至约2×10-6kg/s的最大质量流量。在第二区域z2的第二区段s2中,惰性气体的质量流量沿着第二供应管的长度逐渐增加至约2.5×10-6kg/s的质量流量,并且沿着第二供应管的长度进一步逐渐增加至约7.5×10-6kg/s的最大质量流量。在第二区域z2的第三区段s3中,惰性气体的质量流量保持恒定在约7.5×10-6kg/s。这表明从喷头基座流出的第二气体的质量流量在沿着第二供应管的整个多个区段s1、s2和s3上是可调的。沿着供应管长度的质量流阻流(mass flow choking)可用于严格地控制前体气体的稀释,从而在沉积期间提供对获得拋物线或多项式的厚度分布的增强控制。这有利于基于弯曲半导体衬底的沿x轴和y轴方向的弯曲非对称水平的较大应力可调性。
在一些实施方式中,可控制前体气体流速与惰性气体流速的比率以调节半导体衬底附近的前体气体的浓度。在比率较高的情况下,较多的前体气体沿着喷头基座的轴向长度(例如喷头基座的x轴或y轴方向)流动。因此,前体气体的浓度沿着轴向长度逐渐变小(即,浅斜率)。在比率较低的情况下,较少的前体气体沿着喷头基座的轴向长度流动。因此,前体气体的浓度沿着轴向长度逐渐变大(即,陡斜率)。可控制前体气体流速与惰性气体流速的比率以使前体质量流量分布的曲线拟合优化。前体质量流量分布可与膜厚度分布直接相关联。可调节前体气体流速与惰性气体流速的比率以获得更拋物线或多项式形的膜厚度分布。事实上,通过控制比率,还可实现非拋物线分布,例如平坦、钟形曲线、对数分布和其他分布。通过控制前体气体流速与惰性气体流速的比率,可以从喷头基座获得大范围的质量流量分布或膜厚度分布。
图12示出了图示根据一些实施方式的针对前体气体与惰性气体的各种比率的来自喷头基座的前体质量流分布的图标。前体质量分数作为喷头基座上的位置的函数进行测量。如图12所示,改变前体流与惰性气体流的比率允许对前体质量流分布的可调性。根据该比率,前体质量流分布可非常符合标准拋物线或多项式函数。在5:1的比率下,前体质量流分布与标准拋物线分布适度吻合。在2.5:1的比率下,前体质量流分布与标准拋物线分布非常吻合。在0.86:1的比率下,前体质量流分布与标准拋物线分布非常吻合。在0.4:1的比率下,前体质量流分布与标准拋物线分布并不非常吻合。在0.2:1的比率下,前体质量流分布与标准拋物线分布并不非常吻合。
公开的实施方式可在任何合适的装置或工具中执行。装置或工具可以包括一个或多个处理站。以下描述的是在一些实施方式中使用的示例性处理站和工具。
图13示出了根据一些实施方式的用于执行应力调节的操作的示例性处理工具的示意图。多站处理工具1300可以包括入站装载锁1302和出站装载锁1304,入站装载锁1302和出站装载锁1304的任一者或两者可以包括等离子体源和/或UV源。在大气压下的机械臂1306被配置成通过大气端口1310将晶片从通过舱1308所装载的晶舟盒移动到入站装载锁1302。由机械臂1306将晶片(未示出)放置在入站装载锁1302中的底座1312上,关闭大气端口1310,并且将入站装载锁1302抽空。在入站装载锁1302包含远程等离子体源的情况下,可在晶片被引入处理室1314之前,使晶片在入站装载锁1302中暴露于远程等离子体处理。此外,还可在入站装载锁1302中加热晶片,例如,移除湿气与所吸附的气体。接着,打开通向处理室1314的室输送端口1316,并且另一机械臂(未示出)将晶片放入反应器,在反应器中所示的第一站的底座上以用于处理。虽然图13所描绘的实施方式包含装载锁,但应理解,在一些实施方式中,可提供晶片进入处理站的直接入口。
图13所示的实施方式中,所描绘的处理室1314包含四个处理站,编号是1至4。每个站具有加热的底座(对于站1显示为1318)和气体管线入口。应理解,在一些实施方式中,每个处理站可具有不同或多种用途。例如,在一些实施方式中,处理站是可在CVD与PEALD处理模式之间切换的。在另一示例中,诸如PECVD操作的沉积操作可在一个工作站中执行,而用于UV固化的操作的暴露于UV辐射可以在另一站中执行。在一些实施方式中,沉积和UV固化在相同站中执行。虽然所描绘的处理室1314包含四个站,但应理解,根据本公开的处理室可具有任何合适数量的站。例如,在一些实施方式中,处理室可具有五个或更多个站,在其他实施方式中,处理室可具有三个或更少站。
图13描绘了用于在处理室1314内传送晶片的晶片搬运系统1390的实施方式。在一些实施方式中,晶片搬运系统1390可以在各个处理站之间和/或在处理站与装载锁之间传送晶片。应理解,可采用任何合适的晶片搬运系统。非限制的示例包含晶片传送带和晶片搬运机械臂。图13还描绘了系统控制器1350的实施方式,该系统控制器1350用于控制处理工具1300的处理条件和硬件状态。系统控制器1350可以包括一个或多个存储器设备1356、一个或多个大容量存储设备1354、以及一个或多个处理器1352。处理器1352可以包括CPU或计算机、模拟和/或数字输入/输出连接、步进马达控制器板等。
在一些实施方式中,系统控制器1350控制处理工具1300的所有活动。系统控制器1350执行系统控制软件1358,该系统控制软件1358存储在大容量存储设备1354中,加载到存储器设备1356,并在处理器1352上执行。或者,可在控制器1350中将控制逻辑硬编码。可为这些目的使用专用集成电路、可编程逻辑设备(现场可编程门阵列或FPGA)等。在以下的讨论中,每当使用“软件”或“代码”,都可以使用功能相当的硬编码逻辑来代替。系统控制软件1358可以包括下列指令:控制时序、气体的混合、气体流速、室和/或站的压力、室和/或站的温度、晶片温度、目标功率水平、RF功率水平、衬底基座、卡盘和/或基座的位置,以及由处理工具1300所执行的特定处理的其他参数。系统控制软件1358可以任何适当方式配置。例如,可编写各种处理工具部件的子程序或控制对象,来控制处理工具部件的操作,这些处理工具部件用于执行各种处理工具的处理。可以用任何合适的计算机可读取编程语言编码系统控制软件1358。
在一些实施方式中,系统控制软件1358可以包括输入/输出控制(IOC)排序指令,用于控制上述的各种参数。在一些实施方式中,可采用存储在与系统控制器1350相关的大容量存储设备1354和/或存储器设备1356上的其他计算机软件和/或程序。用于该目的程序或程序的部分的示例包含衬底定位程序、处理气体控制程序、压力控制程序、加热器控制程序、以及等离子体控制程序。
衬底定位程序可以包括用于处理工具部件的程序代码,这些处理工具部件用于将衬底加载在基座1318上,并控制衬底与处理工具1300的其他部件之间的间距。
处理气体控制程序可以包括代码,用于控制气体组成(例如,本文所述的含硅气体、含氧气体、含氮气体和稀释或惰性气体)和流速以及任选地用于在沉积之前使气体流入一个或多个处理站以稳定处理站中的压力的代码。压力控制程序可以包括用于通过调节例如处理站的排气系统中的节流阀、流入处理站的气流等来控制处理站内的压力的代码。
加热器控制程序可以包括用于控制用于加热衬底的加热单元的电流的代码。或者,加热器控制程序可控制传热气体(例如氦气)向衬底的输送。
等离子体控制程序可以包括用于设置施加至在根据本文实施方式的一个或多个处理站中的处理电极的RF功率水平的代码。
压力控制程序可以包括用于维持根据本文实施方式的反应室中的压力的代码。
在一些实施方式中,可以有与系统控制器1350相关的用户界面。该用户界面可以包括显示屏、装置和/或处理条件的图形软件显示、以及用户输入设备(例如指点装置、键盘、触控屏幕、麦克风等)。
在一些实施方式中,通过系统控制器1350调整的参数可与处理条件相关。非限制的示例包含处理气体成分和流速、温度、压力、等离子体条件(例如RF偏置功率水平)、压力、温度等。这些参数可以以配方的形式提供给用户,配方可使用用户界面输入。
用于监测处理的信号可通过来自各种处理工具传感器的系统控制器1350的模拟和/或数字输入连接来提供。用于控制该处理的信号可以在处理工具1300的模拟和数字输出连接上输出。可以监测的处理工具传感器的非限制性示例包括质量流量控制器、压力传感器(例如压力计)、热电偶等。适当编程的反馈和控制算法可以与来自这些传感器的数据一起使用,以维持处理条件。
系统控制器1350可提供用于实行上述的沉积处理的程序指令。程序指令可控制各种的工艺参数,例如DC功率水平、RF偏置功率水平、压力、温度等。根据本文所述的各种实施方式,指令可以控制参数以操作弯曲补偿层的膜堆叠体的沉积。
系统控制器1350通常将包含一个或多个存储器设备和一个或多个处理器,其被配置成执行指令,使得该装置将根据所公开的实施方式执行方法。包含用于控制根据所公开的实施方式的处理操作的指令的机器可读介质可耦合到系统控制器1350。
在一些实现方案中,系统控制器1350是系统的一部分,该系统可以是上述示例的一部分。这样的系统可以包括半导体处理设备,半导体处理设备包括一个或多个处理工具、一个或多个室、用于处理的一个或多个平台和/或特定处理部件(晶片基座、气体流系统等)。这些系统可以与用于在半导体晶片或衬底的处理之前、期间和之后控制它们的操作的电子器件集成。电子器件可以被称为“控制器”,其可以控制一个或多个系统的各种部件或子部件。根据处理条件和/或系统类型,系统控制器1350可以被编程以控制本文公开的任何工艺,包括处理气体的输送、温度设置(例如加热和/或冷却)、压力设置、真空设置、功率设置、射频(RF)产生器设置、RF匹配电路设置、频率设置、流速设置、流体输送设置、位置和操作设置、晶片转移进出工具和其他转移工具和/或与具体系统连接或通过接口连接的装载锁。
从广义上讲,系统控制器1350可以定义为电子器件,电子器件具有接收指令、发出指令、控制操作、启用清洁操作、启用端点测量等的各种集成电路、逻辑、存储器和/或软件。集成电路可以包括存储程序指令的固件形式的芯片、数字信号处理器(DSP)、定义为专用集成电路(ASIC)的芯片和/或执行程序指令(例如,软件)的一个或多个微处理器或微控制器。程序指令可以是以各种单独设置(或程序文件)的形式发送到系统控制器1350的指令,单独设置(或程序文件)定义用于在半导体晶片或系统上或针对半导体晶片或系统执行特定工艺的操作参数。在一些实施方案中,操作参数可以是由工艺工程师定义的配方的一部分,以在一或多个(种)层、材料、金属、氧化物、硅、二氧化硅、表面、电路和/或晶片的管芯的制造期间完成一个或多个处理步骤。
在一些实现方案中,系统控制器1350可以是与系统集成、耦接到系统、以其它方式联网到系统或其组合的计算机的一部分或耦接到该计算机。例如,系统控制器1350可以在“云”中或是晶片厂(fab)主机系统的全部或一部分,其可以允许对晶片处理的远程访问。计算机可以实现对系统的远程访问以监视制造操作的当前进展、检查过去制造操作的历史、检查多个制造操作的趋势或性能标准,改变当前处理的参数、设置处理步骤以跟随当前的处理或者开始新的工艺。在一些示例中,远程计算机(例如服务器)可以通过网络(其可以包括本地网络或因特网)向系统提供工艺配方。远程计算机可以包括使得能够输入或编程参数和/或设置的用户界面,然后将该参数和/或设置从远程计算机发送到系统。在一些示例中,系统控制器1350接收数据形式的指令,其指定在一个或多个操作期间要执行的每个处理步骤的参数。应当理解,参数可以特定于要执行的工艺的类型和工具的类型,系统控制器1350被配置为与该工具接口或控制该工具。因此,如上所述,系统控制器1350可以是例如通过包括联网在一起并朝着共同目的(例如本文所述的工艺和控制)工作的一个或多个分立的控制器呈分布式。用于这种目的的分布式控制器的示例是在与远程(例如在平台级或作为远程计算机的一部分)的一个或多个集成电路通信的室上的一个或多个集成电路,其组合以控制在室上的工艺。
其他实施方式
在以上描述内容中,阐述了许多具体细节以提供对所呈现的实施方式的透彻理解。可以在没有这些具体细节中的一些或全部的情况下实践所公开的实施方式。在其他情况下,没有详细描述众所周知的工艺操作以避免不必要地模糊所公开的实施方式。尽管结合具体实施方式描述了所公开的实施方式,但是应当理解,其并不旨在限制所公开的实施方式。
尽管为了清楚理解的目的已经比较详细地描述了前述实施方式,但是显然可以在所附权利要求的范围内实施某些改变和修改。应当注意,存在许多替代方式来实现本实施方式的过程、系统和装置。因此,本实施方式应被认为是说明性的而非限制性的,并且实施凡是不限于本文给出的细节。

Claims (20)

1.一种方法,包括:
提供具有一个或多个拉伸区域和一个或多个压缩区域的弯曲半导体衬底;
在所述弯曲半导体衬底的背面上沉积具有第一非线性厚度分布的压缩性膜;以及
在沉积所述压缩性膜之前或之后,在所述弯曲半导体衬底的背面上沉积具有第二非线性厚度分布的拉伸性膜,其中所述压缩性膜和所述拉伸性膜一起形成弯曲补偿层。
2.根据权利要求1所述的方法,其中所述第一非线性厚度分布是第一拋物线形分布,并且所述第二非线性厚度分布是第二拋物线形分布。
3.根据权利要求2所述的方法,其中所述第一拋物线形分布向上或向下开口,并且所述第二拋物线形分布在与所述第一拋物线形分布相反的方向上开口。
4.根据权利要求1所述的方法,其中所述弯曲补偿层是平坦的或实质平坦的。
5.根据权利要求1所述的方法,其中所述第一和第二非线性厚度分布中的每个匹配或实质上匹配多项式函数。
6.根据权利要求1所述的方法,其中,在沉积所述弯曲补偿层之前,所述弯曲半导体衬底是鞍形的。
7.根据权利要求1-6中任一项所述的方法,其中所述弯曲半导体衬底是非对称地弯曲,具有等于或大于+300μm或等于或小于-300μm的翘曲,并且其中在沉积所述弯曲补偿层之后的所述弯曲半导体衬底在-300μm和+300μm之间。
8.根据权利要求1-6中任一项所述的方法,其中沉积所述压缩性膜包括控制来自喷头基座的第一前体浓度以在整个所述弯曲半导体衬底的背面上变化,并且其中沉积所述拉伸性膜包括控制来自所述喷头基座的第二前体浓度以在整个所述弯曲半导体衬底的背面上变化。
9.根据权利要求8所述的方法,其中,所述喷头基座包括在所述喷头基座的气室容积中的第一供应管和第二供应管,其中在所述压缩性膜或所述拉伸性膜的沉积期间,所述第一供应管使第一气体流到所述气室容积的第一区域,并且所述第二供应管使第二气体流到所述气室容积的第二区域。
10.一种喷头,包括:
面板,其包括多个气体分配孔,气体通过所述多个气体分配孔流出所述喷头;
背板,其与所述面板相对并且在它们之间限定气室容积;
第一供应管,其在所述气室容积中,所述第一供应管具有将第一气体供应至所述气室容积中的多个第一孔;
第二供应管,其在所述气室容积中,所述第二供应管具有将第二气体供应至所述气室容积中的多个第二孔;以及
多个挡板,其在所述气室容积中,其中所述多个挡板被配置成在所述气室容积中至少将所述第一气体与所述第二气体隔离开。
11.根据权利要求10所述的喷头,其中,所述第一供应管沿所述气室容积的参考平面与所述第二供应管正交。
12.根据权利要求10所述的喷头,其中,所述多个挡板包括多个第一挡板和多个第二挡板,其中所述多个第一挡板平行于所述第一供应管并且在所述第一供应管的相对侧,以将第一区域中的所述第一气体与所述气室容积的第二区域隔离开,并且其中所述多个第二挡板包括至少两个挡板,其平行于所述第一供应管并且在所述第一供应管的相对侧上远离所述多个第一挡板,其中所述多个第二挡板被配置成将所述第二区域中的所述第二气体的流分成多个区段。
13.根据权利要求12所述的喷头,其中,所述第一气体从所述气室容积的所述第一区域流出所述面板,并且所述第二气体从所述气室容积的所述第二区域流出所述面板,其中所述面板被配置成面向半导体衬底的背面。
14.根据权利要求12所述的喷头,其中,在整个所述第一供应管上的所述多个第一孔中的每个的直径是一致的,并且其中所述第二区域中的所述多个区段中的每个中的第二孔的直径是不一致的。
15.根据权利要求10所述的喷头,其中,所述多个挡板中的每个的高度跨越所述背板与所述面板之间的间隙距离。
16.根据权利要求10-15中任一项所述的喷头,还包括:
中央塞,其在所述气室容积中并且与所述第一供应管和所述第二供应管中的每个流体连通,并且其中所述中央塞将所述第一气体流引导至所述第一供应管并且将所述第二气体流引导至所述第二供应管。
17.根据权利要求10-15中任一项所述的喷头,其中所述第一气体是前体气体,并且所述第二气体是稀释气体。
18.根据权利要求10-15中任一项所述的喷头,还包括:
杆,其连接至所述背板并且与所述气室容积流体连通,其中所述杆包括一个或多个气体输送管线,所述一个或多个气体输送管线将所述第一气体和所述第二气体供应至所述第一供应管和所述第二供应管。
19.一种喷头,其包括:
面板,其包括多个气体分配孔,气体通过所述多个气体分配孔流出所述喷头;
背板,其与所述面板相对并且在它们之间限定气室容积;
一个或多个挡板,其在所述气室容积中,所述一个或多个挡板将所述气室容积至少分成第一区域和第二区域;以及
一个或多个气体入口,其耦接至所述背板,所述一个或多个气体入口将第一气体和第二气体输送到所述气室容积中,其中所述第一气体被配置为被输送到所述第一区域,并且所述第二气体被配置为被输送到所述第二区域。
20.根据权利要求19所述的喷头,其中所述多个气体分配孔包括与所述第一区域流体连通的第一孔和与所述第二区域流体连通的第二孔,其中所述第一孔的密度与所述第二孔的密度不同。
CN202180046530.8A 2020-12-03 2021-11-19 用于晶片弯曲补偿的背面沉积和局部应力调节 Pending CN115867692A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US202063199044P 2020-12-03 2020-12-03
US63/199,044 2020-12-03
PCT/US2021/060159 WO2022119732A1 (en) 2020-12-03 2021-11-19 Backside deposition and local stress modulation for wafer bow compensation

Publications (1)

Publication Number Publication Date
CN115867692A true CN115867692A (zh) 2023-03-28

Family

ID=81854185

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202180046530.8A Pending CN115867692A (zh) 2020-12-03 2021-11-19 用于晶片弯曲补偿的背面沉积和局部应力调节

Country Status (5)

Country Link
US (1) US20240003010A1 (zh)
KR (2) KR20220086716A (zh)
CN (1) CN115867692A (zh)
TW (1) TW202237887A (zh)
WO (1) WO2022119732A1 (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20240103385A1 (en) * 2022-09-28 2024-03-28 Applied Materials, Inc. Frequency and Amplitude Modulation of Implant Dose for Stress Management
CN116926511A (zh) * 2023-09-18 2023-10-24 上海陛通半导体能源科技股份有限公司 气相沉积设备和晶圆应力调整方法

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1328766C (zh) * 2001-01-22 2007-07-25 东京毅力科创株式会社 处理装置和处理方法
US8178436B2 (en) * 2006-12-21 2012-05-15 Intel Corporation Adhesion and electromigration performance at an interface between a dielectric and metal
US8440259B2 (en) * 2007-09-05 2013-05-14 Intermolecular, Inc. Vapor based combinatorial processing
KR100997104B1 (ko) * 2008-07-04 2010-11-29 주식회사 테스 반도체 제조용 샤워헤드 및 이 샤워헤드를 구비한 반도체제조장치
KR100980397B1 (ko) * 2010-05-24 2010-09-07 주식회사 시스넥스 유기금속가스의 농도분포조절이 가능한 화학기상증착반응기
US9121097B2 (en) * 2012-08-31 2015-09-01 Novellus Systems, Inc. Variable showerhead flow by varying internal baffle conductance
KR20230150404A (ko) * 2018-09-28 2023-10-30 램 리써치 코포레이션 비대칭 웨이퍼 보우 보상

Also Published As

Publication number Publication date
TW202237887A (zh) 2022-10-01
WO2022119732A1 (en) 2022-06-09
KR20230120676A (ko) 2023-08-17
US20240003010A1 (en) 2024-01-04
KR20220086716A (ko) 2022-06-23

Similar Documents

Publication Publication Date Title
US11075127B2 (en) Suppressing interfacial reactions by varying the wafer temperature throughout deposition
US20230040728A1 (en) Substrate processing method and apparatus
KR102605484B1 (ko) 막 프로파일 조정을 위한 샤워헤드 커튼 가스 방법 및 시스템
US10431431B2 (en) Gas supply delivery arrangement including a gas splitter for tunable gas flow control
JP5492557B2 (ja) 半導体基板を均一にエッチングするためのガス噴射
US20230038611A1 (en) Uv cure for local stress modulation
CN115867692A (zh) 用于晶片弯曲补偿的背面沉积和局部应力调节
US10903070B2 (en) Asymmetric wafer bow compensation by chemical vapor deposition
KR20150075362A (ko) 콘택트층의 형성 방법
US20220157617A1 (en) Reducing roughness of extreme ultraviolet lithography resists
KR20220068180A (ko) 기판 처리 방법 및 기판 처리 시스템
CN112838004A (zh) 蚀刻方法以及蚀刻装置
JP6988629B2 (ja) 成膜方法及び成膜装置
US20210320004A1 (en) Nitride films with improved etch selectivity for 3d nand integration
WO2023220308A1 (en) Multi-path helical mixer for asymmetric wafer bow compensation
WO2023183009A1 (en) Showerhead assembly and substrate processing systems for improving deposition thickness uniformity
WO2024076478A1 (en) Showerhead gas inlet mixer
WO2024076480A1 (en) Annular pumping for chamber

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination