KR20100070339A - 베이퍼 기반의 결합식 프로세싱 - Google Patents

베이퍼 기반의 결합식 프로세싱 Download PDF

Info

Publication number
KR20100070339A
KR20100070339A KR1020107006875A KR20107006875A KR20100070339A KR 20100070339 A KR20100070339 A KR 20100070339A KR 1020107006875 A KR1020107006875 A KR 1020107006875A KR 20107006875 A KR20107006875 A KR 20107006875A KR 20100070339 A KR20100070339 A KR 20100070339A
Authority
KR
South Korea
Prior art keywords
fluid
substrate
processing
flow
regions
Prior art date
Application number
KR1020107006875A
Other languages
English (en)
Other versions
KR101534362B1 (ko
Inventor
토니 피. 치앙
수닐 샨커
치-아이 랭
Original Assignee
인터몰레큘러 인코퍼레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 인터몰레큘러 인코퍼레이티드 filed Critical 인터몰레큘러 인코퍼레이티드
Publication of KR20100070339A publication Critical patent/KR20100070339A/ko
Application granted granted Critical
Publication of KR101534362B1 publication Critical patent/KR101534362B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction

Landscapes

  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

결합식 처리용 챔버 및 방법이 제공된다. 상기 방법에서, 유량은 상이한 성분 컴포넌트를 가지는 유량의 다른 포션으로 기판의 표면 위를 흘러, 기판의 분리된 영역을 인근 영역이 노출되는 성분 컴포넌트와 다른 성분 컴포넌트로 구성된 혼합물에 동시적으로 노출시킨다. 상이하게 처리된 분리된 영역은 다수의 흐름들을 통해 생성된다.

Description

베이퍼 기반의 결합식 프로세싱{VAPOR BASED COMBINATORIAL PROCESSING}
본 발명은 반도체 프로세싱에 관한 것이다. 보다 구체적으로, 본 발명은 결합식 박막 증착(combinatorial film deposition) 및 기판 상으로의 집적(integration)을 실현하기 위한 사이트-분리된(site-isolated) 베이퍼 기반 프로세싱의 처리 시스템 및 방법에 관한 것이다.
CVD(Chemical Vapor Deposition)는 유전층, 전도층, 반도체층, 라이너(liner), 배리어, 접착층, 시드층, 응력층(stress layers), 및 필층(fill layers)의 형성을 비롯하여 이에 제한되지 않고 반도체 제조에 흔히 사용되는 베이퍼 기반의 증착 프로세스이다. CVD는 일반적으로 열적으로 구동되는 프로세스로서, 그에 의해 선구 플럭스(precursor fluxes)가 기혼합되고 증착될 기판 표면과 만나게 된다. CVD는 요구되는 박막 물질 성질과 두께의 일정함을 얻기 위해 기판 온도 및 유입되는 선구 플럭스(들)의 제어가 요구된다. CVD 기반의 프로세스의 파생물은 PECVD(Plasma Enhanced Chemical Vapor Deposition), HDP-CVD(High-Density Plasma Chemical Vapor Deposition), SACVD(Sub-Atmospheric Chemical Vapor Deposition), 레이저 보조/유도 CVD, 및 이온 보조/유도 CVD를 포함하지만 이에 제한되지는 않는다.
장치의 구조가 소형화되고 결합되는 박막 두께가 감소함에 따라, 증착되는 막에 대한 개선된 제어에 대한 필요가 증가되고 있다. 우수한 스텝 커버리지, 물질 속성, 및 박막 두께 제어를 가능하게 하는 CVD의 변형은 ALD(Atomic Layer Deposition)이라고 알려진 순차적 증착 기술이다. ALD는 적어도 두 개의 프리커서(precursors) 또는 시약(reagents)의 사용을 포함하는 다수의 단계로 구성되고 자기-제한적인(self-limiting) 프로세스이다. 일반적으로, 제 1 프리커서(또는 시약)가 기판을 포함하는 프로세싱 챔버에 유입되고 기판의 표면에 흡수된다. 초과된 제 1 프리커서는 제거되거나 및/또는 퍼내어 진다. 그리고 나서, 제 2 프리커서(또는 시약)가 챔버에 유입되고 처음에 흡수된 층과 반응하여 증착 반응을 통해 증착막을 형성한다. 증착 반응은 반응이 처음에 흡수된 층이 제 2 프리커서에 의해 소모되면 종료하는 자기-제한적인 프로세스이다. 초과된 제 2 프리커서는 제거되거나 및/또는 퍼내어 진다. 전술한 단계는 하나의 증착 도는 ALD "사이클"을 구성한다. 프로세스는 다음 층을 형성하기 위해 전체적인 증착 박막 두께를 결정하는 사이클의 수만큼 반복된다. 다른 세트의 프리커서가 또한 다른 물질 조성물을 포함하는 나노-합성물(nano-composites)을 형성하도록 선택될 수도 있다. ALD의 변형은 PEALD(Plasma Enhanced Atomic Layer Deposition), 급진적인(radical) 보조/유도 ALD, 레이저 보조/유도 ALD, 및 이온 보조/유도 ALD를 포함하지만 이에 제한되지는 않는다.
현재, 종래의 베이퍼-기반 프로세스, 예컨대 CVD 및 ALD는 풀 웨이퍼에 걸쳐 균일하게 처리되도록 디자인된다. 또한, 이러한 CVD 및 ALD 프로세스는 프로세스/장치의 흐름에 통합될 필요가 있다. 균일한 프로세스는 기판 당 보다 적은 데이터를 야기하고, 보다 다양한 데이터를 축적하기 위해 장시간을 요구하게 되며, 그리고 그러한 데이터를 획득하기 위해 고비용이 요구된다.
새로운 ALD 및 CVD 박막을 위한 발견, 최적화 및 인증 프로세스의 일부로서, 본 발명은 물질(들), 프로세싱 조건(들), 프로세싱 조건의 시퀀스(들), 프로세스의 시퀀스(들), 및 그 조합 당 동일한 수의 일체형 기판(monolithic substrates)을 소비할 필요 없이 단일의 일체형 기판에 i)하나 이상의 물질을 테스트하고, ii) 하나 이상의 프로세싱 조건을 테스트하고, iii) 하나 이상의 프로세싱 조건의 시퀀스를 테스트하고, 그리고 iv) 하나 이상의 프로세스 시퀀스 일체형 플로우를 테스트 가능하게 한다. 이는 제조를 위해 필요한 새로운 CVD 및 ALD 기반 물질(들), 프로세스(들), 및 프로세스 집적 시퀀스(들)의 발견, 구현, 최적화 및 인증과 관련된 속도와 비용 절감 둘 모두를 크게 개선할 수 있다. 본 발명은 유량(fluid volume)의 성분 변화를 통한 결합적 방식으로 기판을 처리하는 시스템, 컴포넌트 및 방법을 제공한다.
본 발명은 반도체 제조 과정에 대한 신속하고 효율적인 물질 스크리닝, 단일 프로세스 및 프로세스 시퀀스를 가능하게 하는 것을 목적으로 한다.
본 발명의 일 실시예에 따른 결합식 기판 처리 방법은 기판의 분리된 영역들을 인근 영역이 노출되는 성분 컴포넌트와 다른 성분 컴포넌트로 구성되는 혼합물에 동시적으로 노출시키도록, 상이한 성분 컴포넌트를 가지는 유량(fluid volume)의 다른 포션들(portions)을 사용하여 상기 기판의 표면 상에 유량을 흘리는 단계; 및 다수의 흐름들을 통해 상이하게 처리된 분리된 영역들을 생성하는 단계;를 포함한다.
본 발명의 일 실시예에 따른 결합식 기판 처리 챔버는 유체 소스(fluid source)와 흐름을 교환하며, 상이한 성분 컴포넌트를 가지는 유체 흐름들(fluid flows)을 받는 다수의 주입구(inlets) 및 상기 유체 흐름들의 분리 상태를 유지하는 유체 분리 메커니즘을 구비하는 샤워헤드; 기판 지지부; 및 상기 기판 지지부에 의해 정의되는 영역을 향하고 방사상으로 가로지르는 방향성 유체 흐름을 유지하도록, 상기 샤워헤드와 상기 기판 지지부 간의 업스트림 영역보다 높은 전달성을 가지는 진공 소스;를 포함한다.
본 발명의 일 실시예에 따른 결합식 처리 수행 시스템은 처리용 챔버에서 결합식 처리를 수행하는 시스템에 있어서, 상기 시스템의 다수의 유체 주입구를 공급하여, 상이한 흐름들이 상이한 성분 컴포넌트를 포함하도록 상기 유체 주입구에 대응하는 유체 흐름들을 제공하는 수단; 기판 지지부; 상기 다수의 유체 주입구로부터 상기 유체 흐름들을 분배하는 수단으로서, 상기 상이한 유체 흐름들이 상기 분배 수단과 상기 기판 지지부 간에 분리된 상태로 유지되도록 하는 분배 수단; 및
결합식 처리를 야기하기 위해 상기 기판 지지부에 의해 정의되는 영역 상에 상이한 흐름들의 분리 상태를 유지시키도록 상기 챔버를 배출시키는 수단;을 포함한다.
본 발명에 따르면 반도체 제조 과정에 대한 신속하고 효율적인 물질 스크리닝, 단일 프로세스 및 프로세스 시퀀스를 가능하게 한다.
본 발명은 첨부한 도면과 함께 후술하는 상세한 설명에 의해 용이하게 이해될 것이다. 유사한 도면번호는 유사한 구조적 구성요소를 나타낸다.
도 1은 본 발명의 일 실시예에 따른 시스템의 세부 단면도이다.
도 2는 도 1에 도시된 시스템에서 처리되는 유체의 흐름을 도시하는 단순화된 개략도이다.
도 3은 제 1 실시예에 따른, 도 1에 도시된 반도체 처리 시스템에 도입되는 샤워헤드 어셈블리의 아래에서 위로 바라본 분해 사시도이다.
도 4는 본 발명에 따른, 도 3에 도시된 샤워헤드의 위에서 아래로 바라본 분해 사시도이다.
도 5는 도 3 및 도 4에 도시된 샤워헤드의 복수의 몸체를 위에서 아래로 바라본 도면이다.
도 6은 본 발명의 일 실시예에 따른, 도 1에 도시된 프로세싱 챔버의 유체 공급 시스템의 평면도이다.
도 7은 도 6에 도시된 유체 공급 시스템의 동작을 도시적으로 나타내며, 도 3, 도 4 및 도 5에 도시된 샤워헤드를 활성화하는 처리 유체의 결과적인 분배를 나타낸다.
도 8a는 본 발명에 따른, 도 1에 도시된, 프로세싱 영역에 분배된 기판의 표면 상의 처리 유체의 이동을 도시하는 위에서 아래로 본 평면도이다.
도 8b는 본 발명의 일 실시예에 따른, 요소 분리(species isolation)가 웨이퍼 표면의 분리된 섹터를 정의할 수 있도록 하는 선대칭의 분할된 기체 흐름에 대한 흐름 벡터를 설명하는 단순화된 개략도이다.
도 9는 본 발명의 제 1 대체 실시예에 따른, 도 1에 도시된 시스템의 세부 단면도이다.
도 10은 본 발명의 제 2 대체 실시예에 따른, 도 1에 도시된 시스템의 세부 단면도이다.
도 11a는 본 발명의 대체 실시예에 따른, 도 1에 도시된 프로세싱 챔버의 유체 공급 시스템의 평면도이다.
도 11b는 도 8a 및 8b의 기판과 관련된 바와 같이, 도 11a에 도시된 유체 공급 시스템의 동작을 도시적으로 나타낸다.
도 12는 본 발명의 대체 실시예에 따른, 도 4에 도시된 복수의 몸체의 단면도이다.
도 13은 본 발명의 대체 실시예에 따른, 도 3 및 도 4에 도시된 복수의 몸체의 아래에서 위로 바라본 도면이다.
도 13a, 도 13b, 도 13c 및 도 13d는 본 발명의 일 실시예에 따른, 도 13의 샤워헤드의 예시적인 실시예를 설명한다.
도 14는 본 발명의 대체 실시예에 따른, 도 3, 도 4, 도 4 및 도 14에 도시된 복수의 몸체에 구성된 주입부(injection port)의 세부도이다.
도 15a는 본 발명의 일 실시예에 따른, 스크리닝(screening) 목적을 위한 결합적 프로세싱 시퀀스로부터 정의된 구조를 가지는 기판의 단순화된 단면도이다.
도 15b는 본 발명의 대체 실시예에 따른, 기판에 형성된 물질을 구비하는 기판의 위에서 아래로 바라본 도면이다.
도 16은 본 발명의 실시예에 따른, 분리된 영역을 나타내는 기판의 위에서 아래로 바라본 도면이다.
도 17은 도 1, 도 9 및 도 10에 도시된 임의의 프로세싱 시스템에 포함될 수 있는 클러스터 툴(cluster tool)의 단순화된 평면도이다.
도 18은 본 발명의 다른 실시예에 따른, 유체 제어 메커니즘ㅈ의 아래에서 위로 바라본 도면이다.
도 19는 도 18에 도시된 유체 제어 메커니즘을 포함하는 기판 상에 물질을 증착하기 위한 시스템의 단순화된 평면도이다.
도 20은 유체 제어 메커니즘이 제거된 도 19에 도시된 시스템의 위에서 아래로 바라본 도면이다.
도 21 내지 도 23은 본 발명의 일 실시예에 따른 스크리닝 프로세스를 게이트 스택 구성을 위한 프로세스 시퀀스에 적용하는 것을 도시한다.
도 24 및 도 25는 본 발명의 일 시릿예에 따른 메모리 장치를 위한 MIM(Metal-Insulator-Metal) 구조를 구현하기 위한 스크리닝 기술을 도시한다.
이하 기술되는 실시예는 반도체 제조 동작을 개선하기 위한 물질, 유닛 프로세스, 및 프로세스 집적 시퀀스에 대한 방법 및 시스템을 제공한다. 그러나, 당업자에게 본 발명은 이러한 특정 내용들의 일부 또는 전부가 없어도 실현될 수 있음이 명백할 것이다. 다른 예로, 잘 알려진 프로세스 동작은 불필요하게 본 발명을 모호하게 하지 않기 위해 상세하게 기술되지 않는다.
이하 기술되는 실시예는 기판의 복수의 영역 상의 유닛 제조 작업들 간의 상호작용 효과를 동시에 고려함으로써 반도체 제조 작업의 전반적으로 최적화된 시퀀스에 도달하기 위해, 결합적 기술(combinatorial techniques)을 증착 프로세스 시퀀스 집적에 적용할수 있도록 한다. 구체적으로, 복수의 프로세스 조건들은 유닛 제조 작업에서 이용되는 컴포넌트의 물질 특성뿐만 아니라 유닛 제조 작업에 영향을 미치도록 동시에 도입될 수 있으며, 그에 의해, 복수의 작업을 수행하기 위해 요구되는 시간을 최소화한다. 전반적인 최적화된 시퀀스 순서는 또한 도출될 수 있으며, 이 기술의 일부로서, 단일 프로세스, 상기 최적화된 시퀀스 순서의 단일 프로세스 작업에 사용되는 단일 프로세스 파라미터 및 물질은 또한 고려될 수 있다.
실시예는 반도체 장치를 제조하기 위해 사용되는 전체 증착 프로세스 시퀀스의 일부 또는 서브셋을 분석할 수 있다. 프로세스 시퀀스는 IC(Integrated Circuits), 평면 패널 디스플레이, 광전 장치, 데이터 저장 장치, 자전기 장치, 자기광학 장치, 패키지화된 장치 등의 제조에 사용되는 것일 수 있다. 프로세스 시퀀스의 서브셋이 분석을 위해 식별되면, 결합적 프로세스 시퀀스 일체화 테스팅은 식별된 전체 프로세스의 그 부분을 위한 물질, 단일 프로세스 및 프로세스 시퀀스를 최적화하기 위해 수행된다. 이하 기술되는 일부 실시예의 프로세싱 도중, 증착은 구조를 형성하거나 이미 기판 상에 형성된 구조를 변형하기 위해 사용될 수 있으며, 상기 구조는 생성을 위한 기판 제조 도중 형성된 기판과 동등하다. 예를 들어, 반도체 기판 상의 구조는 트렌치(trenches), 비아(vias), 상호연결 라인, 덮개층(capping layers), 마스킹층, 다이오드, 메모리 엘리먼트, 게이트 스택, 트랜지스터, 또는 반도체 칩에서 발견되는 구조를 생성하는 레이어 또는 단일 프로세스의 임의의 다른 결합을 포함하지만, 이에 제한되지는 않는다. 물질, 단일 프로세스 및 프로세스 시퀀스 변화는 또한 의도된 구조의 전부 또는 일부를 생성하지 않고도 층 및/또는 독특한 물질 인터페이스를 생성하도록 사용될 수 있으며, 이는 프로세스 단계를 통해 생성된 구조 또는 장치와 반대로, 결과로 획득되는 물질의 특성에 대한 기본적인 연구를 더 가능하게 한다. 결합적 프로세싱이 특정 물질, 단일 프로세스 또는 프로세스 시퀀스를 변경하는 반면, 층 또는 구조의 구성 또는 두께, 또는 단일 프로세스의 작용은 바람직하게 각각의 영역 내에서 실질적으로 균일하지만, 이는 결합적 실험 당 영역에서 영역으로 변경될 수 있다.
결과로 얻어지는 것은 실험의 설계로 인해 다르게 처리된 영역의 어레이의 생성을 통해, 구조 또는 영역 내 그리고 적용되는 바와 같이 다른 영역들에 걸쳐 균일하게 적용되는 단일 프로세스 시퀀스의 결과물을 포함하는 기판 상의 영역의 연속체이다. 이러한 프로세스는 균일하게 상이한 영역들 내에서 그리고 그에 걸쳐 성질을 비교할 수 있게 하여, 테스트 결과의 변화가 변화된 파라미터(예컨대, 물질, 단일 프로세스, 단일 프로세스 파라미터, 또는 프로세스 시퀀스)에 기인하며 프로세스의 단일성의 부족에 기인하지 않도록 한다. 그러나, 영역의 불-균일한 프로세싱은 또한 특정 타입의 스크리닝 실험을 위해 사용될 수도 있다. 즉, 제조 스펙 외에 불-균일성을 가지는 변화도(gradient) 프로세싱 또는 영역적(regional) 프로세싱은 특정 상황에서 사용될 수 있다.
결합적 프로세싱은 때때로 1차 스크리닝(primary screening)이라고 불리는 상대적으로 단순한 스크리닝으로 시작하여, 때때로 2차 스크리닝(secondary screening)이라고 불리는 구조물 및/또는 전기적 결과물을 포함하는 보다 복접한 스크리닝으로 진행하고, 그리고 나서 때때로 3차 스크리닝(tertiary screening)이라고 불리는 그 엔터티에서 프로세스 시퀀스의 일부를 분석하도록 진행하는, 스크리닝 프로토콜에서 사용되는 경우 일반적으로 가장 효율적이다. 스크리닝 레벨에 대한 명칭 및 프로세싱 및 분석의 타입은 임의적이며, 수행되는 특정 실험에 더 의존적이다. 따라서, 상술한 내용은 임의의 방식을 제한하도록 의도되지 않는다. 스크리닝 레벨이 진행함에 따라, 물질 및 프로세스의 변화가 제거되고, 분석을 더 다듬기 위해 정보가 이전 스테이지로 피드백되어, 최적의 솔루션이 초기 스펙 및 파라미터를 기반으로 도출된다.
ALD에서, 변경될 수 있는 조건들의 단순한 예는 프리커서(precursors), 시약(reagents), 캐리어 기체, 프리커서의 순서, 프리커서/시약의 농도, 프리커서/시약 펄스의 듀레이션, 세정 유체(purge fluid)의 종류, 세정 유체의 지속기간, 분압(partial pressures), 전체 압력, 흐름률(flow rate), 사이클 당 성장률, 부화 기간(incubation period), 기판 타입의 함수로서의 성장률, 박막 두께, 박막 조성물, 나노-라미네이트(nano-laminates)(예컨대, 상이한 타입의 ALD 필름을 적층), 프리커서 소스 온도, 기판 온도, 포화 흡수(saturative adsorption)를 위한 온도, ALD에 대한 온도 대역, 프리커서(들)의 열분해 온도, 플라즈마/이온/라디칼 기반 ALD를 위한 플라즈마 파워 등을 포함할 수 있다. 1차 스크리닝은 프리커서 및 세정 유체 펄스 듀레이션을 변경하는 것으로 시작하여, 주어진 필름 타입에 대한 ALD 프로세스 윈도우(약한 온도 의존성을 가진 자기-제한 증착의 특성을 가진 영역)을 결정하도록 기판 온도를 증가시키도록 진행할 수 있다. 2차 스크리닝은 둘 또는 그 이사으이 AKD 필름을 적층하는 단계를 수반하여, 예를 들어 단순한 MIM 캐피시터 구조에서 박막 스택의 유효 유전 상수(effective dielectric constant)를변경할 수 있다. 그러한 스크린의 출력은 가장 낮은 누설(leakage)에서 가장 높은 유효 유전 상수를 나타내고 고온의(예컨대 500 ℃를 초과하는 온도) 열 어닐(thermal anneal)에 걸쳐 안정된 상태로 유지될 수 있는 후보군들일 수 있다. 이하 기술되는 시스템 및 방법은 상술한 바와 같이 결합적 실험을 구현하는 것에 있어서 유용하고, 특히 ALD 및 CVD 프로세싱에 유용하다.
본원에서 사용되는 유체는 액체, 기체, 증기, 즉, 흐름이 있는 컴포넌트, 및 ALD 및 CVD 프로세스에 사용되는 유체의 다른 타입과 그 변경물을 의미하며, 이러한 용어는 본원의 명세서에 걸쳐 상호교환적으로 사용될 수 있다. 성분 컴포넌트는 시스템의 일부 지점에서 액체일 수 있으며, 액체는 프로세싱 챔버에 들어가서 기판에 노출되기 전에 기체, 증기 또는 다른 액체로 변환될 수 있다.
도 1에서, 본 발명의 일 실시예에 따른 기판 처리 시스템(10)은 알루미늄 또는 양극처리된(anodized) 알루미늄과 같은 프로세스가 양립가능한 물질로부터 형성된 인클로져 어셈블리(12)를 포함한다. 인클로져 어셈블리(12)는 하우징(14), 처리용 챔버(16) 및 처리용 챔버(16)의 개구를 덮는 진공 뚜껑 어셈블리(20)를 포함한다. 진공 뚜껑 어셈블리(20)에 장착되는 것은 반응성인 캐리어 유체를 처리용 챔버(16)로 전달하는 처리 유체 주입 어셈블리이다. 이 때문에, 유체 쥬입 어셈블리는 복수의 통로들(30,31,32,33)과 샤워헤드(90)를 포함한다. 챔버 하우징(14), 진공 뚜껑 어셈블리(20), 및 샤워헤드(90)는 종래의 방식으로 요구되는 온도 범위 내에서 유지될 수 있다. 이하 제공되는 도면들은 설명적이며 반드시 스케일링되어 그려지지는 않음을 밝힌다.
히터/리프트 어셈블리(46)는 처리용 챔버(16) 내에 배치된다. 히터/리프트 어셈블리(46)는 지지용 샤프트(49)에 연결된 지지용 받침대(48)를 포함한다. 지지용 받침대(48)는 샤프트(49)와 진공 뚜껑 어셈블리(20) 사이에 위치된다. 지지용 받침대(48)는 임의의 프로세스-양립가능형(process-compatible) 물질로부터 형성될 수 있으며, 이는 질화 알루미늄 및 산화 알루미늄(Al2O3 또는 알루미나)를 포함하며, 그 위에 기판을 수용하도록 구성되며, 예컨대 지지용 받침대(48)는 진공 척(vacuum chuck)이거나 ESC(ElectroStatic Chuck) 또는 물리적 클램핑 메커니즘과 같은 다른 종래의 기술을 이용할 수 있다. 히터 리프트 어셈블리(46)는 제어적으로 이동하여 지지용 받침대(48)와 샤워헤드(90) 간의 거리를 변경하여, 기판과 샤워헤드간의 간격을 조절하도록 구성된다. 센서(미도시)는 처리용 챔버(16) 내의 지지용 받침대(48)의 위치와 관련된 정보를 제공한다. 지지용 받침대(48)는 가열 요소(미도시), 예컨대 받침대 어셈블리에 내장된 저항성 가열 요소를 통해 기판을 가열하도록 사용될 수 있다.
도 1 및 도 2 둘 모두에서, 유체 공급 시스템(69)은 연속적인 도관들을 통해 통로들(30,31,32,33)과 유체를 교환한다. 처리용 챔버(16) 내에서 유체 공급 시스템(69)으로부터의 처리용 유체의 흐름은 부분적으로 하나 또는 그 이상의 펌프, 예컨대 버터플라이 밸브(67)와 펌프 채널(68)을 통해 처리용 챔버(16)와 유체를 교환하는 터보 펌프(64) 및 러핑(roughing) 펌프(66)를 포함할 수 있는 압력 제어 시스템에 의해 제공된다. 이 때문에, 컨트롤러(70)는 메모리, 예컨대 랜덤 액세스 메모리(74) 및 하드디스크 드라이브(76)와 데이터를 통신하고, 펌프 시스템(64), 온도 제어 시스템(52), 유체 공급 시스템(69) 및 요구되는 시스템의 다른 다양한 양상들과 신호를 통신하는 프로세서(72)를 포함한다. 시스템(10)은 지지용 받침대(48)에 배치된 기판(79)의 표면(78)에 인접하여 위치한 처리용 챔버(16)의 영역(77)에 조건들을 설정하여, 그 위에 요구되는 물질, 예컨대 박막을 형성할 수 있다. 이 때문에, 하우징(14)은 시스템(10)에 수행될 화학적 프로세스를 기반으로 요구되는 치수로 처리용 영역(77)을 제공하기 위한 처리 위치에 위치되는 경우, 지지용 받침대(48)를 감싸는 주변적 흐름 채널(peripheral flow channel)(71)을 형성하도록 구성된다. 펌프 채널(68)은 하우징(14)에 위치되어 처리 영역(77)은 펌프 채널(68)과 샤워헤드(90) 사이에 위치된다.
주변적 흐름 채널(71)의 치수는 후술하는 바와 같이 실질적으로 균일한 방식으로 그리고 선대칭(axi-symmetric) 방식으로 기판(79)의 표면(78) 상에 처리용 우체의 흐름을 제공하는 처리용 유체의 요구되는 전달성(conductance)을 제공하도록 정의도니다. 이 때문에, 펌프 채널(68)을 통한 전달성은 주변적 흐름 채널(71)을 통한 전달성보다 크도록 선택된다. 일 실시예에서, 펌프 채널(68) 및 주변적 흐름 채널(71)을 통한 처리용 유체의 상대적인 전달성은, 예를 들어, 10:1이며, 펌프 채널(68)의 전달성은 주변적 흐름 채널(71)을 통한 처리용 유체의 전달성보다 적어도 10 배 이상으로 설정된다. 다른 비율(예컨대, 5:1, 8:1, 15:1 및챔버 및 응용에 적용할 수 있는 다른 높고 낮은 비율)을 포함하는 이러한 전달성의 큰 차이는 처리 영역(77)을 통해 이동하고 뒤이어 기판(79)과 지지용 받침대(48)를 지나가 펌프 채널(68)을 향하는 흐름 A 및 B에 의해 도시되는 기판(79)의 표면(78)을 걸쳐 선대칭 흐름을 구현하도록 제공된다.
도 2, 도 3 및 도 4에서, 흐름 A 및 B의 발생을 구현하기 위해, 샤워헤드(90)는 중심 축(82)을 기준으로 방사상으로 대칭되게 형성되는 배플(baffle) 플레이트(80)를 포함하지만, 이를 반드시 포함할 필요는 없다. 배플 플레이트(80)는 그를 통하여 연장되는 복수의 전달 포트(through ports)(91,93,95,97)를구비한다. 배플 플레이트(80)와 결합되는 것은 매니폴드 부분(92)을 통해 연장되는 복수의 주입 포트(94)를 구비하는 매니폴드 부분(92)이다. 매니폴드 부분(92)은 일반적으로 축(82)을 기준으로 방사상으로 대칭되도록 배치된다. 매니폴드 부분(92)은 표면(86)으로부터 이격되어 그 사이에 플레넘 챔버(plenum chamber)(106)를 정의한다. 매니폴드 부분(92)은 패스너(fasteners), 용접 등과 같은 반도체 처리 기술분야에 잘 알려진 임의의 수단을 사용하여 배플 플레이트(80)와 결합될 수 있다. 배플 플레이트(80) 및 샤워헤드(90)는 스테인리스 스틸, 알루미늄, 양극처리된 알루미늄, 니켈, 세라믹 등을 포함하는 적용에 적합한 임의의 잘 알려진 물질로부터 형성될 수 있다.
도 3, 도 4 및 도 5에서, 매니폴드 부분(92)으로부터 연장되는 것은 매니폴드 부분(92)으로부터 배플 플레이트(80)로 연장되는 몸체(112)를 포함하는 유체 분리 메커니즘이다. 몸체(112)가 표면으로부터 연장되는 거리는 특정 디자인 파라미터에 의존하며, 보다 구체적으로 후술되는 바와 같이, 플레넘(106) 내에서 섹터를 생성하도록 거리의 일부 또는 전체를 뒤덮도록 연장될 수 있다. 일 실시예에서, 몸체(112)는 매니폴드(92)와 배플(80) 사이에서 두 개의 직교 방향으로 연장되어 네 개의 영역을 형성할 수 있으며, 그 영역은 사분면 또는 섹터(114,115,116,117)로 언급된다. 비록 네 개의 사분면이 도시되었지만, 임의의 개수의 섹터들이 추가적인 몸체 부분(112)을 추가함으로써 제공될 수 있으며, 이는 원하거나 기판(78) 상에서 정의할 수 있는 영역의 개수에 의존한다. 몸체(112)의 정점(118)은 일반적으로 축(82)을 따라 배열된다. 도 1에 도시된 통로들(30,31,32,33)은 포트들(91,93,95,97) 중 대응하는 포트를 통해 유체를 전달하도록 구성된다. 이러한 방식에서, 포트들(91,93,95,97)은 사분면들(114 내지 117) 중 대응하는 사분면과 결합하는 처리용 유체의 흐름을 생성하도록 배열된다. 몸체(112)는 유체 동작 포트(91,93,95,97)가 인근 사분면들(114 내지 117) 사이에서 분산되는 것을 막지 않도록 충분한 구획을 제공한다. 이러한 방식에서, 네 개의 포트들(91,93,95,97) 각각은 처리용 유체의 흐름을 남은 포트들(91,93,95,97)이 처리용 유체의 흐름을 전달하는 사분면들(114 내지 117)과 다른 사분면들(114 내지 117) 중 하나로 전달한다.
도 6은 프리커서 또는 반응성 시약을 일반적으로 직렬적인 방식(serial manner)으로 하나의 섹터에 따로따로 분배하고 흘려보내기 위한 하나의 가능한 밸브 및 시스템 구성을 도시한다. 후술되는 바와 같이, 다른 구성은 샤워헤드 섹터를 통해 기판(79)의 대응하는 영역으로 직렬적, 반 병렬적(semi-paralle) 또는 병렬적으로 분배하고 흘려보내는 것이 가능하다. 도 1 및 도 6 둘 모두에서, 유체 공급 시스템(69)은 두 개의 프리커서/시약 서브시스템(119,131) 및 다양한 다른 밸브, 튜빙 및 특징들을 포함한다. 시약 서브시스템(119)은 서플라이(127)의 X로 표시된 추가적인 시약에 의해 일반적으로 도시되는 ALD 또는 CVD에 사용되는 질소(N2), 아르곤(Ar), 물(H2O), 암모니아(NH3), 산소(O2), 수소, 헬륨, 오존, 실란, 및 임의의 다른 프리커서 및/또는 캐리어 또는 세정 유체(들)(예컨대, 기체, 증기 등)을 포함할 수 있는 캐리어 또는 세정 유체 또는 프리커서들(120 내지 127)의 복수의 서플라이를 포함한다. 프리커서 분배 시스템(128)은 공금들(120 내지 127)과 두 개의 유체 라인(129,130) 중 하나 간의 선택적인 분배를 구현한다. 프리커서 분배 시스템(128)은 선택적으로 하나 또는 둘 모두의 서플라이(120,121)이 (세정) 유체 라인(129)과 유체를 교환하도록 위치시키도록 구현하고, 선택적으로 서플라이(122 내지 127)이 (프리커서) 유체 라인(130)과 유체를 교환하도록 위치시키도록 구현한다. 세정 유체 라인(129)은 세정 기체를 운반할 수 있고, 프리커서 유체 라인(130)은 프리커서 및/또는 시약 및/또는 그들 각각의 캐리어 기체를 운반할 수 있다. 시약 서브시스템(131)은 서플라이(132,133)으로부터의 프리커서/시약의 분배가 각각 시약 유체 라인(134,135)과 유체를 교환하도록 선택적으로 위치시킬 수 있다. 서플라이(132,133)은 예를 들어, 버블러(bubblers), 앰플(ampoules), 또는 유기금속 또는 할로겐화물 프리커서를 보유하는 고체 소스 컨테이너일 수 있다. 적절한 불활성 캐리어 기체(예컨대 도시된 바와 같은 Ar(121))는 서플라이(132,133)에 포함된 프리커서/시약을 전달하도록 사용될 수 있다. 일 실시예를 위해 아래에 도시되는 프리커서의 예는 서플라이(132)에 대해 TEMAHf(Tetrakis-EthylMethyl Amido Hafnium)과 서플라이(133)에 대해 TMA(TriMethylAluminum)을 포함하지만, 이에 제한되지는 않는다. 하프늄 프리커서의 대체적인 소스는 TDEAHf(Tetrakis-DiEthylAmido Hafnium), TDMAHf(Tetrakis-DiMethyl Amido Hafnium), 하프늄 터트부톡사이드(Hafnium tert-butoxide), 하프늄 클로라이드(Hafnium Chloride)를 포함하지만 이에 제한되지는 않는다. 프리커서의 선택은 이 실시예의 예로서 사용되는 것, 즉 소스(132,133) 각각에 대해 하프늄 및 알루미늄 기반 프리커서만으로 제한되지 않는다.
도 6의 유체 공급 시스템은 또한 제 1 및 제 2 세트의 주입 밸브(140 내지 143, 144 내지 147)를 포함하며, 주입 밸브(140 내지 143)는 시약 밸브 블록(148a,148b)를 통해 시약 유체 라인(134,135)과 유체를 교환하도록 선택적으로 위치된다. 주입 밸브(144 내지 147)는 프리커서 밸브 블록(149)을 통해 (프리커서) 유체 랑린(130)과 유체를 교환하도록 선택적으로 위치되고, 세정 밸브 블록(150)을 통해 (세정) 유체 라인(129)과 유체를 교환하도록 선택적으로 위치된다. 주입 밸브(140 내지 147) 및 밸브 블록(148a,148b,149,150)은 고속(예컨대, 공압식 또는 압전식) 밸브를 포함하는 분배 레시피에 적절한 임의의 밸브를 포함할 수 있다. 밸브 블록(148a)의 고속 밸브(151)는 선택적으로 주입 밸브(140 내지 143)가 시약 유체 라인(134)과 유체를 교환하도록 위치되고, 밸브 블록(148b)의 고속 밸브(158)는 선택적으로 주입 밸브(140 내지 143)가 시약 유체 라인(135)과 유체를 교환하도록 위치된다. 고속 밸브(152)는 시약 유체 라인(134)이 전방라인(foreline)(153)과 유체를 교환하여 그로부터 시약 유체를 배출하도록 선택적으로 위치하고, 고속 밸브(159)는 시약 유체 라인(135)이 동일한 목적으로 전방라인(153)과 유체를 교환하도록 선택적으로 위치한다. 밸브 블록(149)의 고속 밸브(154)는 주입 밸브(144 내지 147)가 프리커서 유체 라인(130)과 유체를 교환하도록 선택적으로 위치하고, 고속 밸브(155)는 프리커서 유체 라인(130)이 전방라인(153)과 유체를 교환하여 시약 유체가 그로부터 배출되도록 선택적으로 위치한다. 세정 밸브 블록(150)은 또한 한 쌍의 고속 밸브(156,157)를 포함하며, 고속 밸브(157)는 주입 밸브(140 내지 143)가 (세정) 유체 라인(129)와 유체를 교환하도록 선택적으로 위치하고, 고속 밸브(156)는 주입 밸브(144 내지 147)이 (세정) 유체 라인(129)과 유체를 교환하도록 선택적으로 위치한다.
프리커서/시약 서브시스템(119,131)의 컴포넌트는 적용 및 시스템 스펙에 의해 달라질 수 있다. 본 실시예에서, 서브시스템(119)은 복수의 수동 고립 밸브(160)를 포함하며, 각각은 서플라이들(120 내지 127) 중 하나와 복수의 투-포트 밸브(161) 중 하나 사이에 결합된다. 복수의 매스 플로우 컨트롤러(mass flow controllers)(162)는 복수의 투-포트 밸브들(161)의 서브셋과 복수의 쓰리-포트 싱글 아웃 라인 밸브(163)의 서브셋 사이에 결합된다. 옵션적인 니들 밸브(164)는 N2를 포함할 수 있는 서플라이(120)와 밸브들(163) 중 하나, 밸브들(161) 중 하나 및 고립 밸브들(160) 중 하나를 통해 유체를 교환하도록 선택적으로 위치되어, 배출 경로를 정의한다. 니들 밸브(164)는 배출 경로가 챔버 통풍 부분(165)과 유체를 교환하도록 선택적으로 위치한다. He의 서플라이(126)는 기판의 뒷면과 유체를 교환하도록 위치될 수 있어 온도가 제어된(예컨대 가열된) 받침대와 웨이퍼 간의 열적 결합을 구현하여 균일한 기판 온도 제어를 구현한다.
도 1, 도 5, 도 6 및 도 7에서, 기판 처리 시스템(10)은 기판(79)의 상이한 영역에 처리용 유체의 존재 및 성분 컴포넌트의 공간 및 시간적 변조(spatial and temporal modulation)를 허용하여 결합적 프로세스 실험에 영향을 미친다. 유체 공급 시스템(69)의 밸브는 컨트롤러(70)의 제어 하에 구동되어 처리용 유체가 처리용 챔버(16) 및 그 안에 위치된 기판(79)으로 전달되도록 샤워헤드(90)의 사분면(114 내지 117)으로 진행하고 제공된다. 논리도(184,185,186,187,188,189,190,191,192,193,194,195,196,197,198,199)는 각각 밸브의 동작 상태(159,158,157,156,155,154,152,151,147,146,145,144,143,142,141,140)에 대응한다. 각각의 논리도(184 내지 199)에 대해, 논리 상태 "0"은 해당 밸브가 닫혀져 입력과 출력 간에 유체의 흐름이 배제됨을 나타내며, 논리 상태 "1"은 해당 밸브가 활성화되어 유체가 입력과 출력 간에 진행할 수 있음을 나타낸다. 논리도(200,201,202,203,204)는 각각 시약(127)(예컨대, 오존), 시약(122)(예컨대, 수증기), 세정 유체(121)(예컨대 Ar), 프리커서(133)(예컨대 프리커서를 포함하는 Al) 및 프리커서(132)(예컨대 프리커서를 포함하는 Hf)에 대한 캐리어 흐름의 양에 대응한다. 도시된 바와 같이, 캐리어 및 세정 유체 둘 모두로 기능할 수 있는 프리커서(133), 프리커서(132) 및 아르곤(121)의 흐름은 처리 도중 유체 공급 시스템(69)에 의해 유지된다. 주입 밸브 및 고속 밸브의 적절한 순차적인 활성화 및 비활성화를 통해, 화학물의 선택이 요구되는 시간 및 요구되는 사분면(들)에 처리용 챔버(16)의 요구되는 기판 상에 달성될 수 있다.
도 7에서, 시간 주기(205) 동안, 세정 유체(121) 및 프리커서(132)는 처리용 챔버(16)에 제공되지만, 이하 상세하게 기술되는 바와 같이, 프리커서(132)는 그 캐리어 기체와 함께 오직 사분면(514)를 통해서만 흐르며, 세정 기체는 사분면들(515 내지 517)에서 사용가능해진다. 이러한 결과는 고속 밸브의 순차적인 동작으로 인해 달성되며; 밸브(154)는 닫혀지고 밸브(155)는 열려져 유체를 라인(130)에서 전방라인(153)으로 전달하며, 밸브(158)은 닫혀지고 밸브(159)는 열려져 프리커서(133)를 라인(135)를 통해 전방라인(153)으로 전달하며, 그에 의해, 처리용 챔버(16)가 우회된다(by-passing). 닫혀진 밸브(157) 및 열려진 밸브(156)는 세정 기체를 밸브(144 내지 147)로 전달하며, 닫혀진 포인트 밸브(144) 및 열려진 밸브(145 내지 147)는 750 sccm의 세정 기체가 결과적으로 사분면들(515 내지 517) 간에 분리되도록 야기한다. 이는 250 sccm(Standard Cubic Centrimeters per Minute)의 세정 기체가 각각의 사분면(515 내지 517)을 통해 흐르도록 야기하며, 오직 밸브 블록(140 내지 143)의 열려진 밸브(140)만이 프리커서(132)를 운반하는 250 sccm의 캐리어 기체가 밸브(151)가 열려지고 밸브(152)가 닫혀진 채 사분면(514)을 통해 흐르도록 야기한다. 시간 주기(205) 동안 챔버를 통해 흐르는 전체 흐름은 100 sccm이며, 각각 250 sccm의 세정 기체가 사분면(515 내지 517)을 통해 흐르며, 프리커서(132)를 포함하는 250 sccm의 캐리어 기체가 사분면(514)를 통해 흐르는 것을 주목한다. 대부분의 프리커서 물질의 낮은 증기압 때문에, 대부분의 경우 캐리어 기체 내에 운반되는 프리커서 베이퍼의 양이 약 1 sccm보다 작거나 동일한 것임을 주목하는 것이 중요하다. 당업자는 전체 흐름이 이 실시예에서 사용되는 바와 같이 오직 100 sccm으로 제한되지 않고, 챔버의 치수 및 펌핑 용량에 따라 사이트 고립된 프로세싱을 달성하기에 충분한 임의의 전체 흐름(예컨대 50 내지 5000 sccm)일 수 있음을 파악할 것이다. 시간 주기(206) 동안 세정 유체(121)는 처리용 챔버(16)에 걸쳐 사용가능하며, 프리커서(133,132) 둘 모두는 펌핑 시스템으로 전환되어, 그에 의해, 이 시간 주기 동안 처리용 챔버(16)를 피하도록 한다. 초과된 프리커서(132)는 이 주기 동안 처리 영역(77)으로부터 제거된다. 프로세스ㅡ에서 사용되는 프리커서, 시약 및 세정 기체 항상 공급 소스로부터 흐르고 밸브 로직을 조작함으로써, 이들은 챔버(16)를 통해 흐르거나 또는 펌프 전방라인(153)(즉, 도 1의 러핑 펌프(66))으로 전환되도록 할 수 있다. 이러한 접근은 오프 상태로부터 주어진 매 세트포인트마다 매스 플로우 컨트롤러 또는 액체 플로우 컨트롤러의 플로우 안정화 기간 도중 발생할 수 있는 프로세스의 비효율성을 방지한다. 이 스테이지에서, 사분면(514)은 프리커서로 노출되고, 따라서 사분면(514)에 대응하는 기판(79)의 표면(78)의 영역은 그 표면에 흡수되는 프리커서(132)의 층을 구비한다.
도 6의 밸브는 유체 흐름을 닫지 않고 일정한 흐름을 유지하도록 작동되어, 밸브가 유체를 샤워헤드 어셈블리 및 처리용 챔버에 제공하도록 개방되는 경우, 버스트를 방지하고 요구되는 흐름률을 유지한다. 또한, 시스템은 영역들에 걸쳐 실질적으로 동일한 흐름을 보증하도록 구동하여 경계에 걸친 확산(diffusion)을 방지한다. 예를 들어, 사분면(514)이 250 sccm의 캐리어 기체 흐름 및 1 sccm의 프리커서 균등물의 흐름률을 가지는 경우, 사분면(515 내지 517)은 적어도 250 sccm(총 750 sccm)이 각각의 사분면에 전달되어야 한다. 프리커서에 의해 추가된 1 sccm의 차이는 전체적으로 시스템에 영향을 미치지 않으며, 이는 매우 작은 흐름 차이이며 이러한 차이에 비해 처리 영역(77) 내에서 유체가 빠르게 흐르기 때문이다(짧은 거주 기간). 대체적인 실시예에서, 세정 기체를 제공하는 사분면에서의 흐름은 처리되는 영역보다 더 높으며(예컨대, 프리커서 및/또는 반응성 시약을 포함), 그 결과 임의의 확산(diffusion)은 세정된 영역에서 박막이 성장하는 영역(예컨대, 흡수되거나 증착되는 영역)으로 이동한다. 세정된 영역이 불활성 세정 기체를 포함하므로, 그러한 확산은 처리되고 있는 영역에 유해하게 영향을 미치지 않는다.
시간 주기(207) 동안, 시약(122)(예컨대, H2O 증기)은 사분면(514)에 사용가능하게 되고, 동시에 사분면(515 내지 517)은 임의의 추가적인 처리용 유체 없이, 세정 유체(121)에 노출된다. 시간 주기(207) 동안, 시약(122)은 사분면(514)에 대응하는 기판(79)의 표면(78)의 영역 상의 프리커서(132)의 흡수된 층과 반응하여, 요구되는 박막층(예컨대, 산화 하프늄)을 형성한다. 시간 주기(208)에서, 챔버는 세정되고 초과된 시약(122)은 처리 영역(77)으로부터 제거도니다. 시간 주기(205 내지 208)는 하나의 ALD 사이클을 의미하며, 요구되는 박막 두께를 획득하도록 반복될 수 있다(미도시, 예컨대, 시간 주기(209)의 동작으로 이동하기 전에 시간 주기(205 내지 208) 동안 반복되는 동작). 시간 주기(205 내지 208) 동안 사분면(515 내지 517)이 세정 유체(121)에 노출되어, 기판(79)의 대응하는 영역이 본래의 상태를 유지하며, 여기서, 상기 본래의 상태는 프로세스 사이클 t=0일 때 기판(79)의 상태로 정의되며, 이는 시가 주기(205)의 시작에 대응함 것을 신중하게 주목하도록 한다. 시간 주기(209)와 관련하여, 사분면(515)은 프리커서(133)에 노출되고, 사분면(514,516,517)은 임의의 추가적인 처리용 유체가 없이 세정 유체(121)에 노출되는 것이 명백하다. 이러한 결과는 논리 상태도에 도시된 바와 같이 밸브 상태에 대해 적절한 논리 상태를 설정함으로써 달성된다. 당업자는 어떻게 이러한 프로세싱 및 박막 성장이 사분면(514)에서 사분면(517)까지 순차적으로 이동하는지 그리고 프로세싱의 수반되는 사이클을 위해 사분면(514)로 돌아오는지 파악할 것이다.
시간 주기(205 내지 208) 대 (209 내지 212)는 기판 상의 사이트 고립된 결합적 프로세싱을 도시하며, 그에 의해 제 1 프리커서 타입은 요구되는 프로세싱의 위치에 더하여 변경된다. 시간 주기(213 내지 216)는 요구되는 프로세싱의 위치에 더하여 제 2 시약 펄스의 지속기간 상 변화를 도시한다. 시간 주기(217 내지 220)은 요구되는 프로세싱의 위치에 더하여 제 2 시약의 타입의 변화를 도시한다. 조심스러운 고찰 및 서플라이(132,133)에 저장된 프리커서의 적절한 선택, 독립적으로 또는 서플라이(122 내지 127)로부터의 결합으로 공급되는 시약, 및 세정 유체(120,121)의 적절한 선택을 통해, 네 개의 사분면들(514 내지 517)에 걸쳐 획득되는 박막 성질을 변조할 수 있다. 또한, 박막 두께, 박막 시퀀스, 박막 적층(예컨대, 나노-라미네이트), 박막 구성물, 공동-주입(co-injection)(예컨대, 둘 또는 그 이상의 소스 프리커서를 하나의 영역에 주입)은 사이트-고립 방식에서 변경될 수 있다. 사이트 고립 변경에 더하여, 챔버에 걸친 프로세스의 변화는 흐름률, 챔버 압력, 전달성(conductance)(예컨대, 버터플라이 밸브를 통함), 펄스 듀레이션(들), 프리커서/시약 소스 온도, 전달 라인 온도, 기판 온도, 샤워헤드 온도, 챔버 몸체 온도 등을 포함할 수 있다. 이러한 변경들 중 일부는 또한 다른 것들 뿐만 아니라 소스 및 전달 라인 온도과 같이 사이트 고립 방식에서 수행될 수도 있다.
도 1, 도 4, 도 8a 및 도 8b에서, 유체 분배 시스템(69)은 캐리어, 프리커서 및 처리용 챔버(16)로 유입되는 시약 유체가 선택된 유체로부터 기판(79)의 표면(78) 상을 지나는 임의의 양의 유체를 제공하도록 할 수 있다. 유량의 일부는 다른 성분 컴포넌트를 가지며, 그 결과 기판(79)의 표면(78)의 다른 영역은 동시에 다른 성분 컴포넌트에 노출될 수 있다. 표면(78)을 지나는 유량은 주입 포트(94)를 통해 처리용 챔버(16)로 진행하는 처리용 유체에 의해 생성된다. 유체 분배 시스템은 표면(78)의 각 영역(514 내지 517)이 그에 대응하는 샤워헤드 섹터(114 내지 117) 중 하나와 결합된 주입 포트(94)를 통해 진행하는 유량의 일부의 성분 컴포넌트에 노출될 수 있도록 한다(즉, 그 위에 직접적으로 또는 그에 중첩되어). 기판(79)의 각 영역(514 내지 517)은 다른 섹터(114 내지 117)을 통해 진행하는 유량의 일부의 성분 컴포넌트에 노출되지 않은 채, 그에 대응하는 섹터(114 내지 117)로부터의 유량에 노출된다. 본 예에서, 섹터(114)는 영역(514)에 대응하며, 섹터(115)는 영역(515)에 대응하며, 섹터(116)는 영역(516)에 대응하며, 섹터(117)는 사분면(517)에 대응한다. 섹터는 기판의 다른 영역에 대응할 수 있거나, 대응하는 섹터 및 영역은 샤워헤드에 대해 기판을 회전시킴으로써 프로세싱 도중 변경될 수 있다(예컨대, 전 영역/사분면 또는 일부 영역/사분면).
기판 처리 시스템(10)은 사분면(114)의 주입 포트(94)로부터 나머지 사분면(115 내지 117)으로의 처리용 유체에 의해 생성된 처리량의 일부의 진행을 최소화하도록 동작한다. 따라서, 처리량의 이러한 부분으로의 기판 표면(78)의 영역(515 내지 517)의 노출은 최소화된다. 사분면(114)에 대응하는 영역(514)은 실질적으로 이 부분의 전체에 노출된다. 유사하게, 사분면, 예컨대 115 내지 117로부터 그 사분면에 대응하지 않는, 즉 중첩되지 않는 영역, 예컨대(515 내지 517)로의 처리용 유체에 의해 생성된 처리량의 진행은 최소화된다. 따라서, 사분면(115,116,117)에 대응하는 영역(515,516,517)은 각각, 실질적으로 그 부분의 전부에 노출된다. 영역들 간의 상당한 측면 확산(lateral diffusion)(즉, 프로세싱에 영향을 주거나 의존하지 않는 영역들의 프로세싱 간을 비교하기에 충분한 확산) 없이 샤워헤드의 섹터로부터 웨이퍼 상의 해당 영역으로 유체의 흐름을 향하게 하는 능력은 샤워헤드 설계, 시스템 압력, 유체 분배 시스템, 유체 분배 밸빙, 유체 분배, 유체 흐름, 챔버 설계, 시스템 동작, 및 이하 기술되는 다른 특징에 의해 가능하게 된다.
예를 들어, 처리용 유체 배출용 주입 포트(94)가 사분면들(114 내지 117) 중 바람직한 사분면에 대응하지 않는 표면(78)의 영역(514 내지 517)으로 진행하지 않는 것을 보증하는 한 방법은 처리용 챔버(16)에 걸쳐 처리용 유체의 흐름의 진행을 제어하는 것이다. 구체적으로, 조건들은 기판 표면(78)을 향한 방향(300)을 따르고 기판(79)을 거쳐 그 주변을 방사상 대칭되는 처리용 유체의 흐름(도 1, 도 2, 도 8a 및 도 8b)을 생성하도록 처리용 챔버에서 설정되고, 그에 의해 처리용 유체의 이동을 샤워헤드(90) 쪽으로, 즉, 방향(300)의 반대 방향으로 지연시키거나 막는다. 이는 부분적으로, 표면(78) 상에 처리용 유체의 선대칭적 흐름을 생성하도록 구동하는 유체 공급 시스템(69) 및 압력 제어 시스템(도 1에 도시된 펌프(64,66), 밸브(67) 및 채널(68)을 포함하고, 다른 가능한 구성을 포함할 수 있음)에 의해 달성된다. 이 때문에, 압력 제어 시스템은 도 8a 및 도 8b에 도시된 화살표(304)에 의해 도시된 기판(79)의 주변을 향해 바깥쪽으로 진행하는 처리용 유체를 야기하는 펌프 채널(68)의 흐름을 생성한다. 그 후, 처리용 유체는 기판(79)을 지나 샤워헤드(90)으로부터 멀어지도록 이동하고 펌프 채널(68)을 통해 처리용 챔버(16)에서 배출된다. 기체의 흐름을 제어함으로써, 도 8b의 영역(520)에 의해 도시된 바와 같이, 영역들 사이에 확산이 거의 발생하지 않는다.
일 실시예에서, 기판의 바깥쪽 주변은 펌프(64,66)에 의해 생성된 펌핑 동작에 응답하여 기판(79)의 주변에 대해 실질적으로 동일한 전달성을 제공하도록 선택된다. 주변적 흐름 채널(71)의 치수는 실질적으로 균일하고 선대칭적으로 기판(79)의 표면(78) 상에 처리용 유체의 흐름을 제공하는 처리용 유체의 요구되는 전달성을 제공하도록 정의된다. 펌프 채널(68)을 통한 전달성은 주변적 흐름 채널(71)을 통한 전달성보다 더 크도록 선택된다. 일 실시예에서, 펌프 채널(68)과 주변적 흐름 채널(71)을 통한 처리용 유체의 상대적 전달성은, 예를 들어, 10:1이며, 이는 펌프 채널(68)의 전달성이 주변적 흐름 채널(71)을 통한 처리용 유체의 전달성보다 적어도 열 배만큼 크도록 설정되는 것을 의미한다. 다른 비율을 포함하는 전달성에 대한 이러한 큰 차이는 도 8b의 벡터 흐름 및 처리 영역(77)을 통해 이동하여 그 뒤 기판(79)과 지지용 받침대(48)를 지나 펌프 채널(68)을 향하는 도 2의 흐름 A 및 B에 의해 도시된 바와 같이 기판(79)의 표면(78)을 가로질러 선대칭적 흐름을 구현하도록 제공된다.
또한, 처리용 챔버(16)로부터의 처리용 유체의 배출과 협력하여, 유체 공급 시스템(69)은 처리용 유체의 분배를 제어하여, 그 결과, 비록 일 실시예에서 사분면 당 처리용 유체의 성분이 시간의 함수로 변경될 수 있을지라도 샤워헤드 어셈블리를 통한 전체 흐름이 네 개의 사분면들을 통해 대칭적이도록 한다. 이는 선대칭 흐름을 구현하도록 제공된다. 또한, 챔버 압력은 그러한 동작 도중 버터플라이 밸브(67)를 사용하여 고정된 압력(예컨대, 1 mTorr 내지 10 Torr)으로 제어될 수 있다. 또한, 다른 챔버에 걸친 파라미터는 공지기술에 의해 제어될 수 있다.
도 1, 도 9 및 도 10에서, 도 1의 펌프 채널(68)은 챔버(16)의 다른 영역에 위치될 수 있으며 이하 기술되는 바와 같이 영역들 간의 상호확산을 방지하거나 및/또는 감소하기 위해 요구되는 동일한 선대칭 흐름을 제공한다. 예를 들어, 도 9에서, 배출 채널(166)은 펌프 채널(160)이 부분적으로 또는 전체적으로 샤워헤드(90)를 둘러싸도록 위치될 수 있다. 비록 필수적이지 않더라도, 본 실시예에서, 배출 채널(166) 및 펌프 채널(160)의 부분은 뚜껑(20)에 형성되고 펌프 시스템(64)과 유체를 교환한다. 펌프 채널(160)은 도 8a 및 도 8b의 화살표(304)에 도시된 기판(79)의 주변을 향해 바깥쪽으로 진행하는 처리용 유체를 구비하도록 구성된다. 채널(266)은 처리용 기체의 배출을 위해 대체적인 경로를 제공하여 본 발명의 일 실시예에서 선대칭 흐름을 구현한다. 배출 경로는 밸브(67)의 위치에 의해 제어된다.
도 10에서, 개구(opening)(51)는 도 8a의 화살표(304) 및 도 8b의 벡터에 도시된 바와 같이, 기판(79)을 가로지르는 영역들 간의 상호확산을 방지하도록 선대칭 방식으로 처리용 유체의 진행을 생성하기 위해, 대칭적 방식으로 하부 기판 받침대(48)로부터 기체를 펌핑하는 채널(168)에 의해 챔버(16)의 배출을 구현할 수 있다.
결합적 프로세싱을 가능하게 하는 것에 더하여, 시스템은 또한 풀 웨이퍼에 대해 또는 종래의 기판 프로세싱이 진공 단절(vacuum break) 없이 가능하도록 한다. 동일한 유체를 각각의 통로(30 내지 33)를 통해 흘림으로써, 도 3, 도 4 및 도 5의 매니폴드 몸체(80)에 도시된 바와 같이, 각각의 사분면(114 내지 117)은 기판(79)의 대응하는 영역을 가로지르는 동일한 유체의 흐름을 제공할 것이며, 이는 기판(79)의 표면 상의 처리용 유체의 균일한 흐름을 생성한다. 이는 결합적 프로세싱 시스템 뿐만 아니라 종래의 프로세싱 시스템처럼 시스템(10)의 사용을 가능하게 한다. 따라서, 동일한 챔버가 도 1에 도시된 바와 같이, 선택된 밸브를 온/오프로 정확하게 돌려 원하는 처리용 유체를 챔버(16)로 분배하는 것을 제외하고, 변경 없이 종래의 프로세싱을 가능하도록 사용될 수 있다. 이러한 기능은 기판(79)이 도구들 또는 하나의 도구 내의 챔버들 간에 기판(79)을 이동하지 않고도 결합적인 프로세스 및 종래의 프로세싱의 시퀀스 상의 임의의 변화를 사용하여 처리될 수 있도록 한다. 따라서, 이러한 두 개의 타입의 프로세싱은 일부를 제거하지 않고도 단지 기체를 제어하는 밸브의 스위칭 로직을 변경함으로써 수행될 수 있다.
도 1, 도 4 및 도 11a에서, 유체 공급 시스템(69)의 또 다른 실시예는 프리커서/시약 서브시스템(119,131), 밸브 블록(148a,148b,149)을 포함한다. 추가적인 세트의 밸브(150,156,157,170)은 통로(30 내지 33)와 유체를 교환하여 처리용 기체를 동시에 사분면들(114 내지 117) 중 하나 이상으로 전달하도록 구현한다. 이 때문에, 밸브 블록(148a)의 밸브(151)은 유체 라인(134)이 밸브(144,145,146,147)와 유체를 교환하도록 선택적으로 위치되어, 그에 의해 처리용 유체가 유체 라인(134,135)으로부터 처리용 챔버(16)로 동시적으로 유입되도록 구현한다. 밸브(168)는 유체 라인(130)의 처리용 유체를 밸브(144 내지 147)와 유체 교환하도록 선택적으로 위치시키도록 동작하고, 밸브(169)는 유체 라인(130)의 처리용 유체를 밸브(140 내지 143)과 유체를 교환하도록 선택적으로 위치시키도록 동작한다. 밸브(171)는 유체 라인(130)의 처리용 유체가 밸브(150,156,157,170)과 유체를 교환하도록 선택적으로 위치시키도록 동작한다. 표면(78)에 근접한 처리량(processing volume)의 성분 컴포넌트의 보다 큰 유연성은 이러한 밸브 구성을 사용하여 제공된다.
도 11b에 도시된 바와 같이, 도 11a의 유체 공급 시스템을 사용하여, 도 8a 및 도 8b에 도시된 바와 같이 기판(79)의 두 영역들은 동시에(즉, 병렬적으로) 프리커서에 노출될 수 있다(동일하거나 영역마다 다르게). 도 11b에서, 영역(514,516)은 제 1 ALD 사이클(즉, 단계(205,206,207,208))과 동일한 방식으로 병렬적으로 처리되는 반면, 영역(514,516)은 제 2 ALD 사이클(단계(209,210,211,212)에서 상이한 방식(즉, 단계(209)에서 상이한 시약)으로 병렬적으로 처리된다. 도 11b에서, 도시된 바와 같이, 각각의 프리커서/시약 단계는 뒤이어 모든 영역을 가로지르는 챔버 세정이 이어지지만, 반드시 그러할 필요는 없다. 예를 들어, 또 다른 실시예에서(미도시), 도 8의 영역(514,516)이 프리커서(들)에 노출된 후, 이들은 영역들(515,517)이 동시적으로 프리커서(들) 등에 노출되면서 세정될 수 있다. 다른 프로세싱의 변화는 도 11a의 유체 공급 시스템을 사용하여 생성될 수 있다. 또한, 다른 밸브 시스템도 또한 영역의 모든 또는 임의의 서브셋이 병렬적 방식으로 프리커서 또는 시약을 받도록 할 수 있다.
도 12의 또 다른 실시예에서, 샤워헤드 어셈블리(636)는 몸체(612)가 배플 플레이트(미도시)와 반대쪽에 배치되는 매니폴드(698)로부터 연장되어 그로부터 멀어지는 것을 제외하고, 실질적으로 도 3, 도 4 및 도 5의 샤워헤드 어셈블리(90)과 실질적으로 동일하다.
몸체(612)는 몸체(112)와 동일한 기능을 수행하고, 유사한 방식으로 제조된다. 몸체(612)는 프로세싱 도중 기판 표면(78)과 물리적으로 접촉하거나 접촉하지 않도록 선택될 수 있다. 이는 샤워헤드의 또 다른 실시예가 영역들 간의 물리적 배리어를 요구하지 않는 것으로 해석된다. 대신에, 도 13에 도시된 바와 같이, 복수의 개구(apertures)(712)가 마련되어 불활성 기체의 커튼이 방출되어 임의의 섹터, 예컨대 사분면 (114 내지 117)에 유입된 처리용 유체가 다른 섹터 또는 인근 섹터로 진행하지 않도록 방지하며, 그 결과 기판(79) 상의 대응하는 영역의 프로세싱에 영향을 미친다.
도 13, 도 13a, 도 13b 및 도 13c는 본 발명의 일 실시예에 따른 도 13의 샤워헤드의 예시적인 실시예를 설명한다. 도 13a는 도 13의 샤워헤드의 일 실시예의 하부 사시도를 도시한다. 세정 채널(712-1,712-2)은 샤워헤드의 면판의 각 직경을 가로질러 연장되며, 그에 의해 이 실시예에서 상기 면판을 사분면들로 분할한다. 몸체(612-1 내지 612-4)는 면판의 표면으로부터 바깥쪽으로 연장되고, 영역들 간의 물리적 배리어를 제공할 뿐만 아니라 사분면과 세정 채널 간의 경계를 정의한다. 개구(711)는 일 실시예에서 면판을 챔버의 상부에 고정하기 위해 제공된다. 도 13a의 예시적인 실시예에서, 세정 채널(712-1,712-2)의 폭은 약 일 인치이다. 이러한 폭은 예시적이며 제한적으로 해석되지 않으며, 일 인치보다 더 크거나 작은 대체적인 폭이 도입될 수 있으며, 이는 적용에 따라 달라질 수 있다. 세정 채널 폭은 조정될 수 있어 이하 기술되는 샤워헤드를 도입한 증착을 경험하는 기판의 중앙부분에 존재하는 테스트 구조가, 오직 세정 기체에만 노출된다. 다시 말해, 어떠한 증착도 이 실시예의 테스트 구조물에 발생되지 않으며, 그 결과 테스트 구조물은 여기에 기술되는 결합적 프로세싱의 특징화 및 스크리닝을 위해 접근될 수 있다. 또한, 도 13a 및 도 13b의 면판이 사분면으로 도시되지만, 이는 제한적으로 해석되지 않으며, 다른 구성 역시 가능하다. 예를 들어, 면판은 절반, 1/3, 1/5, 1/6 등으로 분할될 수 있으며, 이는 요구되는 응용에 따라 달라질 수 있다.
도 13b는 도 13의 샤워헤드의 일 실시예의 상부 사시도를 도시한다. 일 실시예에서, 사분면의 주입 포트(94-1)와 세정 채널의 주입 포트(94-2)의 간격은 다를 수 있다. 다시 말해, 주입 포트(94-2)의 간격은 주입 포트(94-1)의 대응하는 간격보다 더 작거나, 크거나, 동일할 수 있다. 유사하게, 주입 포트(94-1,94-2)의 직경은 동일하거나 다를 수 있다(즉, 요구되는 바와 같이 다른 것에 비해 크거나 작을 수 있다). 도 13b의 각각의 사분면 및 세정 채널은 이 실시예에서 실링 표면으로부터 약간 들어가도록 도시되어 있다. 도 13c에서, 면판의 상부는 개구(711)를 통해 연장되는 적절한 패스너, 예컨대 스크류를 통해 챔버 상부(715)에 부착된다. 챔버 상부(715)는 샤워헤드를 통해 처리용 챔버로 분배될 프로세스/세정 기체를 전달하기 위한 연결 및 매니폴딩(manifolding)을 제공한다. 도 13d에서, 챔버 상부의 상부 사시도가 도시된다. 챔버 상부(715)는 세정 채널의 주입 포트로의 세정 기체 전달을 위한 주입구 포트(inlet port)를 제공하는 세정 기체 주입구(717)가 제공된다. 처리 기체 주입구(719-1 내지 719-4)는 처리용 기체를 대응하는 사분면의 주입 포트로 전달하기 위한 전달 포트를 제공한다. 대체적인 구성은 네 개의 섹션들, 즉 사분면 구성보다 더 많거나 적은 섹션들을 제공하며, 그리고 나서, 각각 보다 많거나 적은 처리용 기체 주입구가 제공될 수 있다. 당업자는 대체적인 실시예가 보다 많은 세정 기체 주입구를 제공할 수 있음을 파악할 것이다. 세정 기체 주입구 및 처리용 기체 주입구의 개수는 예시적이고 처리 및 세정 기체의 균일한 분배가 제공되는 한 임의의 개수의 주입구들이 도입되어 인근 영역들의 요구되는 고립이 여기에 기술되는 바와 같이 요구된다. 또한, 섹션들, 예컨대 사분면들 중 일부는 비어있을 수 있다(blanked). 다시 말해, 섹션들 중 일부는 주입 포트 및 대응하는 기체 주입구를 포함하지 않을 수 있다. 당업자는 많은 구성이 도 13a 내지 도 13d에 대해 가능하고 이러한 구성은 여기에 기술되는 실시예의 범위에 포함되는 것을 파악할 것이다.
또 다른 대체적인 실시예가 도 14에 도시되며, 여기서 샤워헤드의 각각의 주입 포트는 동심적으로(concentrically) 배치된 통로들(724,726)을 구비하여 처리용 챔버에 도달할 때까지 처리용 유체는 분리된 채로 유지된다. 이러한 통로들은 동심적인 대신 근처에 위치하거나 또는 처리용 챔버에 유입되기 전에 기체의 분리가 유지되는 임의의 다른 공간적 무릴적 배열로 구성될 수도 있다.
도 1, 도 9 또는 도 10에 도시된 임의의 챔버 또는 시스템, 또는 여기에 기술된 본 발명의 구현에 따라 구성도니 또 다른 챔버는 지지용 샤프트(49)와 그에 의해 지지용 받침대(48)가 중심축을 기준으로 회전하도록 결합되는 모터(310)를 포함할 수 있다. 페로풀루이딕 밀봉체(ferrofluidic seal)와 같은 회전 진공 밀봉체(rotary vacuum seal)는 회전 도중 진공을 유지하도록 사용될 수 있다. 챔버의 샤워헤드는 또한 받침대 회전을 위해 후술되는 동일한 효과를 생성하도록 회전될 수도 있다. 회전형 지지용 받침대(48)는 샤워헤드에 추가적인 섹터를 추가하지 않으면서 기판 위에 더 많은 영역들을 생성하도록 한다(예컨대, 물리적 배리어, 불활성 기체 커튼, 또는 다른 메커니즘을 통해). 또한, 회전은 기판 상에 다층 증착의 용이한 생성을 가능하게 한다. 구체적으로, 기판 상의 영역들의 공간적 배치는 도 15a에 도시되고 이하 보다 상세하게 기술되는 바와 같이, 처리용 유체의 양의 다른 부분에 대하여 변경된다. 회전은 처리용 유체 양 및 표면(78) 간의 상대적인 각도적 위치를 다수 번 변경할 수 있도록 하며, 이는 샤워헤드 디자인에 의해 정의되는 각도적 섹터의 부분을 나타내는 각도적 회전의 시퀀스를 정의한다.
예를 들어, 도 16에 도시되는 바와 같이, 기판(78)의 제 1, 제 2, 제 3 및 제 4 영역은 처리용 유체의 양에 노출된다. 제 1 영역은 가장자리(800,801)로 경계가 형성되며; 제 2 영역은 가장자리(801,802)로 경계가 형성되며; 제 3 영역은 가장자리(802,803)로 경계가 형성되며; 제 4 영역은 가장자리(800,803)로 경계가 형성된다. 제 1, 제 2, 제 3 및 제 4 영역 각각은 다른 성분 컴포넌트에 노출된다고 가정한다. 이러한 프로세스는 제 1 영역에 제 1 물질의 제 1 층을 생성하고, 제 2 영역에 제 2 물질의 제 1 층을 형성하고, 제 3 영역에 제 3 물질의 제 1 층을 형성하고, 제 4 영역에 제 4 물질의 제 1 층을 형성한다. 영역들 중 하나 또는 서브셋은 물질을 증착하기 위해 필요한 기체들을 포함하거나 이어지는 단계에서 증착을 위한 영역을 준비할 수 있다(예컨대, 오직 제 1 영역의 프로세스만이 형성되는 층을 야기하고, 반면 다른 영역들은 세정 기체에 노출될 수 있다). 일 예에서, 제 2 각도적 위치에서, 제 5, 제 6, 제 7 및 제 8 영역은 처리용 유체의 다른 양의 다른 성분 컴포넌트에 노출될 수 있다. 이 예에서 기판 홀더 및 기판의 회전은 샤워헤드에 의해 정의되는 4 개의 섹터를 사용하여 기판 상에 8 개의 영역의 생성을 가능하게 한다. 제 5 영역은 가장자리(804,805)로 경계가 형성되며; 제 6 영역은 가장자리(805,806)으로 경계가 형성되며; 제 7 영역은 가장자리(806,807)로 경계가 형성되며; 제 8 영역은 가장자리(804,807)로 경계가 형성된다. 제 5, 제 6, 제 7, 제 8 영역 각각은 추가적인 양의 다른 성분 컴포넌트에 노출되며, 이는 프리커서 또는 시약과 같은 반응성 기체를 포함하거나 포함하지 않을 수 있다. 이러한 프로세스는 시간에 걸쳐 8 개의 섹터들 각각에 다른 층 및 다른 물질을 생성하며, 이는 원래의 사분면 각각이 제 2 위치에서 두 개의 서로 다른 유체에 노출되기 때문이다.
다른 실시예에서, 제 1 마주보는 섹터 쌍은 나머지 마주보는 섹터 쌍에서 세정 섹터(예컨대 Ar)에 의해 경계가 형성되는 ALD 증착 반응의 제 1 시약(예컨대 TMAH) 및 제 2 시약(예컨대 H2O)를 포함할 수 있다. 그리고 나서, 기판 회전은 기판 전체에 걸쳐 실질적으로 균일한 ALD 박막을 증착하도록 사용된다. 이 실시예에서, 기판 회전은 기판의 특정 영역이 오직 기체 밸빙 및 흐름을 통해서만 동작하는 것과 달리 시간의 함수에 따라(예컨대, THAM + Ar 세정 + H2O + Ar 세정) 기체를 순차적으로 처리하도록 사용된다. 각각의 섹터를 통한 흐름은 고정되고 시간의 함수에 따라 전환되지 않는다. 이러한 방법론은 균일성 및 출력 면에서 유익하고 동일한 결합식 ALD 챔버 내에서 풀 웨이퍼 프로세스의 생성을 가능하게 한다. 회전 속도의 변경은 ALD 사이클 당 시간을 제어하도록 사용될 수 있다. 60 rpm의 회전 속도는 1초의 ALD 사이클 시간에 대응한다(시약 1 + 세정 + 시약 2 + 세정). 프로세싱 도중 60초의 기판 회전은 60 ALD 사이클과 동등할 것이다.
도 15a는 도 16에 기술된 회전을 도입한 본 발명의 일 실시예에 따른 스크리닝의 목적을 위한 결합식 프로세싱 시퀀스로부터 기판 상에 형성된 물질을 구비하는 기판(2179)의 단순화된 단면도를 도시한다. 기판(2179)은 전극으로 기능하는 기판에 증착된 전기적 전도층(2180)을 구비한다. 층(2180)은 PVD(Physical Vapor Deposition)을 포함한 임의의 잘 알려진 증착 프로세스를 사용하여 증착될 수 있다. 층(2180) 위에 증착된 것은 네 개의 영역(2183,2184,2185,2186)을 포함한 결합층(combinatorial layer)(2182)이며, 각각은 서로 다른 성분 컴포넌트를 구비한다(각각의 영역은 본 발명에 따른 (상술한 바와 같음)직렬적, 반-병렬적, 또는 완전 병렬적 방식으로 생성될 수 있다). 예로서, 영역(2183)은 Al2O3로부터 형성될 수 있고, 영역(2184)은 TiO2로부터 형성되고, 영역(2185)은 HfO2로부터 형성되고, 영역(2186)은 ZrO2로부터 형성된다.
결합층(2182)은 영역(2183,2184,2185,2186)을 구비하는 추가적인 결합층(2187)을 형성한다. 그러나, 결합층(2187)의 영역들(2183,2184,2185,2186) 각각은 결합층(2182)의 영역들(2183,2184,2185,2186)에 대하여 이동된다. 다시 말해, 결합층(2182)의 영역(2183)은 전도층(2180)의 표면의 섹터(3001 내지 3004)와 중첩되며; 반면, 결합층(2187)의 영역(2183)은 전도층(2180)의 표면의 섹터(3002 내지 3005)와 중찹된다. 이러한 오프셋은 결합층(2182)의 형성 후 결합층(2187)의 형성 전에 샤워헤드(90)에 대하여 기판(2179)을 회전함으로써 야기된다. 기판(2179)의 회전은 각각의 결합층의 형성 사이에 수행될 수 있으며, 이는 결합층(2188,2189)의 영역(2183,2184,2185,2186)의 상대적인 위치에 의해 도시된다. 결합층(2189)에 형성된 것은 예를 들어 사이트 고립된 PVD에 의해 증착될 수 있는 전도성 특징(2190)이며, 이는 종래의 (블랭킷(blanket)) 방식 또는 결합적 방식으로 처리될 수 있다. 이는 샤워헤드가 오직 4 개의 섹터만을 가지더라도 상이한 물질을 가지는 다수의 영역(예컨대 16개)를 구비하는 박막 스택을 제공한다. 섹터당 사이트 고립된 결합식 PVD 프로세싱을 사용한 전도성 특징(2190)의 변화(예컨대, 프로세스 파라미터, 물질, 두께 등)는 최종 박막 스택에서 추가적인 변화를 생성한다.
도 15b에서, 다른 타입의 결합식 프로세싱을 조합할 수 있다. 이러한 다른 타입들은 예를 들어, 여기에 기술되는 PVD 마스크 기반 기술 및 고립된 섹터 기반 시스템에 의해 처리되는 사이트 고립된 영역을 포함할 수 있다. 예를 들어, 결합식 영역(4000,4001,4002)은 여기에 기술된 시스템으로, PVD 또는 다른 기술, 예컨대 습식 프로세싱(무전해 증착, 전기화학적 증착, 클리닝, 단층 형성 등)으로 형성된 영역들(4003)을 이미 포함하는 기판에 형성될 수 있다. 이러한 결합식 기술들을 조합함으로써 추가적인 실험이 수행될 수 있으며, 사용되는 기판의 개수는 줄어드는 반면 수집되는 정보의 양은 증가할 수 있다.
도 15a 및 도 15b는 여기에 기술되는 결합식 프로세싱 하에서 단일 기판으로부터 제공되는 데이터의 풍부함을 나타낸다. 전술한 바와 같이, 다른 성분 컴포넌트를 가지는 유체양의 구분되는 부분은 기판의 표면 위를 흘러간다. 이러한 구분되는 부분은 동시적으로 기판의 대응하는 구분되는 섹터를 인근의 구분되는 섹터가 노출되는 성분 컴포넌트와 다른 성분 컴포넌트에 노출된다. 층은 기판의 구분된 섹터 상에 증착되며, 상기 층은 인근의 구분되는 섹터에 증착되는 층과 다르다. 기판은 부분적으로 회전될 수 있으며, 즉, 360도의 회전각 중 일부만큼 회전되며, 다른 적층된 층을 구비하는 적층된 구조는 도 15a에 도시된 바와 같이 구성될 수 있다. 또한, 스택에 증착된 특징은 다른 치수를 가질 수 있으며, 예컨대, 특징(2190)은 원형인 반면, 구분되는 섹터들은 파이 형상(원의 일부)을 가질 수 있다.
본 발명의 일 실시예에 따른 통합된 HPC(High Productivity Combinatorial) 시스템을 설명하는 단순화된 개략도는 도 17에 도시된다. HPC 시스템은 복수의 처리 모듈을 지원하는 프레임(900)을 포함한다. 프레임(900)은 일 실시예에 따라 일원화된 프레임일 수 있으며, 진공 상태의 유지 및/또는 처리 모듈의 추가를 용이하게 하기 위해 다수의 챔버를 포함할 수 있다. 일 실시예에서, 프레임(900) 내의 환경이 제어된다. 로드 락/팩토리 인터페이스(load lock/factory interface)(902)는 HPC 시스템의 복수의 모듈로의 액세스를 제공한다. 로봇(914)은 모듈들 간의 기판(및 마스크)의 이동을 위해 제공되고, 로드 락(902) 안으로 그리고 밖으로의 이동을 위해 제공된다. 임의의 잘 알려진 모듈은 HPC 시스템에 부착될 수 있으며, 이는 종래의 처리 모듈 및 구동하고 있는 실험 또는 결합식 기술을 사용하여 테스트하고자 하는 구조의 한 클래스를 지원하기 위해 필요한 결합식 처리 모듈을 포함한다.
예를 들어, 모듈(904)은 일 실시예에 따른 정렬(orientation)/탈가스(degassing) 모듈일 수 있다. 모듈(906)은 본 발명의 일 실시예에 Efkms, 플라즈마 또는 비-플라즈마 기반의 클린 모듈일 수 있다. 모듈(908)은 여기에 기술되는 기판 처리 시스템일 수 있다. 선택적으로, 모듈(908)은 HPC 시스템의 다른 모듈에서 사용하기 위한, 처리용 마스크로도 불리는 복수의 마스크를 포함할 수 있다. 모듈(910)은 본 발명의 일 실시예에 따른, 예컨대, 미국 특허 출원 번호 11/672,478 및 11/672/473에 기술된 바와 같은 HPC PVD(Physical Vapor Deposition) 모듈을 포함한다. 일 실시예에서, 중앙집중화된 컨트롤러, 즉 컴퓨팅 장치(911)는 HPC 시스템의 프로세스를 제어할 수 있다. HPC 시스템을 사용하여, 복수의 방법들은 PVD, ALD, CVD 및 전-후 프로세싱 단계 또는 다른 가능한 대체방식을 포함하는 결합식 프로세스를 도입하여 기판에 물질을 증착하도록 도입될 수 있다. 하나의 클러스터 툴에 결합식 프로세싱을 가능하게 하는 것은 상이한 툴 또는 위치들 간의 기판의 셔틀링과 비교하여 보다 나은 오염물질 제어, 보다 나은 환경 제어, 보다 정확한 실험, 결합식 프로세스 시퀀스 통합의 테스팅, 및 보다 나은 출력량를 위해 제공된다. 예를 들어, 도 15a에 도시된 프로세싱은 풀 웨이퍼 PVD, 결합식 ALD 및 결합식 PVD를 가능하게 하는 하나의 클러스터 툴에서 수행될 수 있다. 도 15b를 참조로 설명된 프로세싱은 그러한 결합식 PVD 및 ALD 둘 모두 또는 기판에 구조물을 생성하도록 사용되는 임의의 다른 조합을 구비하는 시스템에서 구현될 수 있다.
도 18 내지 도 20에 도시된 바와 같이, 본 발명의 또 다른 실시예는 증기 추출 장치(1004)의 반대쪽에 배치되는 증기 주입 장치(1002)와 함께 기판(78)에 근접하여 배치되는 증기 제어 장치(1000)를 도입할 수 있다. 증기 제어 장치(1000)는 복수의 이격된 몸체들(1112)을 포함하며, 이는 몸체들(112)에 대해 전술한 바와 같이 또는 이격 또는 기체 흐름 제어와 같은 다른 분리 기술을 통해 구현될 수 있다. 동작 도중, 기체 주입 장치(1002)는 배출구(1005,1006,1007,1008)로부터 처리용 증기를 발산한다. 증기는 배출되어 증기 추출 장치(1004)에 의해 생성되는 진공에 의해 보조되어 기판(78)을 가로질러 이동한다. 이러한 흐름(114,115,116,117)은 기판(78)의 영역들(1118,1119,1120,1121)을 가로질러 이동한다. 조건들은 상술한 바와 같이 영역들(1118,1119,1120,1121) 또는 한 영역 또는 영역들의 서브셋에 물질의 층(또는 전/후 처리)을 생성하도록 유지될 수 있다. 도 19에 도시된 간격은 증기가 분리된 상태를 유지하고 기판의 영역들 간의 상호-확산을 방지하기 위한 증기의 라미나 플로우(laminar flow)를 가능하게 하는 적절한 간격으로 유지된다.
도 21, 도 22 및 도 23에서, 여기에 기술되는 실시예는 이하 기술되는 바와 같이 특정 응용에 적용될 수 있다. 예를 들어, 실시예중 하나는 게이트 스택 구성을 위한 프로세스 시퀀스에 관련될 수 있다. 높은 유전 상수의 사용에서(높은 K로 언급됨), 물질은 반도체 장치의 제조에서 실행가능한 대체물이 될 수 있으며, 특히 게이트 산화물로서 사용되며, 이러한 물질을 반도체 장치의 제조를 위한 프로세스 시퀀스에 도입하는 것은 큰 이익이 있다. 그러나, 모빌리티(mobility)의 감소 및/또는 관찰되는 임계 전압의 이동을 부르기 위해, 계면의 캡층은 금속 게이트 전극과 게이트 산화물 사이에 배치되어 이러한 감소를 경감시킬 수 있다.
도 23에서, 실리콘 기판(900)은 높은 K의 게이트 산화물(902), 계면 캡(904) 및 그 위에 배치된 게이트(906)를 구비한다. 상술한 스크리닝 기술을 도입하기 위한 한 방법은 도 21에서 기판에 배치되는 높은 K의 물질을 고정시키는 것이다. 일 실시예에서, 높은 K 물질은 하프늄 실리케이트(hafnium silicate) 또는 하프늄 산화물(hafnium oxide)일 수 있다. 높은 K 컴포넌트를 고정시키는 것은 종래의 풀 웨이퍼 방식에서의 이러한 작업을 수행하는 것을 의미한다(예컨대, 풀 웨이퍼를 통한 비-결합식 ALD). 금속 게이트를 형성하기 위한 프로세스 시퀀스는 결합식으로 변경된다. 다양한 금속, 예컨대 탄탈룸 실리콘 질화물, 탄탈룸 질화물, 루테늄, 티타늄 질화물, 레늄, 백금 등이 초기에 사용될 수 있다. 도 17에 도시된 HPC 시스템은 일 실시예에서 그러한 사이트 고립된 프로세싱에 영향을 미치도록 사용될 수 있다. 여기에 기술된 결합식 베이퍼 기반 시스템은 예를 들어, 금속 게이트층을 포함하는 프로세스를 위해 사용되어 게이트 전극 물질의 효과 일함수(effect work function)을 조절하도록 사용될 수 있다. 결과로 야기되는 기판은 RTP(Rapid Thermal Processing) 단계를 통해 처리되고, 그리고 나서 반도체 기판 상의 절연체 상의 금속의 구조가 테스트된다. 그러한 테스트는 열적 안정성(thermal stability), 결정화(crystallization), 박리(delamination), 캐패시턴스-전압, 플랫-밴드 전압(flatband voltage), 유효 일함수 외삽(effective work function extrapolation) 등을 포함한다.
높은 K의 게이트 산화물에 따른 금속 게이트의 사용은 테스트 결과(예컨대, 유효 일함수의 이동)에 의해 알려진 바와 같이 결함이 구조물에 발생되므로 양립할 수 없음이 결정될 수 있다. 따라서, 계면 캡이 게이트와 게이트 산화물 사이에 배치되는 다른 프로세스 시퀀스가 평가된다. 일 실시예에서, 높은 K의 프로세싱 및 금속 게이트 프로세싱은 고정되고, 계면 캡 프로세싱은 결합식으로 변경된다. 기판은 RTP를 통해 강화되고 결과로 야기되는 구조물은 최적의 물질, 단일 프로세스 및 높은 K 물질 및 게이트 물질 간에 도입된 계면 캡을 사용한 프로세스 시퀀스를 식별하기 위해 테스트된다. 잠재적인 계면 캡 층의 예는 란타늄 산화물, 알루미늄 산화물, 마그네슘 산화물 및 스칸듐 산화물을 포함한다. 여기에 기술되는 결합식 유체 시스템은 예를 들어, 계면 캡 층을 포함하는 프로세스를 위해 사용될 수 있다. RTP 프로세싱은 급속 열 처리(rapid thermal anneal)를 포함할 수 있다.
도 24 및 도 25는 본 발명의 일 실시예에 따른 메모리 장치 엘리먼트를 위한 MIM(Metal-Insulator-Metal) 구조를 평가하기 위한 스크리닝 기술을 설명한다. 메모리 장치 엘리먼트는, 예를 들어 위상 변화, 저항 변화 또는 다른 메모리 엘리먼트, 예컨대 DRAM 메모리 엘리먼트일 수 있다. 이러한 예를 위한 금속은 전도성 엘리먼트(예컨대, W, Ta, Ni, Pt, Ir, Ru 등) 또는 전도성 화합물(예컨대, TiN, TaN, WN, RuO2, IrO2 등)일 수 있으며, MIM 구조를 위한 전극을 형성한다. 절ㄹ연체는 금속 산화물, 예컨대 티타늄 산화물, 니오븀 산화물, 지르코늄 산화물, 하프늄 산화물, 탄탈룸 산화물, 란타늄 산화물, 실리콘 산화물, 알루미늄 산화물, 니켈 산화물, 나노-라미네이트 또는 상술한 산화물 중 임의의 나노-화합물이며, 메모리 물질의 스택 내의 임의의 다른 개수의 계면 또는 다른 층을 포함할 수 있다. 절연체는 이 예에서, BMO(Binary Metal Oxide), CMO(Complex Metal Oxide), 나노-라미네이트, 도핑되거나 그레이딩된 금속 산화물일 수 있다. DRAM 메모리 엘리먼트 예에서, 낮은 누설, 낮은 EOT, 높은 유효 유전 상수, 및 우수한 열적 안정성을 나타내는 최적의 MIM 스택을 달성하도록 요구될 수 있다.
이러한 예를 위한 최적의 프로세스 시퀀스는 여기에 기술된 스크리닝 접근 방식을 사용하여 개발될 수 있다. 도 24는 기판을 마련하여, 금속 전극 M(예컨대 TiN)이처음에 기판 위에 균일하게 증착되고, 즉, 종래의 제조 프로세스(예컨대 PVD 또는 스퍼터링)를 통해 증착된다. 그리고 나서, 사이트 고립된 프로세싱(예컨대, 도 17에 기술된 HPC 시스템)이 기판 위에 증착된 금속 전극을 가지는 기판의 영역의 절연층을 증착하도록 사용된다(예컨대, 결합식 PVD 또는 결합식 ALD). 절연체의 일부로서, 계면층이 증착되거나 다수의 층들이 절연체를 형성하도록 사용될 수 있다(예컨대, ALD를 통해). 영역들 간에 변경될 수 있는 ALD 프로세싱을 위한 아이템들은 프리커서, 시약, 캐리어 기체, 프리커서의 순서, 프리커서/시약의 농도, 프리커서/시약 펄스의 듀레이션, 세정 유체의 종류, 세정 유체의 듀레이션, 분압, 전체 압력, 흐름률, 박막 두께, 박막 화합물, 나노-라미네이트(예컨대 다른 ALD 박막 타입을 적층) 등을 포함한다. 결과로 야기되는 기판은 RTP를 통해 후처리되고 그리고 나서 테스트된다. 따라서, 기판은 금속 하부층을 구비하고, 산화물은 변형되어 기판이 강화된다. 테스트는 층의 접착 성질, 저항 테스트, 디웨팅(dewetting), 위상/결정성(crystallinity), 및 화합물을 포함한다. 테스트를 기반으로, 결합의 특정 서브셋(예컨대, 열악한 접착, 드웨팅을 나타내거나 또는 너무 낮은 박막 저항 등을 가지는 결합)은 삭제된다.
그리고 나서, 이와 같이 감소된 서브셋으로, M-I 구조의 상부에 다른 전극을 올리는 효과는 도 25에 도시된 바와 같이 평가된다. 여기서, 하부 전극 및 절연체 프로세스는 고정될 수 있으며(또는 대체적인 화살표에 의해 도시되는 바와 같이 변경된다) 상부 전극은 변경된다. 결과로 야기되는 구조는 강화되고 상술한 바와 같이 테스트된다. 여기에서의 테스트는 저항 스위칭(예컨대, 스위칭이 없거나, 모노-스테이블 스위칭, 바이-스테이블 스위칭 등)을 위한 전류/전압(I/V) 테스트를 포함할 수 있으며, 이는 MIM 스택이 구성되었기 때문이다. 상술한 바와 가팅, 테스트는 스크리닝 프로세스가 진행될수록 최적의 프로세스 시퀀스를 정의하기 위해 더욱 복잡해진다. 스크리닝 프로세스는 최적의 금속 산화물 및 대응하는 단위 프로세스를 결정하고, 그리고 나서 최적의 결과를 도입하여 도 25를 참조로 기술된 바와 같이 상부 전극과의 프로세스 상호작용을 결정한다.
청구될 수 있는 다른 대체적인 실시예는 반도체 처리용 챔버에서 유체를 분배하는 장치를 포함한다. 장치는 제 1 및 제 2 마주보는 측면 사이에 연장되는 복수의 통로들을 구비한 제 1 및 제 2 마주보는 측면을 구비하는 배플 플레이트를 포함하낟. 상기 장치는 또한 배플 플레이트와 결합되는 면판을 포함하며, 면판은 그를 통해 연장되는 주입 포트의 섹터로 분할된다. 분할된 섹터는 면판의 축으로부터 바깥쪽으로 방사상 확장되는 유체 분리 메커니즘을 통해 정의된다. 유체 분리 메커니즘은 주입 포트를 통해 유체의 진행의 섹터 분리를 수행하며, 배플 플레이트 및 면판은 이들이 함께 결합될 때 플레넘을 정의한다. 일 실시예에서, 섹터의 개수는 통로의 개수에 대응하며, 유체 분리 메커니즘은 면판의 표면으로부터 연장되는 몸체를 포함하며, 몸체는 인근 섹터를 통한 유체의 진행의 부리를 유지하도록 구성된다. 몸체는 면판의 표면 및 배플 플레이트의 표면 둘 모두로부터 연장될 수 있으며, 선택적으로, 몸체는 면판의 표면으로부터 연장되어 배플 플레이트의 표면을 향해 연장된다. 유체 분리 메커니즘은 일 실시예에서 인근 섹터들 사이에 배치된 주입 포트의 세트이다. 주입 포트는 제 1 및 제 2 유체 통로를 포함하며, 일 실시예에서, 제 2 유체 통로는 제 1 유체 통로 내에 배치된다. 주입 포트는 제 1 및 제 2 유체 통로를 포함할 수 있으며, 제 1 유체 통로는 장축을 가지며 제 2 유체 통로는 제 1 유체 통로 내에 배치되고 상기 장축을 따라 연장된다.
또 다른 실시예에서, 처리용 챔버와 함께 유체를 분배하는 샤워헤드가 제공된다. 샤워헤드는 독립적으로 복수의 유체 흐름을 받는 수단 및 상기 받은 복수의 유체 흐름을 분할된 섹터로 분배하는 수단을 포함한다. 분배 수단은 독립적으로 복수의 유체 흐름을 받는 수단과 결합된다. 분배 수단은 분할된 섹터에 따라 상기 받은 복수의 유체 흐름을 분배하는 수단을 통해 진행하는 복수의 유체 흐름의 분리 상태를 유지하는 수단을 포함한다. 분할된 섹터의 개수는 복수의 유체 흐름의 개수에 대응할 수 있다. 플레넘은 독립적으로 받는 수단과 분배 수단의 결합에 의해 정의될 수 있다. 분리 유지 수단은 분배 수단의 표면으로부터의 연장, 분배 수단의 표면으로부터 멀어지고 독립적으로 받는 수단의 표면으로부터 멀어지는 연장, 분배 수단의 표면으로부터 멀어지고 독립적으로 받는 수단의 표면을 향하는 연장으로 구성된 그룹으로부터 선택되고, 분리 상태 유지 수단은 분할된 섹터 사이로 유체를 진행시키는 수단을 포함한다. 분배 수단은 유체 통과를 위한 제 2 수단 내에 정의된 유체 통과를 위한 제 1 수단을 포함하며, 일 실시예에서, 상기 제 1 수단 및 제 2 수단은 장축을 공유한다.
또 다른 실시예에서, 기판 처리 방법이 제공된다. 상기 방법은 기판의 대응하는 분리된 섹터를 인근의 분할된 섹터가 노출되는 성분 컴포넌트와 다른 성분 컴포넌트의 혼합으로 동시적으로 노출하도록 상이한 성분 컴포넌트를 가지는 유량의 분리된 부분을 흘리는 단계를 포함한다. 상기 방법은 상기 기판의 분리된 섹터에 층을 증착하는 단계를 포함하며, 상기 층은 인근의 분리된 섹터에 증착된 층과 다르며 부분적으로 기판을 돌리는 단계를 포함한다. 흘리는 단계 및 증착하는 단계는 반복되며, 제 1 층의 분리된 섹터에 대응하는 세그먼트는 다음 층의 대응하는 세그먼트로부터 오프셋된다. 상기 방법은 세그먼트와 대응하는 세그먼트 간의 제조 파라미터를 변경하는 단계 및 PVD 작업을 통해 다음 층 위에 특징을 증착하는 단계를 포함하고, 제 1 층 및 다음 층의 증착은 ALD를 통해 수행된다. 일 실시예에서, 분리된 섹터는 제 1 수치를 가지며, 상기 특징은 제 2 수치를 가지며, 예컨대 제 1 수치는 원의 일부이고 제 2 수치는 원형이다. 증착 과정들 간의 부분적인 회전으로 인한 상이한 세그먼트 조합을 가지는 적층된 층은 상기 방법을 통해 생성된다. 일 실시예에서, 많은 상이한 세그먼트 조합은 유체 양의 많은 분리된 부분을 초과한다.
기판 처리 시스템이 제공된다. 상기 시스템은 기판의 대응하는 분리된 섹터를 인근의 분리된 섹터가 노출되는 성분 컴포넌트와 다른 성분 컴포넌트의 혼합으로 동시적으로 노출하도록 상이한 성분 컴포넌트를 구비하는 유량의 분리된 부분을 흘리는 수단을 포함한다. 기판 상의 분할된 섹터에 층을 증착하는 수단, 여기서 상기 층은 인근의 분할된 섹터에 증착된 층과 다르며, 상기 증착 수단은 포함되며, 상기 층 위에 다음 층을 증착하기 위해 기판을 부분적으로 회전하는 수단, 여기서 상기 층의 분할된 섹터들 중 하나에 대응하는 세그먼트는 다음 층의 대응하는 세그먼트로부터 오프셋되며, 상기 회전 수단도 포함된다. 세그먼트와 대응하는 세그먼트 간의 제조 파라미터를 변경하는 수단 및 PVD 동작을 통해 다음 층에 특징을 증착하는 수단이 포함된다. 분할된 섹터는 제 1 치수를 가질 수 있으며, 특징은 제 2 치수를 가질 수 있다. 상기 시스템은 증착 동작들 사이의 부분적인 회전으로 인해 상이한 세그먼트 조합을 가지는 적층된 층을 생성하는 수단을 포함하며, 많은 상이한 세그먼트 조합은 유량의 많은 분리된 부분을 초과한다.
기판에 물질을 증착하는 기판 처리 시스템이 제공된다. 상기 시스템은 처리용 챔버, 처리용 유체를 처리용 챔버에 유입시키는 유체 분배 시스템, 처리용 챔버와 유체를 교환하는 압력 제어 시스템, 처리용 챔버 내에 배치되는 회전가능한 지지 시스템, 유체 분배 시스템 및 압력 제어 시스템과 데이터를 교환하는 프로세서, 및 컨트롤러와 데이터를 교환하는 메모리를 포함한다. 메모리는 물질을 증착하기 위해 처리용 챔버의 조건들을 설정하도록 기판 처리 시스템의 동작을 제어하기 위해 프로세서에 의해 구동되는 프로그램을 저장한다. 프로그램은 지지 시스템의 표면의 대응하는 분리된 섹터를 인근의 분리된 섹터가 노출된 성분 컴포넌트와 다른 성분 컴포넌트의 혼합물에 동시적으로 노출하도록 다른 성분 컴포넌트를 가지는 유량의 분리된 부분을 흘리는 유체 분배 시스템의 동작을 제어하는 제 1 서브루틴을 포함한다. 분리된 섹터에 증착된 층은 인근의 분리도니 섹터에 증착도니 층과 다르며, 회전가능한 지지 시스템은 부분적으로 레이어 스택들 사이에서 회전하여 인그니의 레이어 스택은 상이한 세그먼트 조합에 의해 정의된다. 유체 분배 시스템은 프리커서 유체 및 캐리어 유체를 표면에 분배하는 유체 분배 장치를 포함하며, 유체 분배 장치는 면판을 통해 연장되는 주입 포트의 다수 세트를 구비하는 면판을 포함한다. 유체 분배 메커니즘은 다수의 세트의 주입 포트의 인근 세트를 통해 진행하는 다른 부분의 분리된 분리를 구현하도록 분배된다. 유체 분배 시스템은 프리커서 유체 및 캐리어 유체를 표면 상에 분배하는 유체 분배 장치를 더 포함하며, 유체 분배 장치는 면판을 통해 연장되는 다수의 세트의 주입 포트들을 구비하는 면판 및 다수의 세트의 주입 포트의 인근 세트를 통해 진행하는 다른 부분들의 분리를 유지하기 위한 면판으로부터 연장되는 몸체를 포함한다. 일 실시예에서, 다수의 세트 중 하나의 주입 포트는 면판의 중심 부분으로부터 그 주변까지 방사상으로 연장되는 라인을 따라 배열된다. 유체 분배 시스템은 처리용 유체를 표면의 제 1 측면으로 전달하고, 압력 제어 시스템은 처리용 유체를 처리용 챔버로부터 제 1 측면의 반대쪽에 배치된 표면의 측면으로부터 배출한다. 기판의 중앙 부분은 축을 기준으로 방사상으로 대칭적으로 배치되며, 유체 분배 시스템은 처리용 유체의 흐름을 생성하여 유량이 축을 기준으로 방사상으로 대칭적으로 분배되도록 한다. 유체 분배 시스템 및 압력 제어 시스템은 표면을 방사상으로 가로질러 유체의 단방향성 이동을 생성하도록 동작한다. 프로그램은 캐리어 유체 및 프리커서 유체가 처리용 챔버에 유입되도록 유체 분배 시스템의 동작을 제어하는 추가적인 서브-루틴을 더 포함하고, 캐리어와 프리커서 유체로부터 기판의 표면을 지나가는 추가적인 유량을 제공한다. 추가적인 유량의 다른 부분은 공통의 추가적인 성분 컴포넌트를 구비하며, 그 결과 기판의 분리된 섹터들 각각은 기판의 인근의 섹터가 노출된 추가적인 성분 컴포넌트와 동등한 추가적인 성붐 컴포넌트의 혼합물에 노출된다.
또 다른 실시예에서, 기판에 물질을 증착하는 방법이 제공된다. 상기 방법은 마주보는 표면들 중 하나의 분리된 영역을 마주보는 표면 중 하나의 인근 영역이 노출되는 처리용 유체의 성분 컴포넌트와 다른 처리용 유체의 성분 컴포넌트의 혼합물에 노출시키도록 기판의 마주보는 표면을 지나도록 처리용 유체를 흘리는 단계를 포함한다. 조건들은 영역들 중 적어도 하나의 표면에 가까운 장소(atmosphere)에서 설정되어, 처리용 유체로부터 물질을 생성한다. 상기 방법은 분리된 영역을 증착 및 세정 유체에 순차적으로 노출시키는 단계를 포함할 수 있다. 처리용 유체의 흐름은 다른 성분 컴포넌트를 가지는 처리용 유체의 부분들 간에 흐름 속도 및 압력 평형(pressure equilibration)을 사용하여 인근 영역에서 고립된다. 일 실시예에서, 기판은 처리용 유체의 흐름들 간에 회전된다. 상기 흐름은 마주보는 표면들 중 하나를 향하여 캐리어 기체의 제 1 흐름을 전달하는 단계를 포함하고, 마주보는 표면 중 하나에 인접한 상이한 성분 컴포넌트를 포함하는 처리용 우체의 고립을 유지하기 위해 각각의 압력을 가지는 프리커서를 포함하는 제 2 흐름은 제 1 및 제 2 흐름들 간에 실질적으로 동일하다. 일 실시예에서, 처리용 유체는 마주보는 표면 중 다른 하나에 대응하는 측면으로부터 배출되며, 측면으로부터의 배출에 대한 전달성은 마주보는 표면 중 하나에 걸친 전달성보다 더 크고, 그러한 설정은 처리용 유체의 흐름의 고립을 유지하는 흐름 속도를 생성한다. 상기 방법에서, 기판의 중앙 부분은 축을 기준으로 방사상으로 대칭적으로 배치되며, 흐름은 상기 축에 대해 방사상으로 대칭적으로 배치되도록 유량을 전달하는 단계를 더 포함한다.
또 다른 실시예에서, 반도체 처리 시스템이 제공된다. 상기 시스템은 복수의 컴포넌트를 포함하는 유체 서플라이를 포함하며, 이는 캐리어 유체 및 프리커서를 포함한다. 유체 서플라이는 복수의 컴포넌트의 서로 다른 혼합물을 저장하고 전달하도록 구성된다. 다수의 다른 챔버들이 배향된 중앙 프레임에 부착된 챔버가 포함된다. 챔버는 유체 서플라이와 유체를 교환하는 샤워헤드를 포함한다. 샤워헤드는 다른 성분 컴포넌트를 가지는 유체의 흐름을 받도록 구성되고, 컴포넌트의 분리를 유지한다. 기판 지지부 및 진공 메커니즘과 결합된 진공 주입구가 포함된다. 진공 주입구는 기판 지지부의 주변 영역에 인접한 전달성보다 더 큰 전달성을 가지며, 유체 서플라이는 실질적으로 동일한 각각의 압력으로 상이한 흐름을 제공하고, 진공 메커니즘은 유체의 흐름 분리가 기판 지지부에 인접한 영역에서 유지되도록 유체의 흐름 속도를 가능하게 한다. 일 실시예에서, 다른 챔버들 중 하나는 기판을 결합적으로 처리하도록 구성된 PVD(Physical Vapor Deposition) 모듈이다. 챔버는 유체 흐름의 넘치는 유량을 배출하도록 진공 소스와 유체를 교환하며, 진공 소스로의 주입구는 샤워헤드와 분리된다. 진공 소스로의 주입구의 전달성은 일 실시예에서 진공 소스의 주입구로의 유량의 흐름의 초과량에 대해 기판의 지지부의 주변을 둘러 정의되는 채널의 전달성보다 더 크다. 샤워헤드는 샤워헤드의 표면을 가로질러 바깥쪽으로 방사상으로 확장되는 유체 분리 메커니즘을 포함하며, 일 실시예에서는 주입 포트의 세트일 수 있다.
실시예는 기판에 물질을 형성하는 결합식 증착 방법을 포함한다. 상기 방법은 복수의 다른 유체 흐름을 샤워헤드의 대응하는 부분에 동시적으로 제공하는 단계를 포함한다. 유량은 복수의 상이한 유체 흐름으로부터 기판 상을 흘러 흐름 패턴을 형성하며, 기판의 고립된 영역은 상이한 성분 부분을 가지는 유량의 일부에 동시적으로 노출된다. 프로세스 조건들은 흐름 중 복수의 흐름들 중 하나로부터 물질을 증착하기에 적절하도록 유지된다. 샤워헤드의 대응하는 부분으로의 동일한 유체의 복수의 흐름이 제공되고 프로세스 조건은 기판의 다수의 고립된 영역 상을 흐르는 동등한 유체로부터 물질의 레이어를 증착하기에 적절하도록 유지된다. 상기 방법은 샤워헤드는 고정시키면서 적어도 하나의 고립된 영역의 노출을 변경하도록 유량의 흐름 패턴과 고립된 영역들 간의 공간적 관계를 변경하는 단계를 포함한다. 상기 변경 단계는 기판을 회전시키는 단계 또는 복수의 다른 유체의 흐름을 공급하는 밸브를 조절하는 단계 중 하나를 포함할 수 있다. 상기 방법은 공간적 광계를 순차적으로 변경하는 단계를 포함할 수 있으며, 그에 의해 기판 상의 증착된 물질의 적층된 층들을 생성한다. 일 실시예에서, 상기 변경 단계 후, 다수의 고립된 영역들은 다른 성분 컴포넌트에 노출되면서 고립된 영역들 중 하나는 세정된다. 고립된 영역들 중 하나에 대응하는 적층된 층의 세그먼트에서, 세그먼트는 공간적 관계의 변경으로 인해 상이한 물질층으로 구성된다. 흐름 도중 복수의 흐름 중 하나로부터 물질을 증착하기에 적절하도록 처리 조건들을 유지하는 단계 후, 상기 방법은 적층된 층의 다수의 세그먼트 상에 특징을 결합적으로 증착하는 단계를 포함하며, 상기 세그먼트는 고립된 영역들에 공간적으로 대응한다. 일 실시예에서, 고립된 영역의 수는 다른 유체의 흐름 수보다 크다. 다른 실시예에서, 인접한 고립된 영역들 간의 횡적 확산 영역은 인접한 고립된 영역들 간의 경계에 인접하게 유지된다. 각각의 방법 동작은 물질 증착과 물질층의 증착 사이에 진공 상태를 중단시키지 않으면서 공통된 챔버에서 수행될 수 있다.
다른 실시예에서, 결합식 증착 시스템이 제공된다. 상기 시스템은 복수의 상이한 유체 흐름을 처리용 챔버로 동시적으로 제공하는 수단, 흐름 패턴을 형성하도록 복수의 상이한 유체 흐름으로부터 유량을 기판 상에 흘리는 수단, 기판의 고립된 영역들을 상이한 성분 부를 가지는 유량의 일부에 동시적으로 노출시키는 수단을 포함한다. 상기 시스템은 흐름 도중 복수의 흐름 중 하나로부터 물질을 증착하기에 적합한 처리 조건들을 유지하는 수단 및 기판의 다수의 고립된 영역들 상에 실질적으로 물질의 균일한 층을 증착하는 수단을 포함한다. 일 실시예에서, 샤워헤드를 고정시키면서 고립된 영역들 중 적어도 하나의 노출을 변경하도록 유량의 흐름 패턴과 고립된 영역들 간의 공간적 관계를 변경하는 수단, 기판을 회전하는 수단, 처리용 챔버로의 복수의 흐름의 전달을 변경하는 수단이 포함된다. 흐름 수단은 분할된 샤워헤드일 수 있으며, 세그먼트의 수는 복수의 상이한 유체 흐름의 수와 동일하다. 처리용 챔버에서 배출시키는 수단이 포함되며, 처리용 챔버에서 배출시키는 수단은 제 1 전달성을 가지는 주입구를 포함하며, 상기 제 1 전달성은 처리용 챔버의 처리 영역으로의 접근을 가능하게 하는 채널의 전달성보다 크다. 흐름 패턴은 일 실시예에서 선대칭적인 흐름 패턴이다. 다른 실시예에서, 흐름 수단은 기판의 가장자리로부터 기판을 가로질러 선형적 표면 흐름을 제공한다. 처리용 챔버와 유체를 교환하는 압력 제어 수단 및 복수의 흐름을 동시적으로 제공하는 수단이 포함될 수 있으며, 압력 제어 수단은 기판의 표면을 방사상으로 가로질러 유량이 단방향으로 이동하도록 흐름을 생성한다. 복수의 흐름을 동시적으로 제공하는 수단은 캐리어 유체와 프리커서 유체가 처리용 챔버로 유입시키고, 캐리어 및 프리커서 유체로부터 상이한 성분 컴포넌트를 가지는 유량의 일부로 기판의 표면 상을 통과하는 유량을 제공하여, 기판의 다른 영역이 기판의 인근 영역으로 제공되는 성붐 컴포넌트의 혼합물과 다른 성분 컴포넌트의 혼합물이 노출되도록 한다. 다수의 흐름을 동시적으로 제공하는 수단은 캐리어 유체의 제 1 흐름 및 프리커서 유체의 제 2 흐름을 생성하도록 구성되며, 유량의 일부의 압력에 평형을 유지하도록 설정되는 제 1 및 제2 흐름의 상대적인 흐름율로 흐름 수단의 중앙 부분에 영향을 준다. 흐름 수단은 다수의 흐름의 유체 분리를 유지하는 수단, 흐름 수단의 인근 섹터들 간에 배치되는 유체 분리를 유지하는 수단을 포함하며, 유체 분리를 유지하는 수단은 흐름 수단의 중앙 부분으로부터 그 주변영역까지 방사상으로 연장되는 선을 따라 구성될 수 있다. 일 실시예에서, 유체 분리를 유지하는 수단은 흐름 수단의 인근 섹터들을 통한 유체 진행의 분리를 유지하도록 흐름 수단으로부터 연장되는 몸체를 포함한다. 기판의 중앙 부분은 축을 기준으로 방사상으로 대칭적으로 배치되며, 흐름 패턴은 일 실시예에서 축에 대해 방사상으로 대칭적으로 배치된다. 실질적으로 균일한 층이 복수의 흐름들 중 하나로부터 물질을 증착한 후 진공 상태를 중단시키지 않은 채 증착될 수 있다.
다른 실시예에서, 증착 시스템이 제공된다. 시스템은 복수의 유체 흐름을 처리용 챔버로 동시적으로 제공하도록 구성된 유체 전달 시스템, 및 상기 유체 전달 시스테모가 유체를 교환하는 샤워헤드를 포함한다. 샤워헤드는 상이한 성분 부분을 가지는 유량 또는 복수의 동등한 유체의 흐름으로부터의 유량의 분리된 부분으로 시스템의 표면을 동시적으로 노출시키는 흐름 패턴을 형성하도록 복수의 다른 유체 흐름으로부터 유량 중 하나를 분배하도록 구성된다. 시스템은 또한 처리용 챔버의 처리 조건들 간에 유량의 분리된 부분에서 인근 영역에 노출되는 성분 컴포넌트와 다른 성분 컴포넌트의 혼합물에 이르기까지 물질을 증착하거나 또는 다수의 동등한 유체 흐름으로부터 물질을 증착하는 것 중 어느 하나에 적합하도록 변경하는 컨트롤러를 더 포함한다. 처리용 챔버로의 주입구를 구비하는 진공 펌프, 처리용 챔버의 처리 영역으로의 접근을 제공하는 채널의 전달성보다 큰 전달성을 가지는 주입구가 포함될 수 있다. 흐름 패턴과 기판 지지부의 부분적인 회전을 통한 흐름 패턴 아래 놓이는 표면 간의 공간적 관계를 변경시키는 회전가능한 기판 지지부가 일 실시예에서 제공된다. 샤워헤드는 복수의 흐름의 수와 동일한 세그먼트의 수로 분할될 수 있다. 공간적 관계의 변경은 다수의 적층된 층들이 회전가능한 기판 지지부에 배치된 기판의 표면에 증착될 수 있도록 하며, 다수의 적층된 층들 중 두 개는 다수의 적층된 층들 중 둘 간의 공간적 관계의 변경으로 인해 서로 간에 부분적으로 오프셋된 대응하는 고립된 영역들을 가진다. 유체 전달 시스템은 시약 서브 시스템 및 프리커서 서브시스템을 포함하며, 유체 전달 시스템은 유량이 흐르는 표면에 대하여 유량의 공간적 변경을 가능하게 하는 매니폴드 시스템을 더 포함한다.
요약컨대, 상술한 실시예는 반도체 제조 과정에 대한 신속하고 효율적인 물질 스크리닝, 단일 프로세스 및 프로세스 시퀀스를 가능하게 한다. 다양한 층들이 여기에 기술된 ALD(Atomic Layer Deposition) 툴을 통해 서로의 상부 또는 둘의 조합으로 동일한 평면 내에서 결합적으로 기판의 표면 상에 증착될 수 있다. 일 실시예에서, 결합식 프로세스 시퀀싱은 종래의 프로세스 흐름으로부터 기판을 얻으며, 종래와 다른 방식, 즉 결합식(combinatorially)으로 기판에 다양한 구조 또는 장치를 도입한다. 하지만, 실제 구조 또는 장치는 분석으로부터 형성된다. 다시 말해, 층, 장치 구성요소, 트렌치 등은 종래의 프로세스를 통해 정의된 층, 장치 구성요소 등과 동등하다. 여기에 기술된 실시예는 평판 디스플레이, 광전 장치, 데이터 저장 장치, 자전 장치, 광자기 장치, 패키지된 장치 등을 위한 프로세스 과정 과 같은 반도체 제조 동작 또는 다른 결합된 기술과 함께 도입될 수 있다.
비록 본 발명은 특정 실시예에 대해 기술되었으나, 당업자는 다양한 변경이 본 발명의 범위 안에서 수행될 수 있음을 파악할 것이다. 예를 들어, 비록 네 개의 사분면이 도시되나, 임의의 개수의 사분면들이 제공될 수 있으며, 이는 증착 물질에 도입된 상이한 처리용 유체의 개수에 의존한다. 추가적으로, 성분 컴포넌트의 동종 혼합물(homogeneous mixture)을 가진 처리용 볼륨이 제공되어 처리용 챔버가 ALD 또는 CVD 레시피 중 어느 하나를 위한 표준 처리용 챔버로서 기능할 수도 있다. 따라서, 본 발명의 범위는 전술한 내용으로 제한되지 않을 것이다. 그보다는, 본 발명의 범위는 그 전범위의 균등물을 포함하는 이하 기술되는 청구범위를 기반으로 결정될 것이다.
10: 기판 처리 시스템
14: 하우징
16: 처리용 챔버
20: 진공 뚜껑 어셈블리
30,31,32,33: 통로
90: 샤워헤드
46: 히터/리프트 어셈블리
48: 지지용 받침대
49: 지지용 샤프트

Claims (17)

  1. 기판의 분리된 영역들을 인근 영역이 노출되는 성분 컴포넌트와 다른 성분 컴포넌트로 구성되는 혼합물에 동시적으로 노출시키도록, 상이한 성분 컴포넌트를 가지는 유량(fluid volume)의 다른 포션들(portions)을 사용하여 상기 기판의 표면 상에 유량을 흘리는 단계; 및
    다수의 흐름들을 통해 상이하게 처리된 분리된 영역들을 생성하는 단계;
    를 포함하는 결합식 기판 처리 방법.
  2. 제 1항에 있어서,
    상기 생성 단계는, 복수의 흐름 도중 물질을 생성하도록 상기 분리된 영역들 중 적어도 하나의 표면에 인접한 장소에 조건을 설정하는 결합식 기판 처리 방법.
  3. 제 1항에 있어서,
    상기 분리된 영역들을 처리용 및 세정용 유체에 순차적으로 노출시키는 단계를 더 포함하며, 상기 처리용 유체는 적어도 하나의 프리커서(precursor)를 포함하고 상기 세정용 유체는 캐리어 기체(carrier gas)이며, 상기 분리된 영역들 중 하나는 상기 흐름 도중 하나 또는 그 이상의 프리커서에 노출되는 결합식 기판 처리 방법.
  4. 제 1항에 있어서,
    다른 포션들로 구성된 인근 포션들을 물리적인 배리어 또는 유체의 흐름 중 하나로 분리하는 단계를 더 포함하는 결합식 기판 처리 방법.
  5. 제 4항에 있어서,
    인근 포션들을 분리하는 단계는 인근 포션들 간에 공유되는 주변 에지(peripheral edges)를 따르는 인접한 다른 포션들의 상호확산(interdiffusion)을 허용하고, 분리된 인근 포션들 중 나머지는 실질적으로 균일하게 처리되는 결합식 기판 처리 방법.
  6. 제 1항에 있어서,
    상기 다른 포션들의 분리 상태를 유지하도록 상기 유량의 다른 포션들 각각의 압력을 실질적으로 평형으로 유지하는 단계;
    비-처리 시간 주기(non-processing time periods) 동안 상기 유량을 흘리는 단계; 및
    상기 기판의 표면을 향하고 방사상으로 가로지르는 유체의 유체 흐름 각각에 대해 단방향성 이동을 생성하도록 유체 흐름을 배출시키는(evacuating) 단계;
    를 더 포함하는 결합식 기판 처리 방법.
  7. 제 1항에 있어서,
    진공 소스(vacuum source)을 향한 채널의 전달성(conductance)은 상기 기판의 주변을 둘러 정의되는 채널의 전달성보다 더 큰 결합식 기판 처리 방법.
  8. 제 1항에 있어서,
    상기 유량의 다른 포션들과 상기 기판의 분리된 영역들 간의 공간적 배향을 변경하는 단계; 및
    상기 흘리는 단계 및 상기 생성하는 단계를 반복하는 단계;
    를 더 포함하고, 상기 변경하는 단계는,
    상기 유량의 다른 포션들과 상기 기판의 분리된 영역들 간의 상대적인 각도 위치를 다수 회만큼 순차적으로 변경하는 단계를 포함하고, 상기 유량의 다른 포션들과 상기 기판의 분리된 영역들 간의 순차적인 상대적 각도 위치 각각은 기결정된 시간 동안 유지되는 결합식 기판 처리 방법.
  9. 제 1항에 있어서,
    상기 다른 포션들에 대한 압력을 실질적으로 평형으로 유지하도록 흐름 속도(flow velocities)를 통해 상기 다른 포션들로 구성된 인근 포션들을 고립시키는(isolating) 단계를 더 포함하는 결합식 기판 처리 방법.
  10. 유체 소스(fluid source)와 흐름을 교환하며, 상이한 성분 컴포넌트를 가지는 유체 흐름들(fluid flows)을 받는 다수의 주입구(inlets) 및 상기 유체 흐름들의 분리 상태를 유지하는 유체 분리 메커니즘을 구비하는 샤워헤드;
    기판 지지부; 및
    상기 기판 지지부에 의해 정의되는 영역을 향하고 방사상으로 가로지르는 방향성 유체 흐름을 유지하도록, 상기 샤워헤드와 상기 기판 지지부 간의 업스트림 영역보다 높은 전달성을 가지는 진공 소스;
    를 포함하는 결합식 기판 처리 챔버.
  11. 제 10항에 있어서,
    상기 기판 지지부에 배치되는 기판으로서, 상이한 성분 컴포넌트를 가지는 유량의 다른 포션들은 상기 기판의 분리된 영역들을 인근 영역들이 노출되는 성분 컴포넌트와 다른 성분 컴포넌트로 구성된 혼합물에 노출시키는 기판; 및
    상기 샤워헤드에 제공되는 상기 유체 흐름들의 각각의 압력을 평형으로 유지시킬 수 있어, 상기 기판의 인근 영역들이 노출되는 상기 유체 흐름들의 분리 상태가 유지되는 상기 챔버와 결합된 유체 전달 시스템;
    을 더 포함하는 결합식 기판 처리 챔버.
  12. 제 10항에 있어서,
    상기 진공 소스로의 주입구는 상기 기판 지지부의 아래 또는 상기 기판의 가장자리에 인접하는 위치 중 하나에 위치되는 결합식 기판 처리 챔버.
  13. 제 10항에 있어서,
    상기 유체 분리 메커니즘은 상기 샤워헤드의 표면을 가로질러 방사상으로 바깥쪽을 향해 연장되며, 상기 유체 분리 메커니즘은 상기 샤워헤드 내의 벽 중 하나이며, 벽은 상기 샤워헤드, 동심적으로(concentric) 배치된 포트, 또는 방사상으로 배열된 주입 포트의 세트로부터 상기 챔버로 연장되는 결합식 기판 처리 챔버.
  14. 처리용 챔버에서 결합식 처리를 수행하는 시스템에 있어서,
    상기 시스템의 다수의 유체 주입구를 공급하여, 상이한 흐름들이 상이한 성분 컴포넌트를 포함하도록 상기 유체 주입구에 대응하는 유체 흐름들을 제공하는 수단;
    기판 지지부;
    상기 다수의 유체 주입구로부터 상기 유체 흐름들을 분배하는 수단으로서, 상기 상이한 유체 흐름들이 상기 분배 수단과 상기 기판 지지부 간에 분리된 상태로 유지되도록 하는 분배 수단; 및
    결합식 처리를 야기하기 위해 상기 기판 지지부에 의해 정의되는 영역 상에 상이한 흐름들의 분리 상태를 유지시키도록 상기 챔버를 배출시키는 수단;
    을 포함하는 결합식 처리 수행 시스템.
  15. 제 14항에 있어서,
    상기 기판 지지부에 배치되는 기판을 더 포함하며, 상기 기판의 인근 영역들은 상기 상이한 유체 흐름들의 상이한 성분 컴포넌트에 노출되는 결합식 처리 수행 시스템.
  16. 제 14항에 있어서, 상기 유체 분배 수단은,
    상기 다수의 유체 주입구로부터 상기 유체 흐름들의 분리를 유지하는 수단; 및
    흐름 도중 상기 영역의 섹터를 하나 또는 그 이상의 프리커서에 노출시키는 수단;
    을 포함하는 결합식 처리 수행 시스템.
  17. 제 14항에 있어서,
    배출 수단은 상기 처리용 챔버 배출 수단을 위한 주입구에서, 상기 기판 지지부의 주변 에지를 둘러 정의되는 영역의 전달성보다 더 큰 전달성을 가지는 결합식 처리 수행 시스템.
KR1020107006875A 2007-09-05 2008-09-05 베이퍼 기반의 결합식 프로세싱 KR101534362B1 (ko)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US97019907P 2007-09-05 2007-09-05
US60/970,199 2007-09-05
US12/013,729 US8440259B2 (en) 2007-09-05 2008-01-14 Vapor based combinatorial processing
US12/013,759 2008-01-14
US12/013,759 US8334015B2 (en) 2007-09-05 2008-01-14 Vapor based combinatorial processing
US12/013,729 2008-01-14
PCT/US2008/075301 WO2009032958A1 (en) 2007-09-05 2008-09-05 Vapor based combinatorial processing

Publications (2)

Publication Number Publication Date
KR20100070339A true KR20100070339A (ko) 2010-06-25
KR101534362B1 KR101534362B1 (ko) 2015-07-06

Family

ID=40407928

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020107006875A KR101534362B1 (ko) 2007-09-05 2008-09-05 베이퍼 기반의 결합식 프로세싱

Country Status (7)

Country Link
US (4) US8334015B2 (ko)
EP (1) EP2186117A4 (ko)
JP (1) JP5535913B2 (ko)
KR (1) KR101534362B1 (ko)
CN (1) CN101842873B (ko)
TW (1) TWI398547B (ko)
WO (1) WO2009032958A1 (ko)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170051642A (ko) * 2015-10-30 2017-05-12 삼성전자주식회사 가스 공급 유닛 및 기판 처리 장치
KR20190013949A (ko) * 2016-05-27 2019-02-11 에이에스엠 아이피 홀딩 비.브이. 반도체 웨이퍼 처리 장치
KR20190015114A (ko) * 2017-08-04 2019-02-13 에이에스엠 아이피 홀딩 비.브이. 반응 챔버 내 가스 분배를 위한 샤워헤드 어셈블리 및 샤워헤드 어셈블리의 온도 균일성을 제어하는 방법

Families Citing this family (447)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8986456B2 (en) * 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
US8334015B2 (en) * 2007-09-05 2012-12-18 Intermolecular, Inc. Vapor based combinatorial processing
US8039052B2 (en) * 2007-09-06 2011-10-18 Intermolecular, Inc. Multi-region processing system and heads
KR101376336B1 (ko) * 2007-11-27 2014-03-18 한국에이에스엠지니텍 주식회사 원자층 증착 장치
US8129288B2 (en) * 2008-05-02 2012-03-06 Intermolecular, Inc. Combinatorial plasma enhanced deposition techniques
US8726838B2 (en) * 2010-03-31 2014-05-20 Intermolecular, Inc. Combinatorial plasma enhanced deposition and etch techniques
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
JP5131240B2 (ja) * 2009-04-09 2013-01-30 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
JP5336968B2 (ja) * 2009-07-30 2013-11-06 東京エレクトロン株式会社 プラズマ処理装置用電極及びプラズマ処理装置
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8883270B2 (en) * 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
KR101108879B1 (ko) 2009-08-31 2012-01-30 주식회사 원익아이피에스 가스분사장치 및 이를 이용한 기판처리장치
KR101625078B1 (ko) * 2009-09-02 2016-05-27 주식회사 원익아이피에스 가스분사장치 및 이를 이용한 기판처리장치
EP2501839B1 (en) * 2009-11-16 2016-01-27 FEI Company Gas delivery for beam processing systems
KR20110054840A (ko) * 2009-11-18 2011-05-25 주식회사 아토 샤워헤드 어셈블리 및 이를 구비한 박막증착장치
US8741394B2 (en) * 2010-03-25 2014-06-03 Novellus Systems, Inc. In-situ deposition of film stacks
US10658161B2 (en) * 2010-10-15 2020-05-19 Applied Materials, Inc. Method and apparatus for reducing particle defects in plasma etch chambers
US8845806B2 (en) * 2010-10-22 2014-09-30 Asm Japan K.K. Shower plate having different aperture dimensions and/or distributions
US9052065B2 (en) * 2010-12-01 2015-06-09 Gp Strategies Corporation Liquid dispenser
US20120149209A1 (en) * 2010-12-14 2012-06-14 Ed Haywood Process sequencing for hpc ald system
US8906160B2 (en) * 2010-12-23 2014-12-09 Intermolecular, Inc. Vapor based processing system with purge mode
US8746284B2 (en) 2011-05-11 2014-06-10 Intermolecular, Inc. Apparatus and method for multiple symmetrical divisional gas distribution
US9175391B2 (en) * 2011-05-26 2015-11-03 Intermolecular, Inc. Apparatus and method for combinatorial gas distribution through a multi-zoned showerhead
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US20120312234A1 (en) * 2011-06-11 2012-12-13 Tokyo Electron Limited Process gas diffuser assembly for vapor deposition system
US20120315396A1 (en) * 2011-06-13 2012-12-13 Intermolecular, Inc. Apparatus and method for combinatorial plasma distribution through a multi-zoned showerhead
US9175392B2 (en) * 2011-06-17 2015-11-03 Intermolecular, Inc. System for multi-region processing
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10066297B2 (en) * 2011-08-31 2018-09-04 Alta Devices, Inc. Tiled showerhead for a semiconductor chemical vapor deposition reactor
US9175393B1 (en) * 2011-08-31 2015-11-03 Alta Devices, Inc. Tiled showerhead for a semiconductor chemical vapor deposition reactor
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US20130125818A1 (en) * 2011-11-22 2013-05-23 Intermolecular, Inc. Combinatorial deposition based on a spot apparatus
US8609519B2 (en) * 2011-11-22 2013-12-17 Intermolecular, Inc. Combinatorial approach for screening of ALD film stacks
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US8900364B2 (en) * 2011-11-29 2014-12-02 Intermolecular, Inc. High productivity vapor processing system
US20130171350A1 (en) * 2011-12-29 2013-07-04 Intermolecular Inc. High Throughput Processing Using Metal Organic Chemical Vapor Deposition
US8846484B2 (en) 2012-02-15 2014-09-30 Intermolecular, Inc. ReRAM stacks preparation by using single ALD or PVD chamber
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US20130243971A1 (en) * 2012-03-14 2013-09-19 Applied Materials, Inc. Apparatus and Process for Atomic Layer Deposition with Horizontal Laser
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
TWI622664B (zh) 2012-05-02 2018-05-01 Asm智慧財產控股公司 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法
US8728832B2 (en) * 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
JP6255152B2 (ja) * 2012-07-24 2017-12-27 株式会社日立ハイテクノロジーズ 検査装置
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20140110764A1 (en) * 2012-10-19 2014-04-24 Intermolecular Inc. Method to control amorphous oxide layer formation at interfaces of thin film stacks for memory and logic components
US20140120735A1 (en) * 2012-10-31 2014-05-01 Macronix International Co., Ltd. Semiconductor process gas flow control apparatus
US8821985B2 (en) * 2012-11-02 2014-09-02 Intermolecular, Inc. Method and apparatus for high-K gate performance improvement and combinatorial processing
TWI480417B (zh) 2012-11-02 2015-04-11 Ind Tech Res Inst 具氣幕之氣體噴灑裝置及其薄膜沉積裝置
US9040465B2 (en) * 2012-11-19 2015-05-26 Intermolecular, Inc. Dielectric doping using high productivity combinatorial methods
US8821987B2 (en) * 2012-12-17 2014-09-02 Intermolecular, Inc. Combinatorial processing using a remote plasma source
US9023438B2 (en) 2012-12-17 2015-05-05 Intermolecular, Inc. Methods and apparatus for combinatorial PECVD or PEALD
US20140178583A1 (en) * 2012-12-20 2014-06-26 Intermolecular, Inc. Combinatorial Methods and Systems for Developing Thermochromic Materials and Devices
US20140178578A1 (en) * 2012-12-26 2014-06-26 Intermolecular, Inc. Barrier Layers for Silver Reflective Coatings and HPC Workflows for Rapid Screening of Materials for Such Barrier Layers
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
TW201443272A (zh) * 2013-02-20 2014-11-16 Applied Materials Inc 基板的壓差吸附之裝置與方法
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9209134B2 (en) * 2013-03-14 2015-12-08 Intermolecular, Inc. Method to increase interconnect reliability
TWI627305B (zh) * 2013-03-15 2018-06-21 應用材料股份有限公司 用於轉盤處理室之具有剛性板的大氣蓋
JP6134191B2 (ja) * 2013-04-07 2017-05-24 村川 惠美 回転型セミバッチald装置
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
JP6334880B2 (ja) * 2013-10-03 2018-05-30 Jswアフティ株式会社 原子層堆積装置および原子層堆積方法
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9269567B2 (en) * 2013-12-17 2016-02-23 Intermolecular, Inc. High productivity combinatorial processing using pressure-controlled one-way valves
US9087864B2 (en) 2013-12-19 2015-07-21 Intermolecular, Inc. Multipurpose combinatorial vapor phase deposition chamber
US20150184287A1 (en) * 2013-12-26 2015-07-02 Intermolecular, Inc. Systems and Methods for Parallel Combinatorial Vapor Deposition Processing
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
DE102014106523A1 (de) * 2014-05-09 2015-11-12 Aixtron Se Vorrichtung und Verfahren zum Versorgen einer CVD- oder PVD-Beschichtungseinrichtung mit einem Prozessgasgemisch
JP5837962B1 (ja) * 2014-07-08 2015-12-24 株式会社日立国際電気 基板処理装置、半導体装置の製造方法およびガス整流部
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
JP5808472B1 (ja) * 2014-09-24 2015-11-10 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、プログラムおよび記録媒体
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
JP6305314B2 (ja) * 2014-10-29 2018-04-04 東京エレクトロン株式会社 成膜装置およびシャワーヘッド
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10658222B2 (en) 2015-01-16 2020-05-19 Lam Research Corporation Moveable edge coupling ring for edge process control during semiconductor wafer processing
TW201634738A (zh) * 2015-01-22 2016-10-01 應用材料股份有限公司 用於在空間上分離之原子層沉積腔室的經改良注射器
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10954597B2 (en) * 2015-03-17 2021-03-23 Asm Ip Holding B.V. Atomic layer deposition apparatus
US10049862B2 (en) * 2015-04-17 2018-08-14 Lam Research Corporation Chamber with vertical support stem for symmetric conductance and RF delivery
KR102638572B1 (ko) * 2015-06-17 2024-02-21 어플라이드 머티어리얼스, 인코포레이티드 프로세스 챔버 내의 가스 제어
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9793097B2 (en) * 2015-07-27 2017-10-17 Lam Research Corporation Time varying segmented pressure control
US10957561B2 (en) * 2015-07-30 2021-03-23 Lam Research Corporation Gas delivery system
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
KR102420015B1 (ko) * 2015-08-28 2022-07-12 삼성전자주식회사 Cs-ald 장치의 샤워헤드
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10192751B2 (en) 2015-10-15 2019-01-29 Lam Research Corporation Systems and methods for ultrahigh selective nitride etch
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10825659B2 (en) 2016-01-07 2020-11-03 Lam Research Corporation Substrate processing chamber including multiple gas injection points and dual injector
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10147588B2 (en) 2016-02-12 2018-12-04 Lam Research Corporation System and method for increasing electron density levels in a plasma of a substrate processing system
US10438833B2 (en) 2016-02-16 2019-10-08 Lam Research Corporation Wafer lift ring system for wafer transfer
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US11411168B2 (en) 2017-10-16 2022-08-09 Akoustis, Inc. Methods of forming group III piezoelectric thin films via sputtering
US11411169B2 (en) 2017-10-16 2022-08-09 Akoustis, Inc. Methods of forming group III piezoelectric thin films via removal of portions of first sputtered material
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
JP6608332B2 (ja) * 2016-05-23 2019-11-20 東京エレクトロン株式会社 成膜装置
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10519545B2 (en) 2016-05-31 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Systems and methods for a plasma enhanced deposition of material on a semiconductor substrate
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11895920B2 (en) 2016-08-15 2024-02-06 Akoustis, Inc. Methods of forming group III piezoelectric thin films via removal of portions of first sputtered material
US10410832B2 (en) 2016-08-19 2019-09-10 Lam Research Corporation Control of on-wafer CD uniformity with movable edge ring and gas injection adjustment
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
TWI609720B (zh) * 2016-09-30 2018-01-01 漢民科技股份有限公司 應用於半導體設備之氣體噴射裝置
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) * 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
JP6376483B2 (ja) * 2017-01-10 2018-08-22 大日本印刷株式会社 蒸着マスクの製造方法、蒸着マスク装置の製造方法および蒸着マスクの良否判定方法
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP6640781B2 (ja) * 2017-03-23 2020-02-05 キオクシア株式会社 半導体製造装置
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
KR101880852B1 (ko) * 2017-05-16 2018-07-20 (주)어플라이드플라즈마 대기압 플라즈마 장치
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10927459B2 (en) 2017-10-16 2021-02-23 Asm Ip Holding B.V. Systems and methods for atomic layer deposition
US11856858B2 (en) 2017-10-16 2023-12-26 Akoustis, Inc. Methods of forming doped crystalline piezoelectric thin films via MOCVD and related doped crystalline piezoelectric thin films
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
JP7088732B2 (ja) * 2018-04-27 2022-06-21 株式会社堀場エステック 基板処理装置及び基板処理装置用プログラム
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
JP6575641B1 (ja) * 2018-06-28 2019-09-18 株式会社明電舎 シャワーヘッドおよび処理装置
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
KR102520811B1 (ko) * 2018-07-09 2023-04-12 다이니폰 인사츠 가부시키가이샤 증착 마스크의 양부 판정 방법, 증착 마스크의 제조 방법, 증착 마스크 장치의 제조 방법, 증착 마스크의 선정 방법 및 증착 마스크
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11834743B2 (en) 2018-09-14 2023-12-05 Applied Materials, Inc. Segmented showerhead for uniform delivery of multiple precursors
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US10903070B2 (en) 2018-09-28 2021-01-26 Lam Research Corporation Asymmetric wafer bow compensation by chemical vapor deposition
US10896821B2 (en) * 2018-09-28 2021-01-19 Lam Research Corporation Asymmetric wafer bow compensation by physical vapor deposition
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
DE102018126617A1 (de) * 2018-10-25 2020-04-30 Aixtron Se Schirmplatte für einen CVD-Reaktor
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
WO2020232458A1 (en) * 2019-05-10 2020-11-19 Akoustis, Inc. Methods of forming doped crystalline piezoelectric thin films via mocvd and related doped crystalline piezoelectric thin films
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN114144540B (zh) * 2019-07-26 2024-06-11 应用材料公司 用于在基板上形成膜的蒸发器腔室
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
DE102019129789A1 (de) * 2019-11-05 2021-05-06 Aixtron Se Verfahren zum Abscheiden einer zweidimensionalen Schicht sowie CVD-Reaktor
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210123128A (ko) * 2020-04-02 2021-10-13 삼성전자주식회사 반도체 장치의 제조에 사용되는 장치
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210128661A (ko) 2020-04-17 2021-10-27 삼성전자주식회사 반도체 장치
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
WO2021252019A1 (en) * 2020-06-10 2021-12-16 Sandisk Technologies Llc Method and apparatus for depositing a multi-sector film on backside of a semiconductor wafer
US11702750B2 (en) 2020-06-10 2023-07-18 Sandisk Technologies Llc Method and apparatus for depositing a multi-sector film on backside of a semiconductor wafer
US11473199B2 (en) 2020-06-10 2022-10-18 Sandisk Technologies Llc Method and apparatus for depositing a multi-sector film on backside of a semiconductor wafer
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
KR20220086716A (ko) * 2020-12-03 2022-06-23 램 리써치 코포레이션 웨이퍼 보우 (bow) 보상을 위한 배면 증착 및 국부 응력 조절
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (53)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US644039A (en) * 1898-08-29 1900-02-20 American Type Founders Co Flexible pipe.
US2778743A (en) * 1954-11-16 1957-01-22 Bell Telephone Labor Inc Method of making electrical carbonfilm resistors
CH640571A5 (fr) * 1981-03-06 1984-01-13 Battelle Memorial Institute Procede et dispositif pour deposer sur un substrat une couche de matiere minerale.
FR2628984B1 (fr) * 1988-03-22 1990-12-28 Labo Electronique Physique Reacteur d'epitaxie a planetaire
US6015503A (en) * 1994-06-14 2000-01-18 Fsi International, Inc. Method and apparatus for surface conditioning
JPH0945624A (ja) * 1995-07-27 1997-02-14 Tokyo Electron Ltd 枚葉式の熱処理装置
US6726776B1 (en) * 1995-11-21 2004-04-27 Applied Materials, Inc. Low temperature integrated metallization process and apparatus
US6090210A (en) * 1996-07-24 2000-07-18 Applied Materials, Inc. Multi-zone gas flow control in a process chamber
US5884412A (en) * 1996-07-24 1999-03-23 Applied Materials, Inc. Method and apparatus for purging the back side of a substrate during chemical vapor processing
US6294026B1 (en) * 1996-11-26 2001-09-25 Siemens Aktiengesellschaft Distribution plate for a reaction chamber with multiple gas inlets and separate mass flow control loops
US6190732B1 (en) * 1998-09-03 2001-02-20 Cvc Products, Inc. Method and system for dispensing process gas for fabricating a device on a substrate
US6565661B1 (en) * 1999-06-04 2003-05-20 Simplus Systems Corporation High flow conductance and high thermal conductance showerhead system and method
AU6336700A (en) * 1999-06-24 2001-01-09 Gadgil, Prasad Narhar Apparatus for atomic layer chemical vapor deposition
JP3645768B2 (ja) * 1999-12-07 2005-05-11 シャープ株式会社 プラズマプロセス装置
US6576062B2 (en) * 2000-01-06 2003-06-10 Tokyo Electron Limited Film forming apparatus and film forming method
US6444039B1 (en) * 2000-03-07 2002-09-03 Simplus Systems Corporation Three-dimensional showerhead apparatus
US6444040B1 (en) * 2000-05-05 2002-09-03 Applied Materials Inc. Gas distribution plate
US6911129B1 (en) * 2000-05-08 2005-06-28 Intematix Corporation Combinatorial synthesis of material chips
WO2002008487A1 (en) * 2000-07-24 2002-01-31 The University Of Maryland, College Park Spatially programmable microelectronics process equipment using segmented gas injection showerhead with exhaust gas recirculation
JP3946641B2 (ja) * 2001-01-22 2007-07-18 東京エレクトロン株式会社 処理装置
US20060191637A1 (en) * 2001-06-21 2006-08-31 John Zajac Etching Apparatus and Process with Thickness and Uniformity Control
US6902620B1 (en) * 2001-12-19 2005-06-07 Novellus Systems, Inc. Atomic layer deposition systems and methods
JP4074461B2 (ja) * 2002-02-06 2008-04-09 東京エレクトロン株式会社 成膜方法および成膜装置、半導体装置の製造方法
JP3762985B2 (ja) * 2002-03-25 2006-04-05 独立行政法人科学技術振興機構 プラズマ成膜方法
US6932871B2 (en) * 2002-04-16 2005-08-23 Applied Materials, Inc. Multi-station deposition apparatus and method
US20040129212A1 (en) * 2002-05-20 2004-07-08 Gadgil Pradad N. Apparatus and method for delivery of reactive chemical precursors to the surface to be treated
US6869641B2 (en) * 2002-07-03 2005-03-22 Unaxis Balzers Ltd. Method and apparatus for ALD on a rotary susceptor
US20040040503A1 (en) * 2002-08-29 2004-03-04 Micron Technology, Inc. Micromachines for delivering precursors and gases for film deposition
KR100497748B1 (ko) * 2002-09-17 2005-06-29 주식회사 무한 반도체소자 제조용 원자층 증착 장치 및 원자층 증착 방법
US6821563B2 (en) * 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
TW587139B (en) * 2002-10-18 2004-05-11 Winbond Electronics Corp Gas distribution system and method for the plasma gas in the chamber
US20040112540A1 (en) * 2002-12-13 2004-06-17 Lam Research Corporation Uniform etch system
US6930059B2 (en) * 2003-02-27 2005-08-16 Sharp Laboratories Of America, Inc. Method for depositing a nanolaminate film by atomic layer deposition
US8153281B2 (en) * 2003-06-23 2012-04-10 Superpower, Inc. Metalorganic chemical vapor deposition (MOCVD) process and apparatus to produce multi-layer high-temperature superconducting (HTS) coated tape
JP4306403B2 (ja) * 2003-10-23 2009-08-05 東京エレクトロン株式会社 シャワーヘッド構造及びこれを用いた成膜装置
WO2005124859A2 (en) * 2004-06-10 2005-12-29 Avansys, Inc. Methods and apparatuses for depositing uniform layers
US8084400B2 (en) * 2005-10-11 2011-12-27 Intermolecular, Inc. Methods for discretized processing and process sequence integration of regions of a substrate
KR100558922B1 (ko) * 2004-12-16 2006-03-10 (주)퓨전에이드 박막 증착장치 및 방법
US7228645B2 (en) * 2005-01-11 2007-06-12 Xuyen Ngoc Pham Multi-zone shower head for drying single semiconductor substrate
US20060269690A1 (en) * 2005-05-27 2006-11-30 Asm Japan K.K. Formation technology for nanoparticle films having low dielectric constant
JP4356113B2 (ja) * 2005-08-08 2009-11-04 セイコーエプソン株式会社 製膜方法、パターニング方法、光学装置の製造方法、および電子装置の製造方法
DE102005055468A1 (de) * 2005-11-22 2007-05-24 Aixtron Ag Verfahren zum Abscheiden von Schichten in einem CVD-Reaktor sowie Gaseinlassorgan für einen CVD-Reaktor
CA2662594A1 (en) * 2006-09-08 2008-03-13 Tokuyama Corporation Method and apparatus for producing a group iii nitride
WO2008088743A1 (en) * 2007-01-12 2008-07-24 Veeco Instruments Inc. Gas treatment systems
US8334015B2 (en) * 2007-09-05 2012-12-18 Intermolecular, Inc. Vapor based combinatorial processing
US7976631B2 (en) * 2007-10-16 2011-07-12 Applied Materials, Inc. Multi-gas straight channel showerhead
JP5192214B2 (ja) * 2007-11-02 2013-05-08 東京エレクトロン株式会社 ガス供給装置、基板処理装置および基板処理方法
US20090159213A1 (en) * 2007-12-19 2009-06-25 Applied Materials, Inc. Plasma reactor gas distribution plate having a path splitting manifold immersed within a showerhead
US20090159002A1 (en) * 2007-12-19 2009-06-25 Kallol Bera Gas distribution plate with annular plenum having a sloped ceiling for uniform distribution
US8293013B2 (en) * 2008-12-30 2012-10-23 Intermolecular, Inc. Dual path gas distribution device
US20100263588A1 (en) * 2009-04-15 2010-10-21 Gan Zhiyin Methods and apparatus for epitaxial growth of semiconductor materials
US8968473B2 (en) * 2009-09-21 2015-03-03 Silevo, Inc. Stackable multi-port gas nozzles
US20110083735A1 (en) * 2009-10-13 2011-04-14 Ips Ltd. Solar cell and method of fabricating the same

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170051642A (ko) * 2015-10-30 2017-05-12 삼성전자주식회사 가스 공급 유닛 및 기판 처리 장치
KR20190013949A (ko) * 2016-05-27 2019-02-11 에이에스엠 아이피 홀딩 비.브이. 반도체 웨이퍼 처리 장치
KR20190015114A (ko) * 2017-08-04 2019-02-13 에이에스엠 아이피 홀딩 비.브이. 반응 챔버 내 가스 분배를 위한 샤워헤드 어셈블리 및 샤워헤드 어셈블리의 온도 균일성을 제어하는 방법

Also Published As

Publication number Publication date
US8409354B2 (en) 2013-04-02
US20120090545A1 (en) 2012-04-19
JP5535913B2 (ja) 2014-07-02
US8334015B2 (en) 2012-12-18
KR101534362B1 (ko) 2015-07-06
WO2009032958A1 (en) 2009-03-12
US20090061083A1 (en) 2009-03-05
TWI398547B (zh) 2013-06-11
JP2010538498A (ja) 2010-12-09
US20090061646A1 (en) 2009-03-05
CN101842873B (zh) 2012-06-13
CN101842873A (zh) 2010-09-22
US8440259B2 (en) 2013-05-14
EP2186117A1 (en) 2010-05-19
US20090061644A1 (en) 2009-03-05
TW200932944A (en) 2009-08-01
EP2186117A4 (en) 2016-07-06

Similar Documents

Publication Publication Date Title
KR101534362B1 (ko) 베이퍼 기반의 결합식 프로세싱
US10998187B2 (en) Selective deposition with atomic layer etch reset
US6773507B2 (en) Apparatus and method for fast-cycle atomic layer deposition
TWI713551B (zh) 以peald形成氮化鋁基膜的方法
JP4629110B2 (ja) 薄膜蒸着装置及び方法
US20200087786A1 (en) Multi-cycle ald process for film uniformity and thickness profile modulation
US20070218701A1 (en) Semiconductor-processing apparatus with rotating susceptor
JP2007247066A (ja) 回転サセプタを備える半導体処理装置
US20030194493A1 (en) Multi-station deposition apparatus and method
US11725278B2 (en) Systems and methods for a plasma enhanced deposition of material on a semiconductor substrate
US8906160B2 (en) Vapor based processing system with purge mode
US10472719B2 (en) Nozzle and substrate processing apparatus using same
WO2019118841A1 (en) Selective atomic layer deposition of ruthenium
US20240038539A1 (en) Selective processing with etch residue-based inhibitors
KR20140041021A (ko) Cvd 모드와 ald 모드를 구비한 증착장치
KR20110006874U (ko) 다중막의 증착을 위한 원자층 증착장치
US11834745B2 (en) Spatial atomic layer deposition
US20230215709A1 (en) Remote plasma unit and substrate processing apparatus including remote plasma
KR20230106110A (ko) 원격식 플라즈마 유닛 및 원격식 플라즈마 유닛을 포함하는 기판 처리 장치
KR20060029554A (ko) Ald 다원계 박막증착방법
KR20200056047A (ko) 기판처리장치 및 이를 이용한 기판처리방법
KR20120066851A (ko) 박막 증착방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right