TWI276700B - Atomic layer deposition of nanolaminate film - Google Patents

Atomic layer deposition of nanolaminate film Download PDF

Info

Publication number
TWI276700B
TWI276700B TW093104977A TW93104977A TWI276700B TW I276700 B TWI276700 B TW I276700B TW 093104977 A TW093104977 A TW 093104977A TW 93104977 A TW93104977 A TW 93104977A TW I276700 B TWI276700 B TW I276700B
Authority
TW
Taiwan
Prior art keywords
metal
precursor
nitrate
containing precursor
oxide
Prior art date
Application number
TW093104977A
Other languages
English (en)
Other versions
TW200424348A (en
Inventor
Jr John F Conley
Yoshi Ono
Rajendra Solanki
Original Assignee
Sharp Kk
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sharp Kk filed Critical Sharp Kk
Publication of TW200424348A publication Critical patent/TW200424348A/zh
Application granted granted Critical
Publication of TWI276700B publication Critical patent/TWI276700B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45529Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making a layer stack of alternating different compositions or gradient compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28185Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation with a treatment, e.g. annealing, after the formation of the gate insulator and before the formation of the definitive gate conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28202Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation in a nitrogen-containing ambient, e.g. nitride deposition, growth, oxynitridation, NH3 nitridation, N2O oxidation, thermal nitridation, RTN, plasma nitridation, RPN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3141Deposition using atomic layer deposition techniques [ALD]
    • H01L21/3142Deposition using atomic layer deposition techniques [ALD] of nano-laminates, e.g. alternating layers of Al203-Hf02
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/66583Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with initial gate mask or masking layer complementary to the prospective gate location, e.g. with dummy source and drain contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02194Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing more than one metal element
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31616Deposition of Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31645Deposition of Hafnium oxides, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4908Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET for thin film semiconductor, e.g. gate of TFT
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Nanotechnology (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)
  • Semiconductor Memories (AREA)

Description

1276700 (1) 玖、發明說明 【發明所屬之技術領域】 本發明係關於一種可藉使用含金屬硝酸鹽前驅物做爲 另一含金屬前驅物之氧化劑以使金屬氧化物奈米疊層膜沉 積的原子層沉積法(ALD )。本發明可用於多個應用中, 包括電光學構件、光學塗膜、及冶金和生物醫學應用之鈍 化塗膜。特定言之,本發明係關於積體電路之製造,更特 定而言’本發明係關於半導體電晶體之閘極介電質以及記 憶體電路之電容器介電質。 【先前技術】 在積體電路中,半導體裝置內之介電物質有場效應電 晶體(FET )之閘極介電質,及動態存取記憶體(DRAM )之電容器介電質。這些介電質的尺度規格乃直接和半導 體裝置之性能有關。爲了達成更快速的回應及更複雜的功 能’目則積體電路之生產在所有方向、側邊尺寸及厚度上 是愈來愈短小。 動態存取記憶體(D R A Μ )裝置之性能係和存儲在電 容器內的電荷相關聯,其乃和面積、介電常數k成正比, 並與厚度成反比。當其尺寸減小時,爲了使高性能dram 裝置能維持足夠的電容量電荷,所以就需高度要求電容器 介電質具有高k介電質。 在施加電壓後,半導體裝置之速度是和場效應電晶體 (F E T )內之閘極介電質的回應成正比。而閘極介電質之 1276700 (2) 回應則和其介電常數k成正比,並與厚度t成反比。因此 ,對閘極介電質而言,薄且高k値之介電質就有著高度需 求。 閘極介電質之初原料係帶有介電常數約4之二氧化石夕 (S i Ο 2 )。當裝置之尺度規格持繪地按比例縮小時,二氧 化砂閘極介電質的厚度也就會到達1 . 5 - 2 n m的隧穿限度。 通常,小於1 .5nm之二氧化矽膜是不能用做爲FET裝置 內的閘極介電質,主要原因是直接隧穿電流的高滲漏會導 致過度的電力消耗。對此一相當薄的二氧化矽膜來說,也 會有其他製造及可靠度的利害關係,例如硼滲透、及電荷 射入傷害。 目前已硏究出很多高常數之介電質材料(高k介電質 ),以做爲二氧化矽的可能替代物,但由於許多其他的嚴 格要求如低滲漏電流、與矽基材形成良好的介面、製造程 序中低熱量預算、及裝置中高通道流動性,所以尙未發現 合適之替代。具潛力之候選物質包括二氧化鈦(Ti〇2 )、 氧化鉅(Tas Ο5,具有k値在9與2 7之間)、氧化鋁( A12 Ο 3,k値約9 )、氧化鉻(Z r 0 2,具有k値在1 0與2 5 之間)、二氧化飴(H f Ο 2,具有k値在1 〇與2 5之間)、 以及各種的組合物和混合物,如多層膜、多組份層、及奈 米疊層膜。 對閘極介電質應用中之高k介電物質而言,目前最具 領先的候選者是氧化銷和二氧化鈴。這些物質的整體介電 常數係約2 0至2 5,此乃意謂這些物質約5至6 n m厚度是 -6 - 1276700 (3) 相當於二氧化矽1 nm的厚度。 經由ALD沉積之氧化鉻薄膜展現了良好的絕緣特性 ,包括高介電常數及低滲漏度。然而,主要的關鍵是其無 法平滑地直接沉積在氫-端基的表面上’替代地’其便需 要一薄層之二氧化矽以啓動均一性。舉例之’可參考 Conley 等人之 “Atomic layer deposition of hafnium oxide using anhydrous hafnium nitrate”,Electrochemical and Solid-State Letters,5 期(5 月份),C 5 7 - 5 9 頁(2 0 0 2 年 )及其內列舉之參閱文獻。由於二氧化矽難以達成相當於 1 nm之厚度,所以並不需要薄的二氧化矽介面層。氫-端 基之矽表面是表示不含任何原始之二氧化矽的矽表面,且 其矽之懸盪鍵是以氫爲鏈端。此氫-端基之矽表面是標準 工業半導體淸潔程序中的結果。這些標準淸潔程序典型地 係將矽晶圓快速地浸入HF溶液中,以產生以氫爲鏈端之 矽表面,也就是所謂的氫鈍化作用。 對二氧化飴的硏究,顯示出在適當的條件下一平滑且 無定形之二氧化飴薄膜可直接沉積到氫-端基之矽表面上 °將5.7nm厚的二氧化鈴直接沉積至氫-端基之矽表面時 ’可達成10.5的介電常數及相當於2.1 nm厚度的電容量 。由於另一硏究顯示可進一步減低相對應厚度至〗nm會 更低’所以非常能符合期望。然而,沉積之二氧化給薄膜 仍然承受了各種問題。問題之一是其低結晶溫度將導致半 導體裝置製造過程甚低的熱量積聚。另一問題是,二氧化 f合與矽基材之介面品質相對於二氧化矽//矽介面時顯得相 1276700 (4) 對地不佳。當集成到半導體裝置構件時,此舉會引起低的 通道流動性。
目前已顯示,薄的絕緣體交替層膜可產生一能調整特 性之複合層膜(或奈米疊膜)。Zhang等人早先的硏究, “High permittivity thin film n a η ο 1 a m i n a t e s ’’,Journal of Applied Physics,87 卷,4 期,2000 年 2 月 15 曰,顯示 了 Ta205-Hf02、Zr02- Hf02、及 Ta205 - Ζι·02 可展現依視 奈米疊層膜之厚度而定的不同特性。
早先的硏究也顯示高k介電質膜之特性可經由摻雜鋁 而改質。舉例之,M a等人之美國專利第6,0 6 0,7 5 5案號 u Aluminum-doped zirconium dielectric film transistor structure and deposition method for same”(其內容將倂 入本文供參考)揭示了以三價金屬如鋁摻入高介電常數之 物質如氧化鉻可使結晶溫度增高,如此所得之薄膜在高溫 處理條件下將維持無定形。摻雜鋁之氧化鉻薄膜可產生具 有更佳均一性但稍微低之介電常數的無定形膜。 除了主要方法之外,現代半導體裝置構件的基本沉積 法之一是化學蒸氣沉積法(CVD )。在CVD中,前驅物 氣體或蒸氣之組合物將在高溫下流過晶圓表面。然後,在 欲發生沉積的熱表面處會進行反應。在CVD沉積法中晶 圓表面之溫度係一重要因子,因爲其會影響前驅物的沉積 反應及沉積在大面積晶圓表面的均一性。典型地,CVD 需要在400至8 00 t的高溫。從均一性及不純度來看’低 溫下之CVD將易於產生低品質薄膜。爲了降低沉積溫度 -8 - 1276700 (5) ’可在富含電漿之化學蒸氣沉積法(p E c V D )中以電 量激發前驅物。CVD法中之前驅物及程序條件應小 擇以避免會導致粒子產生之氣相反應。CVD薄膜的 性也是程序條件的函數,且通常在非常薄的膜層下並 分良好。 另一已知悉爲原子層沉積法(ALD或ALCVD) 積技術在氣相反應及薄膜均〜性上比C V D技術有更 的增進。在ALD中,各前驅物蒸氣係以交替的順序 處理室內:前驅物、淸洗氣體、反應物、淸洗氣體, 驅物吸附在基材上,隨後再與反應物反應。ALD法 種的修正,但所有基本的ALD法都包含兩個明顯的 :交替地注入前驅物以及使前驅物吸附作用達到飽和 在ALD中,前驅物係傳送到室內再吸附於基材 上。吸附溫度比 CVD法的反應溫度還低,並且所吸 量對晶圓表面之溫度也較不敏感。然後關掉前驅物, 洗氣體送進室內以淸除該室容積內之所有殘留的前驅 接著,將反應物送入該室中與已吸附之前驅物進行反 形成所需的薄膜。然後,將另一淸洗氣體送進室內以 殘留在該室容積內之所有反應物蒸氣。藉由交替蒸氣 之前驅物及反應物,氣相反應的可能性會減至最低’ 允許在VCD技術中無法使用的較寬範圍之可行前驅 同時也由於吸附機制之故,所沉積之溥膜非常均勻’ 因爲一旦表面飽和後額外的前驅物及反應物將不再進 被吸附或反應,而會剛好用盡° 漿能 0ΒΕ J\j ^ 均一 不十 之沉 顯著 注入 讓前 有各 特性 〇 表面 附之 將淸 物。 應以 淸除 股流 並可 物。 此乃 —'步 -9- (6) 1276700 由於不同的沉積機制’ ald法中對前驅物之 和C V D法不盡相同。A L D法之前驅物必須具有自 效應,如此將只有單層的前驅物會吸附到基材上。 此一自我設限效應,所以每一循環只有一個單層] 層沉積,縱使在提供過多之前驅物或額外的時間之 ,額外的前驅物也無法沉積在該生成之層膜上。在 限模式中,ALD法所設定之前驅物必須能迅速地 欲沉積表面的鍵結位置上。一旦吸附後,前驅物就 反應物反應而形成所需薄膜。在CVD法中,前驅 應物是一起到達基材處,並從前驅物與反應物之反 續地沉積形成薄膜。CVD法中的沉積速率係與前 反應物的流速成正比,並且也與基材溫度成正 CVD法中,前驅物和反應物必須在欲沉積表面上 應以形成所需薄膜。 因而有很多可用之CVD前驅物並不適於做爲 驅物,反之亦然。所以,選擇A L D法所用之前驅 得重要或變得顯而易見。 硝酸鹽(Ν Ο 3 )配位子係一有功效的氧化劑及 ,其可強力地與許多化合物反應。Gates等人之美 弟 6,203,613 条號’ “Atomic layer deposition with containing precursors”揭示了 一可特定地使用金屬 並與氧化劑、硝化劑及還原輔反應物結合而各別沉 物、硝化物及金屬薄層的ALD方法。 與Gates等人相似地,其他公告文獻也顯示出 要求也 我設限 也因爲 获亞-單 情況下 自我設 吸附在 必需與 物和反 應中持 驅物和 比。在 同時反 ALD前 物就顯 氮化劑 國專利 nitrate 硝酸鹽 積氧化 藉使用 -10- 1276700 (7)
硝酸锆前驅物連同氧化劑如水、或甲醇的氧化銷ALD沉 積法,及藉使用硝酸飴前驅物連同氧化劑如水、或甲醇的 二氧化飴A L D沉積法。舉例之,可參閱0 n 0等人之美國 專利第 6,42 0,2 79 案號,“Method of using atomic layer depositon to deposite a high dielectric constant material on a substrate”,其內容將倂入本文供參考。然而,吾人 之硏究顯示出,經由硝酸飴與氧化劑所沉積之二氧化飴具 有比預期還低的介電常數,可能是由於二氧化給薄膜的富 氧特性所致。 所以,較有利的是減低二氧化飴的氧含量,才能增進 二氧化給薄膜之品質。 較有利的是在氫-端基之矽表面上使用硝酸鉛,以便 平滑均一地啓動二氧化給層膜。 較有利的是形成奈米疊層膜,以藉由改變組成份來修 正薄膜之特性。
較有利的是將氧化鋁摻入二氧化飴中,以便儘可能地 修正複合薄膜之特性,如介面品質及較低的滲漏電流。 較有利的是摻雜鋁不純物,以增加金屬氧化物的結晶 溫度。 【發明內容】 本發明係關於一種可在形成金屬氧化物奈米疊層膜期 間錯由使用第一個含金屬硝酸鹽之前驅物做爲第二個含金 屬前驅物之氧化劑的方法。 -11 - 1276700
本發明的目標之一係提供一種可藉使用含硝酸鹽之前 驅物做爲另一前驅物之氧化劑,以使氧化物奈米疊層膜沉 積的原子層沉積法。 本發明之另一目標係提供一種可藉使用含金屬硝酸鹽 之前驅物做爲另一含金屬前驅物之氧化劑,以使氧化物奈 米疊層膜沉積的原子層沉積法。 本發明之另一目標係提供一種可藉使用含硝酸飴之前 驅物做爲另一含鋁前驅物之氧化劑,以使二氧化飴/氧化 鋁奈米疊層膜沉積的原子層沉積法。 本發明之另一目標係提供一種可藉使用含硝酸飴之前 驅物做爲另一含鋁前驅物之氧化劑,以使二氧化飴/氧化 鋁奈米疊層膜沉積的原子層沉積法,而該奈米疊層膜可用 做爲半導體應用中之閘極介電質或電容器介電質。 由於ALD法之沉積技巧具有順序特徵,所以彼是沉 積奈米疊層膜的適當方法。可用於金屬氧化物奈米疊層膜 之沉積的習知 ALD方法需要四個各別的前驅物遞送(連 同適當的淸洗步驟),即導入第一個金屬前驅物,然後是 氧化劑以提供第一層金屬氧化物,接續地第二個金屬前驅 物,然後另一個氧化物以提供第二層金屬氧化物。第一層 金屬氧化物與第二層金屬氧化物則一起形成金屬氧化物奈 米疊層膜。 本發明係提供一可供金屬氧化物奈米疊層膜沉積之 A LD方法,彼只需要兩個各別的前驅物遞送(連同適當 的淸洗步驟),即導入第一個含金屬硝酸鹽之前驅物,然 -12- (9) 1276700 後第二個含金屬之前驅物。該含金屬硝酸鹽之前驅物係作 用爲該第二個金屬之氧化劑以便形成金屬氧化物奈米疊層 膜。 經由排除了氧化劑步驟,本發明可顯著地簡化沉積方 法。過程時間及系統遞送之繁複性也幾乎可減掉一大半。 再者,排除了各別的氧化步驟後更可讓金屬氧化物之 組成份有較佳的控制,進而增進奈米疊層膜之品質。先前 技藝中額外的氧化步驟,因含有豐富的氧氣(特別是與硝 酸鹽前驅物結合時),將易使金屬氧化物層富含氧。 本發明係說明在任一 ALD法中利用含金屬硝酸鹽之 前驅物做爲另一含金屬前驅物的氧化劑以使金屬氧化物奈 米疊層膜沉積。本發明中之金屬可爲任一金屬物質,如 IB 族(Ci〇 、IIB 族(Zn) 、IIIB 族(Y) 、IVB 族(Ti 、Ζι·、Hf) 、VB 族(V、Nb、Ta) 、VIB 族(Cr、Mo、 W ) 、VIIB 族(Mn) 、VIIIB 族(Co、Ni ) 、Ϊ11Α 族( A1、Ga、In ) > IVA 族(Ge、Sn )、或稀土族(La、Ce 、Nd、Sm、Gd )。奈米疊層膜一詞在本發明中係廣泛地 定義,其乃意於涵蓋具有不同物質之薄層膜或具有相同物 質之薄層膜。在本發明的某些方面,該來自於含金屬硝酸 鹽之BU驅物的金屬和該含金屬則驅物的金屬是不同的金屬 。因此,奈米疊層膜係包括多數個不同物質的薄層膜:來 自於含金屬硝酸鹽之前驅物的金屬氧化物及來自於含金屬 前驅物之不同金屬的氧化物。在本發明的某些方面,來自 於含金屬硝酸鹽之前驅物的金屬和來自於含金屬前驅物之 -13- 1276700 (10) 金屬可爲相同的金屬。因此,奈米疊層膜就可包括多數個 相同金屬氧化物質的薄層膜。這些取自相同金屬氧化物質 之薄層膜只能在沉積的時間點區分’亦即每一沉積循環只 沉積一層薄膜,而無法於沉積後辨別,也就是說,在沉積 完成後其中一層的薄膜是無法與另一層區分。
典型的金屬硝酸鹽具有化學式M (N03)x,其中Μ 係表示金屬物質,而x是Μ的價數。舉例之,若μ表示 具有4價之飴,則硝酸鉛之化學式爲Hf ( Ν〇3 ) 4。
本發明的主要目標係使含金屬硝酸鹽之前驅物作用爲 氧化劑,以控制導入處理室內之氧量,並簡化程序流程。 所以,在本發明範圍內只需在該含金屬硝酸鹽之前驅物中 保留至少一個硝酸鹽(Ν〇3 )配位子。在不影響本方法之 效益下,某些,但並非所有Ν〇3配位子是可被取代基R 取代的。使含金屬硝酸鹽之前驅物中的Ν〇3配位子還原 也可提供控制機制,進而控制導入處理室內的氧量,以便 調整所得之奈米疊層膜的特性。該經取代之前驅物可提供 前驅物具有修整之特性,如揮發性及熱安定性。 取代基R可爲多種配位子,例如氫,烴如甲基(C Η 3 )、乙基(C2H5) ’氧’含氧硝酸鹽如]Sf〇2、Ν2Ο5,經基 ,芳族基,胺,烷基,矽烷基,醇鹽,二酮,以及彼等之 任一混合物。 第二個含金屬前驅物可含有或不含氧。並可選擇另外 之含氧前驅物,以引導更多氧摻入,也可選擇非含氧之前 驅物以減少氧量。該含金屬前驅物可爲任一下列物質:金 -14- (11) 1276700 屬烷基類、金屬鹵化物、金屬二酮鹽、金屬醇 化物、金屬矽烷基類、金屬胺化物、金屬乙醯 金屬第三-丁醇鹽、金屬乙醇鹽及彼等之混合 〇 上述之第一個前驅物/淸洗/第二個前驅 A LD沉積順序可不斷重覆,直到所需厚度達 後之順序可爲第一個前驅物/淸洗/第二個前 的完整次序,或者只是一個前驅物/淸洗的半 後一個順序之選擇可些微修正沉積薄膜的頂部 在較佳具體實施例中,第一個金屬是飴或 發明係提供二氧化給/金屬氧化物奈米疊層膜 積法,彼係使用含硝酸給之前驅物做爲含金屬 化劑。該方法包括步驟爲,提供一含硝酸飴之 後淸除該硝酸飴前驅物,接著提供一含金屬前 地淸除該含金屬前驅物。交替的導入前驅物可 該含金屬前驅物之間產生反應,進而形成二氧 氧化物之奈米疊層膜。 在另一較佳具體實施例中,第一個金屬是 ,且第二個金屬是鋁金屬。本發明係提供二氧 銘奈米疊層膜之原子層沉積法,彼係使用含硝 物做爲含鋁前驅物的氧化劑。該方法包括步驟 含硝酸鈴之前驅物,然後淸除該硝酸耠前驅物 一含鋁前驅物並接續地淸除該含鋁前驅物。交 驅物可在硝酸給與該含鋁前驅物之間產生反應 鹽、金屬氫 基丙酮鹽、 物和組合物 物/淸洗的 成爲止。最 驅物/淸洗 套次序。最 表面。 鉻金屬。本 之原子層沉 前驅物的氧 前驅物,然 驅物並接續 在硝酸飴與 化給與金屬 飴或鉻金屬 化飴/氧化 酸給之前驅 爲,提供一 ,接著提供 替的導入前 ,進而形成 -15- (12) 1276700 二氧化飴與氧化鋁之奈米疊層膜。
在沉積二氧化給/氧化鋁奈米疊層膜以用於半導體應 用的另一較佳具體實施例中,本發明的第一個步驟係在曝 露於硝酸飴前驅物之前,先提供氫-端基之矽表面。硝酸 給前驅物與氫-端基之矽表面的組合可導致並啓動二氧化 給直接沉積於該氫-端基之矽表面上,而無需培育時間或 一薄層之二氧化矽介面層。接著,將基材曝露於一連串的 硝酸飴脈送中、接著是室內淸洗、然後是含鋁前驅物,接 下來是另一個室內淸洗。重複此一順序直至所需厚度達到 爲止,而最後步驟是含銘前驅物脈送/室內淸洗,或是硝 酸鈴脈送/室內淸洗。以沉積後退火處理繼續此製造過程 ,最近沉積一閘極電極物質。
該硝酸飴之前驅物可爲無水硝酸飴以使水含量減至最 低。而該含鋁前驅物可爲鹵化鋁如氯化鋁、或碘化鋁。此 含鋁前驅物也可爲有機金屬前驅物’如三甲基鋁或三乙基 金呂則驅物。 二氧化飴/氧化鋁奈米疊層膜之沉積作用可包括另一 步驟,亦即使基材加熱至2 0 0 °C以下之溫度’較佳地在 15〇t:與200°C之間,特定地是17〇°C。 室內淸洗步驟可使用任何惰性氣體如氬’或不活潑氣 體如氮氣來進行。 【實施方式】 圖]係一先前技藝方法的流程圖並顯示了使氧化物奈 -16- (13) 1276700 米疊層膜沉積之步驟。步驟1 0是在處理室內提供一基材 。該室及基材已設好條件以進行沉積方法,例如使氧化物 介面層生成(1 0a )。步驟1 1至1 4係提供兩層式氧化物 奈米疊層膜的第一個金屬氧化物。步驟1 1先提供第一個 金屬前驅物如氯化飴(HfCl4 )以使該前驅物吸附在基材 上。步驟1 2則提供淸洗步驟以除去在處理室內之前驅物 。步驟1 3乃提供該處理室第一個氧化劑如水、醇、氧、 或臭氧。此氧化物會與吸附的第一個金屬前驅物反應以形 成第一個金屬氧化物如二氧化飴。步驟1 4則提供淸洗步 驟,以氮氣除去氧化劑及副產物。然後,該兩層式氧化物 奈米疊層膜的第二個金屬氧化物將在步驟1 5至1 8中提供 。步驟15提供第二個金屬氧化物如氯化鋁(A1C13 )以使 此前驅物吸附於先前以沉積之基材表面上或在其上反應。 步驟1 6則提供淸洗步驟,以氮氣除去處理室內之前驅物 。步驟1 7則提供該處理室第二個氧化劑如水、醇、氧、 或臭氧。此氧化物會與吸附的第二個金屬前驅物反應以形 成第二個金屬氧化物如氧化鋁。步驟1 8乃提供淸洗步驟 ,以氮氣除去氧化劑及副產物。在步驟1 9中,重複步驟 1 1至1 8直到所需厚度達成,然後將此奈米疊層膜進行一 可選擇的退火步驟20以增進薄膜品質如薄膜密實化。 圖2係顯示利用含硝酸鹽之前驅物做爲第二個前驅物 之氧化劑以使氧化物奈米疊層膜沉積的本發明方法步驟。 步驟Π 〇是在處理室內提供一基材。該基材在開始沉積之 前已進行適當的準備。沉積通常是在低壓下發生,典型地 -17- (14) 1276700 係在1 Torr壓力下,所以該 約在數毫托範圍內以減少可能 度以利於第一個前驅物之吸附 係在1 5 0至2 0 0 °C之間。爲了 是設定在比基材溫度還更低的 凝,該室壁也需處於大約和前 型地在5 0 °C至1 〇 〇 °C之間。對 8 8 °C,而對處理室就沒有特別 原子層沉積技巧,此乃意謂著 以該室之體積最好是小一點以 飽和,及能快速地淸除前驅物 前驅物也需先製備。此沉 的前驅物依序地運送到處理室 適當溫度以便有很好的蒸氣壓 體前驅物而言,乃表示此前驅 溫是較適當的。對液體前驅物 溫下爲液態,所以前驅物溫度 蒸氣壓而定。高揮發性液體前 蒸氣壓,所以,爲了維持良好 低溫下是較適當的。而低揮發 設定。溫度較高時,蒸氣壓也 較容易。然而,溫度應設定得 受損害如熱分解)還低。 待處理室及基材預備好後 室需以泵抽低至基本壓力, 的污染。需要預備好基材溫 。最佳吸附作用的典型溫度 防止在室壁上沉積,該室壁 溫度。而爲了避免前驅物冷 驅物蒸氣相同的溫度下,典 硝酸飴而言適當的溫度是約 的設定。由於此方法是使用 有一依序的前驅物脈送,所 加速前驅物在此室容積內的 〇 積技巧係使用化學蒸氣方式 內。所以,前驅物需維持在 ,能傳送到處理室中。對氣 物在室溫下爲氣態,所以室 而言,乃表示此前驅物在室 之設定應依此前驅物的平衡 驅物如醇及丙酮具有高平衡 的蒸氣壓,設定在約室溫的 性液體前驅物就需要高溫度 較高,因此前驅物的傳送也 比最大溫度(此時前驅物會 ,在下一個步驟Π]中導入 -18- (15) 1276700
第一個含金屬硝酸鹽之前驅物。此前驅物含有若千與第一 個金屬鍵結之硝酸鹽配位子N〇3。視第一個金屬的鍵價而 定,硝酸鹽配位子數目可在1個硝酸鹽配位子至塡滿該金 屬鍵價之最大配位子數中變化。舉例之,含硝酸飴之前驅 物可含有1至4個硝酸鹽配位子。本發明之基本槪念係使 用硝酸鹽配位子作用爲氧化劑,所以,只需要一個硝酸鹽 配位子。硝酸鹽配位子在前驅物中的實際數目可做爲控制 因子以使摻入奈米疊層膜內之氧量最佳化。
在讓第一個含金屬硝酸鹽之前驅物完全吸附於基材上 達一適當時間後,將處理室內的前驅物淸除乾淨。吸附特 性會自我受限,所以前驅物不會使一層以上的單層膜吸附 在基材表面,典型地是只有一層亞-單層會吸附上去。此 步驟的典型時間係數秒至數分鐘,端視處理室之設計、室 容積、及基材布局的複雜性而定。在利用蓮蓬頭傳送的處 理室設計中,前驅物的行程很短’且對基材所有面積而言 是相當均勻,所以使前驅物沉積在基材上之完全吸附時間 的過程很短。對照下,若前驅物是設計成從處理室之一端 傳送而在另一端耗盡時’前驅物的損耗效果會延長吸附時 間。類似地,較小的室容積可提供較短的吸附時間’此乃 因爲會有較迅速的室飽受作用。基材之布局也會影響吸附 時間。在具有深壕溝之構件中,前驅物損耗效果及前驅物 傳送效果也會延長吸附時間。 待第一個含金屬硝酸鹽之前驅物吸附於基材上之後, 關掉此前驅物,然後淸洗處理室以預備步驟n 2之第二個 -19- (16) 1276700 前驅物的導入。淸洗可藉由抽吸步驟排空處理 前驅物而達成目的。抽吸步驟之特徵是減低室 所有氣體。淸洗也可藉由置換步驟利用非反應 氣或惰性氣體以推出所有在處理室內之前驅物 之特徵是配合關掉前驅物並打開淸洗氣體以維 淸洗步驟中可使用此兩種步驟之組合,此乃表 抽空步驟,接著使用氮氣或氬氣之置換步驟。 淸洗時間愈長,則除去前驅物就更完全, 下降。經濟效益上之理由指出了最短的淸洗時 最佳的淸洗時間,是前驅物殘留物的存在不可 序,並且不用規定完全除去前驅物。 在室內排除含硝酸鹽之前驅物後,於步驟 二個含金屬前驅物導入處理室內。該已吸附之 是來自第一個前驅物之第一個金屬氧化物或是 子或者是此二者,將與第二個前驅物中之第二 以便在兩層式氧化物奈米疊層膜中形成第一個 和第二個金屬氧化物。如圖]所示,先前技藝 米疊層膜的已知方法是使用如水、氧氣、醇、 化劑以形成金屬氧化物,所以需要8個各別的 洗/氧化劑/淸洗/前驅物/淸洗/氧化劑/ ,取而代之地,本發明只需要4個脈送:有氧 前驅物/淸洗/前驅物/淸洗。 奈米疊層膜形成後,可在步驟Π 4中淸除 第二個前驅物。再次,此淸洗步驟可爲抽空步 室中現存之 壓以便排空 性氣體如氮 。置換步驟 持室壓。在 示可先使用 但通過量會 間,所以, 影響整個程 1 1 3中將第 物質,不管 硝酸鹽配位 個金屬反應 金屬氧化物 之氧化物奈 或臭氧之氧 前驅物/淸 淸洗之脈送 化劑能力之 處理室內的 驟、或置換 -20 - Ϊ276700 (17) 步驟、或此二者步驟之組合。 在步驟1 1 5中,重複上述之4個步驟n丨、丨]2、1 1 3 及】1 4直到所需之厚度達成。然後,在步驟I〗6中,於較 高溫度下,如4 0 0至100(rc,使所得之奈米疊層膜退火 ’以增進薄膜品質。 圖3係利用硝酸鈴前驅物做爲氧化劑以使奈米疊層膜 沉積的流程圖。步驟1 20是在處理室內提供一基材。該基 材或該室需適當地準備以供原子層沉積法之用。下一步驟 1 2 1是導入含有4個硝酸鹽配位子之硝酸飴前驅物Hf ( N〇3 ) 4。待硝酸給前驅物吸附於基材後,關掉此前驅物, 然後在步驟122中淸洗處理室。接著,在步驟123中將一 含金屬前驅物導入於處理室內。含金屬前驅物中之金屬將 與已吸附之物質反應而生成二氧化飴/金屬氧化物奈米疊 層膜購件。 該含金屬前驅物係經選擇以便與硝酸飴反應。典型的 含金屬前驅物有鹵化鋁,如氯化鋁或碘化鋁。其他可行之 鋁前驅物包括有機金屬前驅物如三甲基鋁、或三乙基鋁。 在二氧化給/金屬氧化物奈米疊層膜形成後,於步騾 1 2 4中,淸除處理室內之含金屬前驅物。於步驟1 2 5中重 複上述之4個步騾1 2】、1 2 2、1 2 3及1 2 4直到所需之厚度 達成。然後,在步驟1 2 6中,於較高溫度下,如4 0 0至 1 0 0 0 °C,使所得之二氧化飴/金屬氧化物奈米疊層膜退火 ,以增進薄膜品質。 圖4係於半導體製程中利用硝酸給前驅物及鋁前驅物 -21 - 1276700 (18) 以使二氧化鈴/氧化鋁奈米疊層膜沉積的方法流 驟1 3 0係於原子層沉積處理室內提供半導體基4 封端的矽表面。 半導體基材已進行所有符合高k介電膜之電 序。在高k電容器介電質之例子中’需預備好基 底面電極。在高k閘極介電質之例子中,需預備 可接收閘極介電質。此一製備包括裝置隔離構件 閘極圖案形成步驟(若使用金屬閘極程序時)。 備半導體基材使具有氫-封端之矽表面。此氫-封 面是一來自許多標準工業半導體淸洗過程的產物 準淸洗過程典型地有,將矽晶圓快速浸入HF溶 任何矽表面上之原始氧化物’進而產生以氫爲鏈 面。此氫·封端之矽表面必須除掉所有可能減低B 質之k値的微量原始二氧化矽。實驗顯示硝酸給 氫-封端之矽表面的組合可造成如下之結果,即 時間及一薄的二氧化矽介面層便可啓動二氧化飴 在該氫-封端之矽表面上。 待基材及處理室已爲原子層沉積法而適當準 下一個步驟131中導入硝酸飴前驅物Hf(N03 酸鉛前驅物吸附於基材上之後,關掉此前驅物, 驟1 3 2中將其淸除吹掃出處理室。接著,在步驟 一含鋁前驅物導入處理室中。該含鋁前驅物內之 吸附之物質反應而產生二氧化飴/氧化鋁奈米疊 。待二氧化飴/氧化鋁奈米疊層膜形成後,於步 程圖。步 Ϊ上之氫- 路製作程 材使具有 好基材使 之形成及 然後,製 端之矽表 。這些標 液以除去 端之石夕表 δ k介電 則驅物與 無需培育 直接沉積 備後,在 > 4。在硝 然後於步 1 3 3中將 鋁將與已 層膜構件 驟1 34中 -22- (19) 1276700 淸除處理室內之含鋁前驅物。在步驟1 3 5中重複上述之4 個步驟1 3 1、I 3 2、1 3 3及1 3 4直到所需之厚度達成。然後 ,在步驟1 3 6中,於較高溫度下,如4 0 0至1 〇 〇 〇 t,使 所得之二氧化給/氧化鋁奈米疊層膜退火,以增進薄膜品 質。
圖5 a - 5 d係顯示於半導體閘極介電質應用之沉積步驟 。圖5 a係表示在沉積閘極介電質前的部份處理之積體電 路(I C )裝置構件。圖示之構件可依任何製造方法形成。 圖5 a所示之構件可用於一替代性閘極方法,其包括矽基 材141、界定閘極堆疊圖案之場氧化物142 '及氫·封端之 矽表面1 40。在沉積高k閘極介電質前的最後一個步驟是 將此砂表面曝露於H F中以製備氫-封端之表面。曝露於 HF中可表示爲浸入液體HF槽內,或曝露於HF蒸氣中。 HF將蝕刻所有原始氧化砂以留下氫-封端之表面。
圖5b係顯示脈送硝酸飴Hf ( Ν〇3 ) 4前驅物後的ic 裝置構件。硝酸飴脈送後,無需培育時間或一薄的二氧化 矽介面層即可啓動二氧化紿直接沉積在該氫-封端之表面 上。此圖只顯現示意性代表的二氧化飴層1 4 3。實際的氧 化飴層可能會或不會連貫遍地及整個表面。同時該等硝酸 鹽配位子也可能會或不會在此二氧化給層上。 圖5 c表示在氮淸洗以淸除處理室內之硝酸飴並脈送 一氯化鋁A1C13前驅物後的1C裝置構件。當氯化鋁脈送 後就可形成一氧化鋁層1 4 4。氯化鋁前驅物之目的是要產 生一氧化鈴/氧化銘奈米疊層膜。 -23- (20) 1276700 圖5 d是顯示經過另一硝酸飴脈送而沉積另一層二氧 化鉛層1 4 3,並經另一氯化鋁脈送而沉積另一層氧化鋁層 1 44 ’之後的1C裝置構件。重複此一次序直到奈米疊層膜 達成所需厚度。然後’使此製造過程持續進行沉積後退火 處理,再沉積一閘極物質如閘極金屬。接著進行化學機械 磨光(C Μ P ) 步驟以形成閘門堆疊式構件。 依循圖5a-5d所述之過程進行1〇次Hf(N03) 4/ A 1 C 13之循環可沉積二氧化給/氧化鋁奈米疊層膜。沉積 後退火條件是在氮氣中8 5 0 °C下60秒。在沉積後及沉積 後退火步驟之後,該薄膜在視覺上是很均勻的。分光鏡橢 圓光度法測量顯示退火後厚度爲4nm,此乃表示每一循環 可生成〇 · 4 n m。另一經由2 0次循環所沉積之二氧化給/ 氧化銘奈米疊層膜,退火後發現約有1 5.2 n m厚,相當於 每一循環生成〇.76nm。此沉積速率範圍比先前報告之Hf (N〇3 ) 4/ H20或A1 ( CH3 ) 3 / H20沉積作用還高約3 至5倍。 圖6係顯示利用本發明方法所沉積之4nm二氧化飴 /氧化鋁奈米疊層膜其電容量對偏壓的曲線圖。經由陰 影障板噴鍍鉑(Pt )小點以形成電容器。由於鉑金屬閘極 的功函,所以閥値電壓會改變。算出Pt功函,一電容性 相對應之厚度(C E T ) 2 · 6 n m就可從C m a x (在-1 . 2 V之偏 壓下)中獲得。此CET .顯示出奈米疊層膜的有效介電常 數是約5.9 °此介電常數比二氧化矽高約5〇%。經由ALD 之Hf(N〇3) 4/H2〇所沉積之具有類似物理厚度的二氧 -24- 1276700 (21)
化鉛膜層,其有效介電常數是在約1 〇之範圍內。如預期 地,二氧化飴/氧化鋁奈米疊層膜的介電常數比較低,此 乃因爲氧化銘(9)之體積介電常數低於二氧化給(25) 之故。圖6中CV曲線的輕微變形乃表示介面截留,其可 以程序的最佳化來消除。此CV曲線顯示磁滯現象效應, 其可由向前1 5 1及倒退1 5 2方向之偏壓曲線幾乎相同得到 應證。此低磁滯現象表示高品質的奈米疊層膜,並表示有 較低之電荷截留。 圖7·係顯示圖6所示之相同4nm薄膜其滲漏電流對 偏壓的曲線圖。雖然此滲漏電流比具有相類似 CET之 Hf02約大3個級數的量,但仍比具有相類似CET之二氧 化矽小了 2個級數以上的量。此4nm薄膜顯示在約5V處 電壓會故障。 【圖式簡單說明】 圖1 ·係可沉積奈米疊層膜之先前技藝方法的流程圖。 圖2.係藉使用硝酸鹽前驅物做爲氧化劑以沉積奈米疊 層膜之方法的流程圖。 圖3 .係藉使用硝酸給前驅物做爲氧化劑以沉積奈米疊 層膜之方法的流程圖。 圖4 .係藉使用硝酸飴前驅物及鋁前驅物前驅物以沉積 可用於半導體程序中之二氧化飴/氧化鋁奈米疊層膜的方 法流程圖。 圖5a-5d係顯示可用於半導體閘極介電質應用之二氧 -25 - 1276700 (22) 化飴/氧化鋁奈米疊層膜之沉積法中的步驟。 圖6.係顯示藉利用本發明方法所沉積之4nm二氧化 飴/氧化鋁奈米疊層膜其電容量對偏壓的曲線圖。 圖7 .係顯示該依此製得之相同4nm二氧化給/氧化 鋁奈米疊層膜其滲漏電流對偏壓的曲線圖。 主要元件對照表 140 氫 -封端之矽表面 14 1 矽 基 材 142 場 氧 化 物 1 43 二 氧 化 飴 層 144 氧 化 鋁 層 143’ 另 一 層 二 氧 化鉛j 1 44? 另 —* 層 氧 化 鋁層 15 1 向 、黨·· 刖 方 向 曲 線 1 52 倒 退 方 向 曲 線
-26-

Claims (1)

  1. ;,一…-乂-.…'··-_·.-^m· 濟正% A f 丨 本.’· MI :摘尤 ! :‘科― I ---------------------一卜一./ 1276700 「- 公告本 (1) —— 一. 拾、申請專利範圍 第93 1 04977號專利申請案 中文申請專利範圍修正本 民國95年7月3日修正 1 · 一種原子層沉積方法,彼係使用第一個含金屬硝酸 鹽之前驅物做爲第二個含金屬前驅物之氧化劑以形成氧化 物奈米疊層膜,該方法包括步驟有: a·導入第一個含金屬硝酸鹽之前驅物; b .形成弟一個金屬硝酸鹽; c ·清除此第一個含金屬硝酸鹽之前驅物; d. 導入第二個含金屬前驅物; e. 氧化此第=個含金屬前驅物(因爲對該第一個金屬 硝酸鹽的反應); f·清除此第一個含金屬前驅物。 2 ·如申請專利範圍第1項之方法,其中該等金屬係選 自 Cn、Zn、Y、Ti、Zr、Hf、v、Nb、Ta、Cr、M〇 w Μη、Co、Ni、Al、Ga、In、Ge、Sn、&、以、_、^、 Gd。 3 ·如申請專利範圍第1項之方法,其中該第一個含金 屬硝酸鹽之前驅物的〜些,並非所有之硝酸鹽配位子可經 取代基R取代,且該取代基R係選自氫、氧 '含氧硝酸 鹽、經基、方族基、胺、烷基、矽院基、醇鹽、二酮、以 及彼等之混合物。 4 .如申δ靑專利範圍帛1項之方法,其中該第二個含金 1276700 (2) 屬前驅物係一不含氧的前驅物。 5 ·如申請專利範圍第】項之方法,其中該第二個含金 屬前驅物係選自金屬烷基類、金屬鹵化物、金屬二酮鹽、 金屬醇鹽、金屬氫化物、金屬矽烷基類、金屬胺化物、金 屬乙醯基丙酮鹽、金屬第三丁醇鹽、金屬乙醇鹽及彼等之 混合物和組合物。 6· —種原子層沉積方法,彼係使用含硝酸飴之前驅物 做爲一含金屬前驅物之氧化劑以形成二氧化飴/金屬氧化 物奈米疊層膜,該方法包括步驟有: a.導入含硝酸給之前驅物; b .形成硝酸飴膜; c .清除此含硝酸飴之前驅物; d ·導入一含金屬目LI驅物; e ·氧化此含金屬前驅物(因爲對該硝酸飴膜的反應) f.清除此含金屬前驅物。 7. 如申請專利範圍第6項之方法,其中該含硝酸紿之 前驅物的一些,並非所有,硝酸鹽配位子可經取代基R取 代,且該取代基R係選自氫 '氧、含氧硝酸鹽、經基、芳 族基、胺、烷基、矽烷基、醇鹽、二酮、以及彼等之混合 物。 8. 如申請專利範圍第6項之方法,其中該含金屬前驅 物係一不含氧的則驅物。 9. 如申請專利範圍第6項之方法,其中該含金屬前驅 -2- 1276700 (3) 物係選自金屬烷基類、金屬鹵化物、金屬二酮鹽、金屬醇 鹽、金屬氫化物、金屬矽烷基類、金屬胺化物、金屬乙醯 基丙酮鹽、金屬第三丁醇鹽、金屬乙醇鹽及彼等之混合物 和組合物。 - 1 0 . —種原子層沉積方法,彼係使用第一個含金屬硝 · 酸鹽之前驅物做爲第二個含金屬前驅物之氧化劑以形成氧 化物奈米疊層膜,該方法包括步驟有: a. 導入第一個含金屬硝酸鹽之前驅物; 9 b. 使基材表面被此第一個含金屬硝酸鹽之前驅物所飽 和; c. 清除此第一個含金屬硝酸鹽之前驅物; d. 在無需導入反應物氣體之介入步驟下導入第二個含 金屬前驅物; e. 氧化此第二個含金屬前驅物(因爲對該第一個金屬 硝酸鹽之前驅物的反應); f. 在無需導入反應物氣體之介入步驟下,於二個氧化 # 物第一奈米疊層薄膜中形成第一個金屬氧化物與第二個金 屬氧化物。 1 1 .如申請專利範圍第1 〇項之方法,其中該等金屬係 選自 Cu、Zn、Y、Ti、Zr、Hf、V、Nb、Ta、Cr、Mo、W ' 、Μ η、C 〇、N i、A1、G a、I η、G e、S η ' L a、C e、N d、S m · 、G d 〇 1 2 .如申請專利範圍第1 0項之方法,其中該第一個含 金屬硝酸鹽之前驅物的一些,並非所有,硝酸鹽配位子可 -3- 1276700 (4) 經取代基R取代’且該取代基R係選自氫、氧、含氧硝 酸鹽、羥基、芳族基、胺、烷基、矽烷基、醇鹽、二酮、 以及彼等之混合物。 1 3 ·如申請專利範圍第】〇項之方法,其中該第二個含 金屬前驅物係一不含氧的前驅物。 1 4 ·如申請專利範圍第1 〇項之方法,其中該第二個含 金屬前驅物係選自金屬烷基類、金屬鹵化物、金屬二酮鹽 、金屬醇鹽、金屬氫化物、金屬矽烷基類、金屬胺化物、 金屬乙醯基丙酮鹽、金屬第三丁醇鹽、金屬乙醇鹽及彼等 之混合物和組合物。 1 5 · —種原子層沉積方法,彼係使用含硝酸飴之前驅 物做爲一含金屬前驅物之氧化劑以形成二氧化飴/金屬氧 化物奈米疊層膜,該方法包括步驟有: a. 導入含硝酸飴之前驅物; b. 使基材表面被此含硝酸鉛之前驅物所飽和; c. 清除此含硝酸鉛之前驅物; d. 在無需導入反應物氣體之介入步驟下導入一含金屬 前驅物; e. 氧化此含金屬前驅物(因爲對該硝酸鈴之前驅物的 反應); f. 在無需導入反應物氣體之介入步驟下,於二個氧化 物第一奈米疊層薄膜中形成二氧化飴與一金屬氧化物。 16.如申請專利範圍第15項之方法’其中該含硝酸飴 之前驅物的一些,並非所有’硝酸鹽配位子可經取代基R -4- 1276700 (5) 取代,且該取代基R係選自氫、氧、含氧硝酸鹽、羥基、 芳族基 '胺、烷基、矽烷基、醇鹽、二酮、以及彼等之混 合物。 17·如申請專利範圍第15項之方法,其中該含金屬前 驅物係一不含氧的前驅物。 1 8 ·如申請專利範圍第1 5項之方法,其中該含金屬前 驅物係選自金屬烷基類、金屬鹵化物、金屬二酮鹽、金屬 醇鹽、金屬氫化物、金屬矽烷基類、金屬胺化物、金屬乙 醯基丙酮鹽、金屬第三丁醇鹽、金屬乙醇鹽及彼等之混合 物和組合物。 1 9. 一種原子層沉積方法,彼係使用硝酸給前驅物做 爲一含鋁前驅物之氧化劑以形成在半導體基材上之二氧化 紿/氧化鋁奈米疊層膜,該方法包括步驟有: a. 在一原子層沉積處理室內提供一在該半導體基材上 之氫·封端的矽表面; b. 將硝酸飴前驅物導入該室內; c. 使基材表面被該硝酸飴前驅物所飽和; d. 清洗該室; e. 在無需導入反應物氣體之介入步驟下將一含鋁前驅 物導入該室內; f. 氧化此含鋁前驅物(因爲對該硝酸飴前驅物的反應 ); g. 在無需導入反應物氣體之介入步驟下,於二個氧化物 奈米疊層薄膜中形成二氧化飴與氧化鋁。 -5 - 1276700 (6) 2 0 ·如申請專利範圍第1 9項之方法,其中該硝酸飴前 驅物係一無水硝酸鉛之前驅物。 2 1 ·如申請專利範圍第1 9項之方法,其中該含銘前驅 物係一鹵化鋁前驅物。 2 2 .如申請專利範圍第1 9項之方法,其中該含鋁前驅 物係一選自三甲基錕、及三乙基銘之有機金屬前驅物。 23·如申請專利範圍第19項之方法,彼可在步驟a之 後及步驟b之前進一步包括使該基材加熱至低於2 0 0 °C溫 度之步驟。 2 4 .如申請專利範圍第1 9項之方法,其中該處理室清 洗步驟可藉由將氮氣或惰性氣體流入該室內而完成。 2 5 ·如申請專利範圍第1 9項之方法,其中該處理室清 洗步驟可藉由抽空該室內之所有氣體而完成。 26·如申請專利範圍第19項之方法,進一步包括: h.在形成奈米疊層膜之後,清洗該室;以及 其中重複步驟b至g直到所需厚度達成,並以最後步 驟係步驟d。 27·如申請專利範圍第19項之方法,進一步包括: h ·在形成奈米疊層膜之後,清洗該室;以及 其中重複步驟b至g直到所需厚度達成,並以最後步 驟係步驟h。 2 8 ·如申請專利範圍第2 7項之方法,彼可在所需厚度 達成之後進一步包括沉積後退火步驟。 29·如申請專利範圍第28項之方法,其中該沉積後退 -6- 1276700 (7) 火時間係在1 0秒至5分鐘之間,而該沉積後退火溫度是 在4 0 0 °C至1 0 〇 〇 °C之間。 3 0 ·如申請專利範圍第1 〇項之方法,進一步包括: g·清除該第二個含金屬前驅物; 、 h·重複步驟a至f; · i·於二個氧化物第二奈米疊層薄膜中形成第一個金屬 氧化物與第二個金屬氧化物,且疊在該第一奈米疊層薄膜 之上。 參 3 1 ·如申請專利範圍第1 0項之方法,其中使基材表面 被該第一個含金屬硝酸鹽之前驅物所飽和包括使氫-封端 的基材表面被該第一個含金屬硝酸鹽之前驅物所飽和。 3 2 ·如申請專利範圍第1 5項之方法,進一步包括: g·清除該含金屬前驅物; h.重複步驟a至f ; i·於二個氧化物第二奈米疊層薄膜中形成二氧化飴與 該金屬氧化物,且疊在該第一奈米疊層薄膜之上。 0 3 3 ·如申請專利範圍第1 5項之方法,其中使基材表面 被該含硝酸飴之前驅物所飽和包括使氫-封端的基材表面 被該含硝酸鈴之前驅物所飽和。 34·—種形成高k介電閘極氧化物膜之方法,該方法 - 包括: _ 令含金屬硝酸鹽之前驅物吸附在矽基材的表面上; 令含金屬前驅物吸附在該含金屬硝酸鹽之前驅物的表 面上; -7- 1276700 (8) 氧化該含金屬前驅物(因爲對該含金屬硝酸鹽之前驅 物的反應); 形成高k介電閘極氧化物膜。 3 5 ·如申請專利範圍第3 4項之方法,進一步包括: 提供氫-封端的矽基材表面; 其中使含金屬硝酸鹽之前驅物吸附在矽基材的表面上 包括使含金屬硝酸鹽之前驅物吸附在氫·封端的矽基材的 表面上。 36·如申請專利範圍第34項之方法,其中該含金屬硝 酸鹽之前驅物與含金屬前驅物各包括選自Cu、Zn、Y、Ti 、Zr、Hf、V、Nb、Ta、Cr、Mo、W、Μη、Co、Ni、A1 ' Ga、In、Ge、Sn、La、Ce、Nd、Sm、Gd 之金屬。 -8 -
TW093104977A 2003-02-27 2004-02-26 Atomic layer deposition of nanolaminate film TWI276700B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/376,794 US6930059B2 (en) 2003-02-27 2003-02-27 Method for depositing a nanolaminate film by atomic layer deposition

Publications (2)

Publication Number Publication Date
TW200424348A TW200424348A (en) 2004-11-16
TWI276700B true TWI276700B (en) 2007-03-21

Family

ID=32908002

Family Applications (1)

Application Number Title Priority Date Filing Date
TW093104977A TWI276700B (en) 2003-02-27 2004-02-26 Atomic layer deposition of nanolaminate film

Country Status (4)

Country Link
US (2) US6930059B2 (zh)
JP (1) JP4158975B2 (zh)
KR (1) KR100591507B1 (zh)
TW (1) TWI276700B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101962758A (zh) * 2010-09-09 2011-02-02 南京大学 一种在锗衬底上低温原子层沉积Hf基栅介质薄膜的方法

Families Citing this family (484)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8026161B2 (en) 2001-08-30 2011-09-27 Micron Technology, Inc. Highly reliable amorphous high-K gate oxide ZrO2
US6846516B2 (en) * 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
US7160577B2 (en) 2002-05-02 2007-01-09 Micron Technology, Inc. Methods for atomic-layer deposition of aluminum oxides in integrated circuits
US7221586B2 (en) 2002-07-08 2007-05-22 Micron Technology, Inc. Memory utilizing oxide nanolaminates
US7101813B2 (en) 2002-12-04 2006-09-05 Micron Technology Inc. Atomic layer deposited Zr-Sn-Ti-O films
US20040168627A1 (en) * 2003-02-27 2004-09-02 Sharp Laboratories Of America, Inc. Atomic layer deposition of oxide film
US7192892B2 (en) * 2003-03-04 2007-03-20 Micron Technology, Inc. Atomic layer deposited dielectric layers
KR101159070B1 (ko) * 2003-03-11 2012-06-25 삼성전자주식회사 고유전율 산화막 형성방법, 이 방법으로 형성된 유전막이구비된 커패시터 및 그 제조방법
US7135369B2 (en) 2003-03-31 2006-11-14 Micron Technology, Inc. Atomic layer deposited ZrAlxOy dielectric layers including Zr4AlO9
US7442415B2 (en) * 2003-04-11 2008-10-28 Sharp Laboratories Of America, Inc. Modulated temperature method of atomic layer deposition (ALD) of high dielectric constant films
US7183186B2 (en) 2003-04-22 2007-02-27 Micro Technology, Inc. Atomic layer deposited ZrTiO4 films
KR100555543B1 (ko) * 2003-06-24 2006-03-03 삼성전자주식회사 원자층 증착법에 의한 고유전막 형성 방법 및 그고유전막을 갖는 커패시터의 제조 방법
KR20050007496A (ko) * 2003-07-08 2005-01-19 삼성전자주식회사 원자층 적층 방식의 복합막 형성방법 및 이를 이용한반도체 소자의 커패시터 형성방법
KR100550641B1 (ko) * 2003-11-22 2006-02-09 주식회사 하이닉스반도체 산화하프늄과 산화알루미늄이 혼합된 유전막 및 그 제조방법
FR2869325B1 (fr) * 2004-04-27 2006-06-16 Commissariat Energie Atomique Procede de depot d'une couche mince sur une couche oxydee d'un substrat
KR100615093B1 (ko) * 2004-08-24 2006-08-22 삼성전자주식회사 나노크리스탈을 갖는 비휘발성 메모리 소자의 제조방법
US7081421B2 (en) 2004-08-26 2006-07-25 Micron Technology, Inc. Lanthanide oxide dielectric layer
US7588988B2 (en) 2004-08-31 2009-09-15 Micron Technology, Inc. Method of forming apparatus having oxide films formed using atomic layer deposition
US7494939B2 (en) 2004-08-31 2009-02-24 Micron Technology, Inc. Methods for forming a lanthanum-metal oxide dielectric layer
DE112005002160T5 (de) * 2004-09-09 2009-03-12 Tokyo Electron Ltd. Dünnfilmkondensator und Verfahren zum Bilden desselben sowie computerlesbares Speichermedium
KR100714269B1 (ko) * 2004-10-14 2007-05-02 삼성전자주식회사 반도체 소자 제조에 사용되는 금속층 형성방법
JP2006169556A (ja) * 2004-12-13 2006-06-29 Horiba Ltd 金属酸化物薄膜の成膜方法
US7235501B2 (en) 2004-12-13 2007-06-26 Micron Technology, Inc. Lanthanum hafnium oxide dielectrics
US7560395B2 (en) 2005-01-05 2009-07-14 Micron Technology, Inc. Atomic layer deposited hafnium tantalum oxide dielectrics
US20060151822A1 (en) * 2005-01-07 2006-07-13 Shrinivas Govindarajan DRAM with high K dielectric storage capacitor and method of making the same
US7316962B2 (en) * 2005-01-07 2008-01-08 Infineon Technologies Ag High dielectric constant materials
US20060151845A1 (en) * 2005-01-07 2006-07-13 Shrinivas Govindarajan Method to control interfacial properties for capacitors using a metal flash layer
KR100620451B1 (ko) * 2005-01-10 2006-09-11 삼성전자주식회사 금속산화 합금막, 금속산화 합금막 형성 방법과 이를이용한 게이트 구조물의 제조 방법 및 커패시터의 제조 방법
US7508648B2 (en) * 2005-02-08 2009-03-24 Micron Technology, Inc. Atomic layer deposition of Dy doped HfO2 films as gate dielectrics
US7498247B2 (en) 2005-02-23 2009-03-03 Micron Technology, Inc. Atomic layer deposition of Hf3N4/HfO2 films as gate dielectrics
CN100417744C (zh) * 2005-02-28 2008-09-10 南京大学 锆、铪及与钛复合无水硝酸盐的金属复合无机源及其合成方法
KR100634262B1 (ko) * 2005-03-05 2006-10-13 삼성전자주식회사 복합 유전막을 갖는 반도체 장치의 제조 방법
US7687409B2 (en) 2005-03-29 2010-03-30 Micron Technology, Inc. Atomic layer deposited titanium silicon oxide films
KR100691004B1 (ko) * 2005-04-15 2007-03-09 주식회사 하이닉스반도체 반도체 소자의 캐패시터 형성방법
US7662729B2 (en) 2005-04-28 2010-02-16 Micron Technology, Inc. Atomic layer deposition of a ruthenium layer to a lanthanide oxide dielectric layer
US7390756B2 (en) * 2005-04-28 2008-06-24 Micron Technology, Inc. Atomic layer deposited zirconium silicon oxide films
US7572695B2 (en) * 2005-05-27 2009-08-11 Micron Technology, Inc. Hafnium titanium oxide films
US7202535B2 (en) * 2005-07-14 2007-04-10 Infineon Technologies Ag Manufacturing method for an integrated semiconductor structure and corresponding integrated semiconductor structure
US7927948B2 (en) 2005-07-20 2011-04-19 Micron Technology, Inc. Devices with nanocrystals and methods of formation
KR100712521B1 (ko) * 2005-07-28 2007-04-30 삼성전자주식회사 금속-절연체-금속형 커패시터의 제조 방법
KR100753411B1 (ko) * 2005-08-18 2007-08-30 주식회사 하이닉스반도체 반도체 소자의 캐패시터 형성방법
US7402534B2 (en) * 2005-08-26 2008-07-22 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
US7544596B2 (en) 2005-08-30 2009-06-09 Micron Technology, Inc. Atomic layer deposition of GdScO3 films as gate dielectrics
US8110469B2 (en) 2005-08-30 2012-02-07 Micron Technology, Inc. Graded dielectric layers
KR100696765B1 (ko) * 2005-11-17 2007-03-19 주식회사 하이닉스반도체 반도체소자의 유전체막 및 그 형성방법
KR100760962B1 (ko) * 2006-03-14 2007-09-21 학교법인 포항공과대학교 금속-알킬아마이드과 금속-알콕사이드 전구체 조합을사용한 원자층 화학 증착법을 이용한 하프늄 실리케이트박막 제조
JP2007273949A (ja) * 2006-03-30 2007-10-18 Korea Univ Industrial & Academic Collaboration Foundation ナノ粒子を用いたトップゲート型薄膜トランジスタおよびその製造方法
KR100716654B1 (ko) * 2006-04-04 2007-05-09 주식회사 하이닉스반도체 정방정계 구조의 지르코늄산화막 형성 방법 및 그를 구비한캐패시터의 제조 방법
US7537804B2 (en) 2006-04-28 2009-05-26 Micron Technology, Inc. ALD methods in which two or more different precursors are utilized with one or more reactants to form materials over substrates
US7605030B2 (en) 2006-08-31 2009-10-20 Micron Technology, Inc. Hafnium tantalum oxynitride high-k dielectric and metal gates
WO2008042981A2 (en) 2006-10-05 2008-04-10 Asm America, Inc. Ald of metal silicate films
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
US20080087890A1 (en) * 2006-10-16 2008-04-17 Micron Technology, Inc. Methods to form dielectric structures in semiconductor devices and resulting devices
US7692222B2 (en) * 2006-11-07 2010-04-06 Raytheon Company Atomic layer deposition in the formation of gate structures for III-V semiconductor
KR100825765B1 (ko) * 2006-12-05 2008-04-29 한국전자통신연구원 산화물계 나노 구조물의 제조 방법
US8025932B2 (en) * 2007-02-21 2011-09-27 Colorado School Of Mines Self-limiting thin film synthesis achieved by pulsed plasma-enhanced chemical vapor deposition
KR100877100B1 (ko) * 2007-04-16 2009-01-09 주식회사 하이닉스반도체 비휘발성 메모리 소자 제조 방법
US8617456B1 (en) 2010-03-22 2013-12-31 The United States Of America As Represented By The Secretary Of The Air Force Bulk low-cost interface-defined laminated materials and their method of fabrication
US9120245B1 (en) 2007-05-09 2015-09-01 The United States Of America As Represented By The Secretary Of The Air Force Methods for fabrication of parts from bulk low-cost interface-defined nanolaminated materials
US9162931B1 (en) 2007-05-09 2015-10-20 The United States Of America As Represented By The Secretary Of The Air Force Tailored interfaces between two dissimilar nano-materials and method of manufacture
US8334015B2 (en) * 2007-09-05 2012-12-18 Intermolecular, Inc. Vapor based combinatorial processing
US8016945B2 (en) * 2007-12-21 2011-09-13 Applied Materials, Inc. Hafnium oxide ALD process
US8129288B2 (en) * 2008-05-02 2012-03-06 Intermolecular, Inc. Combinatorial plasma enhanced deposition techniques
US7816200B2 (en) * 2008-04-22 2010-10-19 Applied Materials, Inc. Hardware set for growth of high k and capping material films
US8076237B2 (en) * 2008-05-09 2011-12-13 Asm America, Inc. Method and apparatus for 3D interconnect
US8227965B2 (en) * 2008-06-20 2012-07-24 Arradiance, Inc. Microchannel plate devices with tunable resistive films
US8237129B2 (en) * 2008-06-20 2012-08-07 Arradiance, Inc. Microchannel plate devices with tunable resistive films
DE102008029385B4 (de) * 2008-06-23 2014-11-27 Carl Von Ossietzky Universität Oldenburg Verfahren zur Herstellung von Seltenerdmetalloxidschichten und Übergangsmetalloxidschichten, Verwendung einer Vorrichtung zur Herstellung von Seltenerdmetalloxidschichten und Übergangsmetalloxidschichten sowie Verwendung eines Metallnitrats
US20100037824A1 (en) * 2008-08-13 2010-02-18 Synos Technology, Inc. Plasma Reactor Having Injector
US8851012B2 (en) * 2008-09-17 2014-10-07 Veeco Ald Inc. Vapor deposition reactor using plasma and method for forming thin film using the same
US8770142B2 (en) * 2008-09-17 2014-07-08 Veeco Ald Inc. Electrode for generating plasma and plasma generator
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8871628B2 (en) * 2009-01-21 2014-10-28 Veeco Ald Inc. Electrode structure, device comprising the same and method for forming electrode structure
KR101172147B1 (ko) 2009-02-23 2012-08-07 시너스 테크놀리지, 인코포레이티드 플라즈마에 의한 라디칼을 이용한 박막 형성 방법
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8071452B2 (en) * 2009-04-27 2011-12-06 Asm America, Inc. Atomic layer deposition of hafnium lanthanum oxides
US8758512B2 (en) * 2009-06-08 2014-06-24 Veeco Ald Inc. Vapor deposition reactor and method for forming thin film
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5797790B2 (ja) * 2009-09-30 2015-10-21 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
JP2011204852A (ja) * 2010-03-25 2011-10-13 Elpida Memory Inc キャパシタおよびその製造方法、半導体装置
CN102471885A (zh) * 2010-04-01 2012-05-23 乔治洛德方法研究和开发液化空气有限公司 使用氨基金属与卤化金属前体组合的含金属氮化物的薄膜沉积
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US8771791B2 (en) * 2010-10-18 2014-07-08 Veeco Ald Inc. Deposition of layer using depositing apparatus with reciprocating susceptor
US8771822B2 (en) * 2011-01-18 2014-07-08 Wisconsin Alumni Research Foundation Methods for the growth of three-dimensional nanorod networks
US8877300B2 (en) 2011-02-16 2014-11-04 Veeco Ald Inc. Atomic layer deposition using radicals of gas mixture
US9163310B2 (en) 2011-02-18 2015-10-20 Veeco Ald Inc. Enhanced deposition of layer on substrate using radicals
US8633114B2 (en) 2011-05-10 2014-01-21 Applied Materials, Inc. Methods for manufacturing high dielectric constant films
US8633119B2 (en) * 2011-05-10 2014-01-21 Applied Materials, Inc. Methods for manufacturing high dielectric constant films
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
WO2013043501A1 (en) * 2011-09-23 2013-03-28 Applied Materials, Inc. Metal-aluminum alloy films from metal amidinate precursors and aluminum precursors
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
CN104737268A (zh) 2012-01-12 2015-06-24 第一太阳能有限公司 在半导体器件的不同层中提供掺杂剂浓度控制的方法和系统
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
TWI622664B (zh) 2012-05-02 2018-05-01 Asm智慧財產控股公司 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP6538300B2 (ja) 2012-11-08 2019-07-03 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 感受性基材上にフィルムを蒸着するための方法
CN103065955B (zh) * 2012-11-21 2015-11-18 中国科学院微电子研究所 一种利用ald制备栅介质结构的方法
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9224607B2 (en) 2013-09-18 2015-12-29 Globalfoundries Inc. Dual epitaxy region integration
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
KR102195139B1 (ko) * 2014-02-20 2020-12-24 삼성전자주식회사 반도체 장치의 제조 방법
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR101522819B1 (ko) * 2014-10-17 2015-05-27 한양대학교 에리카산학협력단 2차원 전자 가스를 포함하는 전자 소자, 및 그 제조 방법
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
TWI717260B (zh) * 2015-05-01 2021-01-21 美商應用材料股份有限公司 使用表面封端化學性質的薄膜介電質之選擇性沉積
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
WO2017023527A1 (en) * 2015-08-03 2017-02-09 Advanced Endovascular Therapeutics Novel coatings for medical devices
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
KR102350589B1 (ko) 2015-08-24 2022-01-14 삼성전자주식회사 박막 형성 방법 및 이를 이용한 집적회로 소자의 제조 방법
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10181397B2 (en) * 2015-09-30 2019-01-15 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device and method for forming the same
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US11239258B2 (en) 2016-07-19 2022-02-01 Applied Materials, Inc. High-k dielectric materials comprising zirconium oxide utilized in display devices
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10840350B2 (en) * 2016-10-31 2020-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Nanolaminate structure, semiconductor device and method of forming nanolaminate structure
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10032856B1 (en) 2017-01-24 2018-07-24 International Business Machines Corporation Nanosheet capacitor
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
KR102271008B1 (ko) 2017-10-27 2021-06-29 삼성전자주식회사 반도체 장치
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US10633740B2 (en) 2018-03-19 2020-04-28 Applied Materials, Inc. Methods for depositing coatings on aerospace components
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
WO2019200234A1 (en) * 2018-04-13 2019-10-17 Applied Materials, Inc. Methods of selective atomic layer deposition
EP3784815A4 (en) 2018-04-27 2021-11-03 Applied Materials, Inc. PROTECTION OF COMPONENTS AGAINST CORROSION
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TWI815891B (zh) * 2018-06-21 2023-09-21 美商應用材料股份有限公司 薄膜及沉積薄膜的方法
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR102574322B1 (ko) 2018-06-27 2023-09-05 삼성전자주식회사 반도체 장치
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11009339B2 (en) 2018-08-23 2021-05-18 Applied Materials, Inc. Measurement of thickness of thermal barrier coatings using 3D imaging and surface subtraction methods for objects with complex geometries
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11769692B2 (en) * 2018-10-31 2023-09-26 Taiwan Semiconductor Manufacturing Co., Ltd. High breakdown voltage inter-metal dielectric layer
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
US20200203157A1 (en) * 2018-12-20 2020-06-25 Nanya Technology Corporation Method for preparing multiplayer structure
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
WO2020176223A2 (en) * 2019-02-08 2020-09-03 Georgia Tech Research Corporation Systems and methods for high sensitivity stable sensors
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
US11732353B2 (en) 2019-04-26 2023-08-22 Applied Materials, Inc. Methods of protecting aerospace components against corrosion and oxidation
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
US11794382B2 (en) * 2019-05-16 2023-10-24 Applied Materials, Inc. Methods for depositing anti-coking protective coatings on aerospace components
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11697879B2 (en) 2019-06-14 2023-07-11 Applied Materials, Inc. Methods for depositing sacrificial coatings on aerospace components
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11466364B2 (en) 2019-09-06 2022-10-11 Applied Materials, Inc. Methods for forming protective coatings containing crystallized aluminum oxide
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
WO2021102134A1 (en) 2019-11-20 2021-05-27 E Ink Corporation Spatially variable hydrophobic layers for digital microfluidics
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
CN114945426A (zh) 2020-01-17 2022-08-26 核酸有限公司 用于数字微流体的空间可变介电层
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
WO2021154627A1 (en) 2020-01-27 2021-08-05 E Ink Corporation Method for degassing liquid droplets by electrowetting actuation at higher temperatures
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
US11410620B2 (en) 2020-02-18 2022-08-09 Nuclera Nucleics Ltd. Adaptive gate driving for high frequency AC driving of EWoD arrays
WO2021168162A1 (en) 2020-02-19 2021-08-26 Nuclera Nucleics Ltd. Latched transistor driving for high frequency ac driving of ewod arrays
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
WO2021222061A1 (en) 2020-04-27 2021-11-04 Nuclera Nucleics Ltd. Segmented top plate for variable driving and short protection for digital microfluidics
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
US11519066B2 (en) 2020-05-21 2022-12-06 Applied Materials, Inc. Nitride protective coatings on aerospace components and methods for making the same
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20210156985A (ko) 2020-06-19 2021-12-28 삼성전자주식회사 일 함수 층들을 갖는 반도체 소자들
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
KR20210158615A (ko) 2020-06-24 2021-12-31 삼성전자주식회사 게이트 라인을 포함하는 집적회로 소자
KR20210158607A (ko) 2020-06-24 2021-12-31 삼성전자주식회사 캡핑층을 포함하는 반도체 소자
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
CN115734826A (zh) 2020-07-03 2023-03-03 应用材料公司 用于翻新航空部件的方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
JP2023534936A (ja) * 2020-07-16 2023-08-15 インテグリス・インコーポレーテッド 強誘電体メモリのための炭素を含まない積層された酸化ハフニウム/酸化ジルコニウム膜
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR102587255B1 (ko) * 2020-10-30 2023-10-12 한양대학교 에리카산학협력단 합금 박막 및 그 제조 방법
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
CN116829763A (zh) 2021-02-04 2023-09-29 Up化学株式会社 铪前体化合物、包含它的用于形成含铪膜的组合物及形成含铪膜的方法
EP4302320A1 (en) * 2021-03-04 2024-01-10 Applied Materials, Inc. Treatments to improve device performance
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5835521A (en) * 1997-02-10 1998-11-10 Motorola, Inc. Long wavelength light emitting vertical cavity surface emitting laser and method of fabrication
US6060755A (en) * 1999-07-19 2000-05-09 Sharp Laboratories Of America, Inc. Aluminum-doped zirconium dielectric film transistor structure and deposition method for same
US6203613B1 (en) * 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
US6486080B2 (en) * 2000-11-30 2002-11-26 Chartered Semiconductor Manufacturing Ltd. Method to form zirconium oxide and hafnium oxide for high dielectric constant materials
DE10064143A1 (de) * 2000-12-15 2002-06-20 Zeiss Carl Reflexionsminderungsbeschichtung für Ultraviolettlicht bei großen Einfallswinkeln
US6348386B1 (en) * 2001-04-16 2002-02-19 Motorola, Inc. Method for making a hafnium-based insulating film
US6420279B1 (en) * 2001-06-28 2002-07-16 Sharp Laboratories Of America, Inc. Methods of using atomic layer deposition to deposit a high dielectric constant material on a substrate

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101962758A (zh) * 2010-09-09 2011-02-02 南京大学 一种在锗衬底上低温原子层沉积Hf基栅介质薄膜的方法
CN101962758B (zh) * 2010-09-09 2013-03-27 南京大学 一种在锗衬底上低温原子层沉积Hf基栅介质薄膜的方法

Also Published As

Publication number Publication date
US20050170667A1 (en) 2005-08-04
KR100591507B1 (ko) 2006-06-19
KR20040077565A (ko) 2004-09-04
JP2004260168A (ja) 2004-09-16
US6930059B2 (en) 2005-08-16
TW200424348A (en) 2004-11-16
US20040171280A1 (en) 2004-09-02
US7053009B2 (en) 2006-05-30
JP4158975B2 (ja) 2008-10-01

Similar Documents

Publication Publication Date Title
TWI276700B (en) Atomic layer deposition of nanolaminate film
TWI263695B (en) Atomic layer deposition of oxide film
US6420279B1 (en) Methods of using atomic layer deposition to deposit a high dielectric constant material on a substrate
US6875677B1 (en) Method to control the interfacial layer for deposition of high dielectric constant films
US7442415B2 (en) Modulated temperature method of atomic layer deposition (ALD) of high dielectric constant films
US7772073B2 (en) Semiconductor device containing a buried threshold voltage adjustment layer and method of forming
US7662729B2 (en) Atomic layer deposition of a ruthenium layer to a lanthanide oxide dielectric layer
US8313994B2 (en) Method for forming a high-K gate stack with reduced effective oxide thickness
US7183186B2 (en) Atomic layer deposited ZrTiO4 films
US7741202B2 (en) Method of controlling interface layer thickness in high dielectric constant film structures including growing and annealing a chemical oxide layer
US20090173979A1 (en) ALD OF AMORPHOUS LANTHANIDE DOPED TiOX FILMS
KR20080011236A (ko) 유전체 물질의 플라즈마 처리
TW201346056A (zh) 由金屬脒鹽前驅物製造介電膜的方法
WO2003041124A2 (en) Method of fabricating a gate stack at low temperature
US20130316546A1 (en) Methods of atomic layer deposition of hafnium oxide as gate dielectrics
US9064694B2 (en) Nitridation of atomic layer deposited high-k dielectrics using trisilylamine
TWI841680B (zh) 於反應腔室中藉由循環沉積製程於基板上沉積鉿鑭氧化物膜之方法
Ahn et al. Lanthanide doped TiO x films
Ahn et al. Lanthanide doped TiO x dielectric films

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees