KR20180006990A - 반도체 공정 챔버 부품들을 위한 보호 코팅들의 원자층 증착 - Google Patents

반도체 공정 챔버 부품들을 위한 보호 코팅들의 원자층 증착 Download PDF

Info

Publication number
KR20180006990A
KR20180006990A KR1020180002181A KR20180002181A KR20180006990A KR 20180006990 A KR20180006990 A KR 20180006990A KR 1020180002181 A KR1020180002181 A KR 1020180002181A KR 20180002181 A KR20180002181 A KR 20180002181A KR 20180006990 A KR20180006990 A KR 20180006990A
Authority
KR
South Korea
Prior art keywords
film layer
layer
yttrium
component
oxide
Prior art date
Application number
KR1020180002181A
Other languages
English (en)
Other versions
KR102230128B1 (ko
Inventor
데이비드 펜윅
제니퍼 와이. 선
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20180006990A publication Critical patent/KR20180006990A/ko
Priority to KR1020190058708A priority Critical patent/KR102329708B1/ko
Application granted granted Critical
Publication of KR102230128B1 publication Critical patent/KR102230128B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/403Oxides of aluminium, magnesium or beryllium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45529Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making a layer stack of alternating different compositions or gradient compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/04Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material
    • C23C28/042Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material including a refractory ceramic layer, e.g. refractory metal oxides, ZrO2, rare earth oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • H01L21/205Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy using reduction or decomposition of a gaseous compound yielding a solid condensate, i.e. chemical deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings

Abstract

반도체 공정 챔버 부품의 표면을 위한 다중-성분 코팅 조성물은 원자층 증착 공정을 이용하여 반도체 공정 챔버 부품의 표면 상에 코팅된 이트륨 옥사이드 또는 이트륨 플루오라이드의 적어도 하나의 제1 필름 층, 및 원자층 증착 공정을 이용하여 반도체 공정 챔버 부품의 표면 상에 코팅된 추가적인 옥사이드 또는 추가적인 플루오라이드의 적어도 하나의 제2 필름 층을 포함하며, 다중-성분 코팅 조성물은 YOxFy, YAlxOy, YZrxOy 및 YZrxAlyOz로 이루어진 군으로부터 선택된다.

Description

반도체 공정 챔버 부품들을 위한 보호 코팅들의 원자층 증착{ATOMIC LAYER DEPOSITION OF PROTECTIVE COATINGS FOR SEMICONDUCTOR PROCESS CHAMBER COMPONENTS}
관련 출원
본 출원은 2016년 4월 27일에 출원된 계류중인 미국가특허출원번호 제62/328,588호를 우선권으로 주장하며, 이러한 문헌은 본원에 참고로 포함된다.
기술분야
본 발명의 구체예들은 원자층 증착(ALD)을 이용하여 반도체 공정 챔버 부품들을 위한 보호 코팅을 제조하는 방법, 다중-성분 보호 코팅(multi-component protective coating), 및 다중-성분 보호 코팅으로 코팅된 반도체 공정 챔버 부품에 관한 것이다.
다양한 제작 공정들은 반도체 공정 챔버 부품들을 고온들, 고에너지 플라즈마, 부식성 가스들의 혼합물, 높은 응력, 및 이들의 조합들에 노출시킨다. 이러한 극한 조건들은 챔버 부품들을 침식시키고, 챔버 부품들을 부식시키고, 결함들에 대한 챔버 부품들의 민감성(susceptibility)을 증가시킬 수 있다. 이러한 극한 환경들에서 이러한 결함들을 감소시키고 구성요소들의 침식 및/또는 부식 내성을 개선시키는 것이 요망된다. 보호 코팅들로 반도체 공정 챔버 부품들을 코팅시키는 것은 결함들을 감소시키고 이들의 내구성을 연장시키는 효과적인 방식이다.
보호 코팅 필름들은 통상적으로, 열적 스프레이(thermal spray), 스퍼터링(sputtering), 또는 증발 기술들과 같은 다양한 방법들에 의해 챔버 부품들 상에 증착된다. 이러한 기술들에서, 증기 소스(vapor source)에 직접적으로 노출되지 않는(예를 들어, 물질 소스의 시선(line of sight)에 있지 않는) 챔버 부품들의 표면들은 증기 소스에 직접적으로 노출되는 표면들 보다 현저하게 더욱 얇은 필름, 불량한 품질 필름, 저밀도 필름 중 어느 하나로 코팅되거나 전혀 코팅되지 않는다.
본 발명의 일부 구체예들은 반도체 공정 챔버 부품 상에 다중-성분 코팅 조성물을 형성시키는 방법을 포함한다. 본 방법은 반도체 공정 챔버 부품의 표면 상에 이트륨 옥사이드 또는 이트륨 플루오라이드의 제1 필름 층을 증착시키는 것을 포함하며, 여기서, 제1 필름 층은 원자층 증착 공정을 이용하여 적어도 두 개의 전구체들로부터 성장된다. 본 방법은 반도체 공정 챔버 부품의 표면 상에 추가적인 옥사이드 또는 추가적인 플루오라이드의 제2 필름 층을 증착시키는 것을 추가로 포함하며, 여기서, 제2 필름 층은 원자층 증착 공정을 이용하여 적어도 두 개의 추가적인 전구체들로부터 성장된다. 본 방법은 제1 필름 층 및 제2 필름 층을 포함하는 다중-성분 조성물을 형성시키는 것을 추가로 포함한다. 일부 구체예들에서, 본 방법은 적어도 하나의 추가적인 필름 층을 증착시키는 것을 추가로 포함할 수 있으며, 적어도 하나의 추가적인 필름 층은 알루미늄 옥사이드 또는 지르코늄 옥사이드를 포함하며, 적어도 하나의 추가적인 필름 층은 원자층 증착 공정을 이용하여 적어도 두 개의 추가적인 전구체로부터 성장된다.
일부 구체예들에서, 본 발명은 코팅된 반도체 공정 챔버 부품을 포함한다. 코팅된 반도체 공정 챔버 부품은 표면 및 표면 상에 코팅된 다중-성분 코팅을 갖는 반도체 공정 챔버 부품을 포함할 수 있다. 특정 구체예들에서, 다중-성분 코팅은 원자층 증착 공정을 이용하여 표면 상에 코팅된 이트륨 옥사이드 또는 이트륨 플루오라이드의 적어도 하나의 제1 필름 층, 및 원자층 증착 공정을 이용하여 표면 상에 코팅된 추가적인 옥사이드 또는 추가적인 플루오라이드의 적어도 하나의 제2 필름 층을 포함할 수 있다. 일부 구체예들에서, 다중-성분 코팅은 원자층 증착 공정을 이용하여 표면 상에 코팅된 알루미늄 옥사이드 또는 지르코늄 옥사이드를 포함하는 적어도 하나의 추가적인 필름 층을 추가로 포함할 수 있다.
일부 구체예들에서, 본 발명은 반도체 공정 챔버 부품의 표면을 위한 다중-성분 코팅 조성물을 포함한다. 다중-성분 코팅 조성물은 원자층 증착 공정을 이용하여 반도체 공정 챔버 부품의 표면 상에 코팅된 이트륨 옥사이드 또는 이트륨 플루오라이드의 적어도 하나의 제1 필름 층, 및 원자층 증착 공정을 이용하여 반도체 공정 챔버 부품의 표면 상에 코팅된 추가적인 옥사이드 또는 추가적인 플루오라이드의 적어도 하나의 제2 필름 층을 포함할 수 있다. 다중-성분 코팅 조성물은 YOxFy, YAlxOy, YZrxOy 및 YZrxAlyOz로 이루어진 군으로부터 선택될 수 있다.
본 명세서는 첨부된 도면들의 도들에서 제한적인 것이 아닌 일 예로서 예시되는데, 유사한 참조 번호는 유사한 요소들(elements)을 지시하는 것이다. 본 명세서에서 구체예("an" embodiment) 또는 일 구체예("one" embodiment)에 대한 상이한 언급들이 반드시 동일한 구체예를 언급하는 것은 아니며 이러한 언급들은 적어도 하나를 의미한다는 것이 주지될 것이다.
도 1은 가공 챔버(processing chamber)의 일 구체예의 단면도를 도시한 것이다.
도 2는 다양한 원자층 증착 기술들에 따른 증착 공정을 도시한 것이다.
도 3a는 일 구체예에 따른 반도체 공정 챔버 부품 상에 다중-성분 코팅을 형성시키는 방법을 예시한 것이다.
도 3b는 일 구체예에 따른 반도체 공정 챔버 부품 상에 다중-성분 코팅을 형성시키는 방법을 예시한 것이다.
도 4a 내지 도 4d는 상이한 구체예들에 따른 다중-성분 코팅 조성물의 변형들을 도시한 것이다.
도 5a는 일 구체예에 따른 코팅된 챔버 부품(샤워헤드(showerhead))을 도시한 것이다.
도 5b는 일 구체예에 따라 코팅된 큰 종횡비를 갖는 가스 도관의 확대도(blown up view)를 도시한 것이다.
도 6은 코팅 시 및 어닐링(annealing) 후 Al2O3 및 Y2O3의 교대 층들의 스택(stack)의 x-선 회절 패턴들을 도시한 그래프이다.
구체예들은 본원에서 원자층 증착(또한, 원자 단일층 증착 또는 ALD로서 언급됨)을 이용하여 증착된 다수의 층들을 포함하는 다중-성분 코팅을 참조로 하여 기술된다. 각 성분은 코팅의 하나 이상의 층에 포함된 구성 물질일 수 있다. 다중-성분 코팅의 일 예는 이트리아(Y2O3)와 같은, 이트륨의 제1 성분 및 산소의 제2 성분을 포함하는 코팅이다. 다른 예에서, 다중-성분 코팅은 Y2O3의 제1 성분 및 YF3의 제2 성분을 포함할 수 있다. 제1 성분 및 제2 성분은 다중-성분 코팅의 상이한 층들로 배열될 수 있다. 일부 구체예들에서, 어닐링(annealing)과 같은 가공 후에, 다중-성분 코팅의 다수의 층들은 상이한 층들의 구성 물질들을 포함하는 균질하거나 대략 균질한 코팅을 형성시키기 위해 상호확산시킬 수 있다. 예를 들어, 상이한 층들로부터의 다수의 성분들은 제1 필름 층 및 제2 필름 층의 고체 상태 상을 형성시킬 수 있다. 추가 예에서, Y2O3 층들 및 YF3 층들의 교대 스택(alternating stack)은 이트륨 옥시-플루오라이드의 고체 상태 상을 형성시키기 위해 상호확산할 수 있다. 다중-성분 코팅은 상호확산되거나 상호확산되지 않는, 다수의 상이한 옥사이드들, 다수의 상이한 플루오라이드들, 또는 하나 이상의 옥사이드들과 하나 이상의 플루오라이드들의 조합을 갖는 코팅일 수 있다. 대안적으로, 또는 추가적으로, 다중-성분 코팅은 금속과 산소, 금속과 불소, 금속과 산소 및 불소, 또는 산소 및 불소 중 하나 이상을 갖는 다수의 금속들의 혼합물을 갖는 코팅일 수 있다.
도 1은 본 발명의 구체예들에 따른 다중-성분 코팅으로 코팅된 하나 이상의 챔버 부품들을 갖는 반도체 가공 챔버(100)의 단면도이다. 가공 챔버(100)는 플라즈마 가공 조건들을 갖는 부식성 플라즈마 환경이 제공되는 공정들을 위해 사용될 수 있다. 예를 들어, 가공 챔버(100)는 플라즈마 에칭기(plasma etcher) 또는 플라즈마 에치 반응기(plasma etch reactor), 플라즈마 클리너(plasma cleaner), 등을 위한 챔버일 수 있다. 다중-성분 코팅을 포함할 수 있는 챔버 부품들의 예들은 큰 종횡비들을 갖는 홀들 및 복잡한 형상들을 갖는 챔버 부품들을 포함한다. 일부 예의 챔버 부품들은 기판 지지 어셈블리(148), 정전 척(electrostatic chuck; ESC), 고리(ring)(예를 들어, 공정 키트 고리 또는 단일 고리), 챔버 벽, 베이스(base), 가스 분배판, 샤워헤드(130), 가스 라인들, 노즐, 뚜껑, 라이너(liner), 라이너 키트(liner kit), 쉴드(shield), 플라즈마 스크린, 흐름 이퀄라이저(flow equalizer), 냉각 베이스(cooling base), 챔버 뷰포트(chamber viewport), 챔버 뚜껑, 등을 포함한다. 다중-성분 코팅은 하기에서 보다 상세히 기술되는 것으로서, 이는 ALD 공정을 이용하여 적용된다. ALD는 도 2를 참조로 하여 보다 상세히 기술되는 것으로서, 이는 복잡한 형상들 및 큰 종횡비들을 갖는 홀들을 갖는 부품들을 포함하는 모든 타입들의 부품들 상에 비교적 균일한 두께의 콘포말한 코팅의 적용을 가능하게 한다.
다중-성분 코팅은 옥사이드 기반 세라믹들, 니트라이드 기반 세라믹들 및 카바이드 기반 세라믹들을 포함하는 다양한 세라믹들로 ALD를 이용하여 성장되거나 증착될 수 있다. 옥사이드 기반 세라믹들의 예들은 SiO2(석영), Al2O3, Y2O3, Y4Al2O9, Y2O3-ZrO2 등을 포함한다. 카바이드 기반 세라믹들의 예들은 SiC, Si-SiC, 등을 포함한다. 니트라이드 기반 세라믹들의 예들은 AlN, SiN, 등을 포함한다.
일 구체예에서, 가공 챔버(100)는 내부 부피(106)를 둘러싸는 챔버 바디(102) 및 샤워헤드(130)를 포함한다. 샤워헤드(130)는 샤워헤드 베이스 및 샤워헤드 가스 분배판을 포함할 수 있다. 대안적으로, 샤워헤드(130)는 일부 구체예들에서 뚜껑 및 노즐에 의해 대체될 수 있다. 챔버 바디(102)는 알루미늄, 스테인레스 스틸 또는 다른 적합한 물질로부터 제작될 수 있다. 챔버 바디(102)는 일반적으로 측벽들(108) 및 바닥(110)을 포함한다. 임의 샤워헤드(130)(또는 뚜껑 및/또는 노즐), 측벽들(108) 및/또는 바닥(110)은 다중-성분 코팅을 포함할 수 있다.
외부 라이너(outler liner; 116)는 챔버 바디(102)를 보호하기 위해 측벽들(108)에 인접하여 배치될 수 있다. 외부 라이너(116)는 다중-성분 코팅으로 제작되고/거나 코팅될 수 있다. 일 구체예에서, 외부 라이너(116)는 알루미늄 옥사이드로부터 제작된다.
배기 포트(126)는 챔버 바디(102)에서 규정될 수 있고, 내부 부피(106)를 펌프 시스템(128)에 결합시킬 수 있다. 펌프 시스템(128)은 가공 챔버(100)의 내부 부피(106)의 압력을 배기시키고 조절하기 위해 사용되는 하나 이상의 펌프들 및 쓰로틀 밸브들(throttle valves)을 포함할 수 있다.
샤워헤드(130)는 챔버 바디(102)의 측벽(108) 및/또는 상부 상에서 지지될 수 있다. 샤워헤드(130)(또는 뚜껑)는 일부 구체예들에서 가공 챔버(100)의 내부 부피(106)에 대한 접근을 가능하게 하기 위해 개방될 수 있고, 폐쇄되는 동안 가공 챔버(100)를 위한 시일(seal)을 제공할 수 있다. 가스 패널(158)은 샤워헤드(130) 또는 뚜껑 및 노즐을 통해 공정 및/또는 세정 가스들을 내부 부피(106)로 제공하기 위해 가공 챔버(100)에 결합될 수 있다. 샤워헤드(130)는 유전체 에치(dielectric etch)(유전체 물질들의 에칭)를 위해 사용되는 가공 챔버들을 위해 사용된다. 샤워헤드(130)는 GDP 전반에 걸쳐 다수의 가스 전달 홀들(132)을 갖는 가스 분배판(GDP)을 포함할 수 있다. 샤워헤드(130)는 알루미늄 샤워헤드 베이스 또는 양극산화 알루미늄 샤워헤드 베이스에 결합된 GDP를 포함할 수 있다. GDP(133)는 Si 또는 SiC로부터 제조될 수 있거나, 세라믹, 예를 들어, Y2O3, Al2O3, YAG, 등일 수 있다. 샤워헤드(130) 및 전달 홀들(132)은 도 4a 및 도 4b와 관련하여 하기에 보다 상세히 기술되는 바와 같은 다중-성분 코팅으로 코팅될 수 있다. 예시된 바와 같이, 샤워헤드(130)는 일 구체예에 따르면, 샤워헤드(130)의 표면 상(예를 들어, 샤워헤드 베이스의 표면 및/또는 GDP의 표면 상에) 및 샤워헤드(예를 들어, 샤워헤드 베이스 및/또는 GDP)에서 가스 도관들(또한, 홀들로서 지칭됨)(132)의 벽들 둘 모두의 상에 다중-성분 코팅(152)을 갖는다. 그러나, 임의 다른 챔버 부품들, 예를 들어, 가스 라인들, 정전 척들, 노즐들, 등이 또한 다중-성분 코팅으로 코팅될 수 있는 것으로 이해될 것이다.
전도체 에치(conductor etch)(전도성 물질들의 에칭(etching))를 위해 사용되는 가공 챔버들을 위하여, 샤워헤드 보다 오히려 뚜껑이 사용될 수 있다. 뚜껑은 뚜껑의 중심 홀(center hole)에 들어맞는 중심 노즐(center nozzle)을 포함할 수 있다. 뚜껑은 세라믹, 예를 들어, Al2O3, Y2O3, YAG, 또는 Y4Al2O9 및 Y2O3-ZrO2의 고용체를 포함하는 세라믹 화합물일 수 있다. 노즐은 또한, 세라믹, 예를 들어, Y2O3, YAG, 또는 Y4Al2O9 및 Y2O3-ZrO2의 고용체를 포함하는 세라믹 화합물일 수 있다. 뚜껑, 샤워헤드(130)(예를 들어, 샤워헤드 베이스, GDP 및/또는 가스 전달 도관들/홀들을 포함함) 및/또는 노즐 모두는 일 구체예에 따른 다중-성분 코팅으로 코팅될 수 있다.
가공 챔버(100)에서 기판들을 처리하기 위해 사용될 수 있는 가공 가스들의 예들은 할로겐-함유 가스들, 예를 들어, 다른 것들 중에서, C2F6, SF6, SiCl4, HBr, NF3, CF4, CHF3, CH2F3, F, NF3, Cl2, CCl4, BCl3 및 SiF4, 및 O2, 또는 N2O와 같은 다른 가수들을 포함한다. 운반 가스들의 예들은 N2, He, Ar, 및 공정 가스들에 대해 불활성인 다른 가스들(예를 들어, 비-반응성 가스들)을 포함한다. 기판 지지 어셈블리(148)는 샤워헤드(130) 또는 뚜껑 아래의 가공 챔버(100)의 내부 부피(106)에 배치된다. 기판 지지 어셈블리(148)는 가공 동안 기판(144)을 보유하고, 냉각 플레이트에 결합된 정전 척을 포함할 수 있다.
내부 라이너는 기판 지지 어셈블리(148)의 주변부 상에 코팅될 수 있다. 내부 라이너는 외부 라이너(116)와 관련하여 논의된 것과 같은 할로겐-함유 가스 저항 물질일 수 있다. 일 구체예에서, 내부 라이너(118)는 동일한 외부 라이너(116) 물질들로부터 제작될 수 있다. 추가적으로, 내부 라이너(118)는 또한, 다중-성분 코팅으로 코팅될 수 있다.
도 2는 다양한 ALD 기술들에 따른 증착 공정을 도시한 것이다. 다양한 타입들의 ALD 공정들이 존재하며, 특정 타입은 코팅될 표면, 코팅 물질, 표면과 코팅 물질 간의 화학적 상호작용, 등과 같은 여러 인자들을 기초로 하여 선택될 수 있다. 다양한 ALD 공정들에 대한 일반 원리는 자기-제한 방식으로 소정 시간에 표면과 화학적으로 반응하는 가스상 화학적 전구체들의 순차적 교대 펄스들(sequential alternating pulses)에 코팅될 표면을 반복적으로 노출시킴으로써 얇은 필름 층을 성장시키는 것을 포함한다.
도 2는 표면(205)을 갖는 물품(210)을 예시한 것이다. 물품(210)은 기판 지지 어셈블리, 정전 척(ESC), 고리(예를 들어, 공정 키트 고리 또는 단이 고리), 챔버 벽, 베이스, 가스 분배판, 가스 라인들, 샤워헤드, 노즐, 뚜껑, 라이너, 라이너 키트, 쉴드(shield), 플라즈마 스크린, 흐름 이퀄라이저, 냉각 베이스, 챔버 뷰포트, 챔버 뚜껑, 등을 포함하지만, 이로 제한되지 않는 다양한 반도체 공정 챔버 부품들을 나타낼 수 있다. 물품(210) 및 표면(205)은 금속(예를 들어, 알루미늄, 스테인레스 스틸), 세라믹, 금속-세라믹 복합물, 폴리머, 폴리머 세라믹 복합물, 또는 다른 적합한 물질들로부터 제조될 수 있고, AlN, Si, SiC, Al2O3, SiO2, 등과 같은 물질들을 추가로 포함할 수 있다.
전구체와 표면 간의 각 개개 화학적 반응은 "반쪽-반응(half-reaction)"으로서 알려져 있다. 각 반쪽 반응 동안, 전구체는 전구체를 표면과 전부 반응시키기에 충분한 시간 동안 표면 상에 펄스화된다. 반응은, 전구체가 표면 상에 단지 유한한 수의 이용 가능한 반응성 사이트들과 반응하여 표면 상에 균일한 연속 흡착 층을 형성시키기 때문에, 자기-제한적이다. 전구체와 이미 반응된 임의 사이트들은 반응된 사이트들이 균일한 연속 코팅 상에 새로운 반응성 사이트들을 형성시키는 처리로 처리되지 않고/거나 처리될 때까지 동일한 전구체와의 추가 반응을 위해 이용 가능하지 않게 될 것이다. 예시적인 처리들은 플라즈마 처리, 라디칼들에 대한 균일한 연속 흡착 층의 노출에 의한 처리, 또는 표면에 흡착된 가장 최신의 균일한 연속 필름 층과 반응할 수 있는 상이한 전구체의 도입일 수 있다.
도 2에서, 표면(205)을 갖는 물품(210)은 제1 전구체(260)와 표면(205)의 제1 반쪽 반응이 흡착 층(214)을 형성시킴으로써 층(215)을 일부 형성할 때까지 제1 기간 동안 제1 전구체(260)에 도입될 수 있다. 후속하여, 물품(210)은 흡착 층(214)과 반응시키기 위한 제2 반쪽 반응을 야기시키고 층(215)을 전부 형성시키기 위해 제2 전구체(265)(또한, 반응물로서 지칭됨)에 도입될 수 있다. 제1 전구체(260)는 예를 들어, 알루미늄 또는 다른 금속에 대한 전구체일 수 있다. 제2 전구체(265)는 층(215)이 옥사이드인 경우 산소 전구체, 또는 층(215)이 플루오라이드인 경우 불소 전구체일 수 있다. 층(215)은 균일하고, 연속적이고, 콘포말할 수 있다. 물품(210)은 층(215)에 대한 타겟 두께를 달성하기 위해 제1 전구체(260) 및 제2 전구체(265)에 최대 x회 교대로 노출될 수 있다. X는 예를 들어, 1 내지 100의 정수일 수 있다.
후속하여, 표면(205)을 갖는 물품(210) 및 층(215)은 제2 흡착 층(218)을 형성시킴으로써 제2 층(220)을 일부 형성시키기 위해 층(215)과 반응하는 제3 전구체(270)에 도입될 수 있다. 후속하여, 물품(210)은 층(220)을 완전히 형성시키도록 제2 반쪽 반응을 야기시키기 위해 다른 전구체(275)(또는 반응물로서 지칭됨)에 도입될 수 있다. 제2 필름 층(220)은 균일하고, 연속적이고, 콘포말할 수 있다. 물품(210)은 층(220)에 대한 타겟 두께를 달성하기 위해 제3 전구체(270) 및 제4 전구체(275)에 최대 y회 교대로 노출될 수 있다. Y는 예를 들어, 1 내지 100의 정수일 수 있다.
이후에, 물품(210)을 전구체들(260 및 265)에 x회 도입하고 전구체들(270 및 275)에 y회 도입하는 순서는 반복되고 n회 수행될 수 있다. N은 예를 들어, 1 내지 100의 정수일 수 있다. 순서의 결과는 추가적인 교대 층들(225, 230, 235, 240, 245, 및 250)을 성장시키는 것일 수 있다. 층들의 수 및 두께는 타겟화된 코팅 두께 및 성질들을 기초로 하여 선택될 수 있다. 다양한 층들은 완전히 존재할 수 있거나, 일부 구체예들에서, 상호확산될 수 있다.
표면 반응들(예를 들어, 반쪽 반응들)은 순차적으로 수행된다. 신규한 전구체의 도입 이전에, ALD 공정이 일어나는 챔버는 임의 미반응된 전구체 및/또는 표면-전구체 반응 부산물들을 제거하기 위해 불활성 운반 가스(예를 들어, 질소 또는 공기)로 퍼징될 수 있다. 적어도 두 개의 전구체들이 사용된다. 일부 구체예들에서, 둘 초과의 전구체들은 동일한 조성을 갖는 필름 층들을 성장시키기 위해(예를 들어, 서로의 상부 상에서 Y2O3의 다수 층들을 성장시키기 위해) 사용될 수 있다. 다른 구체예들에서, 상이한 전구체들은 상이한 조성들을 갖는 상이한 필름 층들을 성장시키기 위해 사용될 수 있다.
ALD 공정들은 ALD 공정의 타입에 따라 다양한 온도들에서 수행될 수 있다. 특정 ALD 공정에 대한 최적의 온도 범위는 "ALD 온도 윈도우(ALD 온도 윈도우)"로서 지칭된다. ALD 온도 윈도우 미만의 온도들은 불량한 성장률들 및 비-ALD 타입 증착을 야기시킬 수 있다. ALD 온도 윈도우 초과의 온도들은 물품의 열적 분해 또는 전구체의 빠른 탈착을 야기시킬 수 있다. ALD 온도 윈도우는 약 20℃ 내지 약 400℃의 범위일 수 있다. 일부 구체예들에서, ALD 온도 윈도우는 약 150 내지 350℃이다.
ALD 공정은 복잡한 기하학적 형상들, 큰 종횡비들을 갖는 홀들, 및 3차원 구조들을 갖는 물품들 및 표면들 상에 균일한 필름 두께를 갖는 콘포말한 필름 층들을 가능하게 한다. 표면에 대한 전구체의 충분한 노출 시간은 전구체를 모든 이의 3차원의 복잡한 특성들을 포함하는 표면과 전체적으로 분산시키고 전부 반응시키게 한다. 큰 종횡비 구조들의 콘포말한 ALD를 수득하기 위해 사용되는 노출 시간은 종횡비의 제곱에 비례하고, 모델링 기술들(modeling techniques)을 이용하여 예측될 수 있다. 추가적으로, ALD 기술은 소스 물질들(예를 들어, 분말 공급원료 및 소결된 타겟들)의 길고 어려운 제작을 필요로 하지 않으면서 특정 조성물 또는 포뮬레이션의 인시튜 요구 물질 합성(in-situ on demand material synthesis)을 가능하게 하게 때문에 다른 일반적으로 사용되는 코팅 기술들에 비해 유리하다.
ALD 기술과 관련하여, 다중-성분 필름들, 예를 들어, YOxFy, YAlxOy, YZrxOy, 및 YZrxAlyOz는 예를 들어, 하기 실시예들에서 보다 상세히 예시되는 바와 같이, Y2O3, Al2O3, YF3, 및 ZrO2를 성장시키기 위해 사용되는 전구체들의 적절한 시퀀싱(sequencing)에 의해 성장될 수 있다.
도 3a는 일 구체예에 따른 반도체 공정 챔버 부품 상에 다중-성분 코팅을 형성시키는 방법(300)을 예시한 것이다. 본 방법은 다중-성분 코팅을 위한 조성물을 선택함으로써 임의적으로 개시할 수 있다. 조성물 선택 및 형성시키는 방법은 동일한 독립체(entity)에 의해 또는 다수의 독립체들에 의해 수행될 수 있다. 블록(305)과 관련하여, 본 방법은 반도체 공정 챔버 부품의 표면 상에 이트륨 옥사이드 또는 이트륨 플루오라이드의 제1 필름 층을 증착시키는 것을 포함하며, 여기서, 제1 필름 층은 ALD 공정을 이용하여 적어도 두 개의 전구체들로부터 성장된다. 블록(310)과 관련하여, 본 방법은 반도체 공정 챔버 부품의 표면 상에 추가적인 옥사이드 또는 추가적인 플루오라이드의 제2 필름 층을 증착시키는 것을 추가로 포함하며, 여기서, 제2 필름 층은 ALD 공정을 이용하여 적어도 두 개의 추가적인 전구체들로부터 성장된다. 제2 필름이 증착되기 전 또는 후에 제1 필름이 증착될 수 있다는 것이 주지된다. 이에 따라, 제1 필름은 제2 필름 위에 증착될 수 있거나, 제2 필름은 제1 필름 위에 증착될 수 있다. 일부 구체예들에서, 블록(315)과 관련하여, 본 방법은 알루미늄 옥사이드 또는 지르코늄 옥사이드를 포함하는 적어도 하나의 추가적인 필름을 증착시키는 것을 임의적으로 추가로 포함할 수 있으며, 여기서, 적어도 하나의 추가적인 필름 층은 ALD 공정을 이용하여 추가적인 전구체들로부터 성장된다.
일부 구체예들에서, 제1 필름 층이 이트륨 옥사이드를 포함할 때, 층은 하기 공정 순서에 의해 형성될 수 있다:
1) 전구체와 기판 표면의 반응. 전구체는 트리스(N,N-비스(트리메틸실릴)아미드)이트륨(III), 트리스(사이클로펜타디에닐)이트륨(III), 트리스(부틸사이클로펜타디에닐)이트륨(III), 또는 트리스(2,2,6,6-테트라메틸-3,5-헵탄디오네이토)이트륨(III)을 포함할 수 있다.
2) ALD 공정 챔버로부터 미반응된 전구체의 퍼징.
3) 제2 전구체와 표면의 반응. 제2 전구체는 H2O, O2, 또는 O3을 포함할 수 있다.
4) ALD 공정 챔버로부터 제2 미반응된 전구체의 퍼징.
일부 구체예들에서, 제1 필름 층이 이트륨 플루오라이드를 포함할 때, 사용되는 두 개의 전구체들은 트리스(2,2,6,6-테트라메틸-3,5-헵탄디오네이토)이트륨(III) 및 TiF4일 수 있다.
일부 구체예들에서, 제2 필름 층이 알루미늄 옥사이드를 포함할 때, 하나의 전구체는 디에틸알루미늄 에톡사이드, 트리스(에틸메틸아미도)알루미늄, 알루미늄 2차-부톡사이드, 알루미늄 트리브로마이드, 알루미늄 트리클로라이드, 트리에틸알루미늄, 트리이소부틸알루미늄, 트리메틸알루미늄, 또는 트리스(디에틸아미도)알루미늄을 포함할 수 있다. 제2 전구체는 H2O, O2, 또는 O3을 포함할 수 있다. 일부 구체예들에서, 제2 필름 층이 지르코늄 옥사이드를 포함할 때, 하나의 전구체는 지르코늄(IV) 브로마이드, 지르코늄(IV) 클로라이드, 지르코늄(IV) 3차-부톡사이드, 테트라키스(디에틸아미도)지르코늄(IV), 테트라키스(디메틸아미도)지르코늄(IV), 또는 테트라키스(에틸메틸아미도)지르코늄(IV)을 포함할 수 있다. 제2 전구체는 H2O, O2, 또는 O3을 포함할 수 있다.
상기에 나열된 전구체들 또는 임의 다른 적합한 전구체들은 매회 사용될 수 있으며, 이트륨 옥사이드 층, 이트륨 플루오라이드 층, 알루미늄 옥사이드 층, 또는 지르코늄 옥사이드 층은 제1, 제2, 또는 제N 층인지와는 무관하게 ALD를 이용하여 성장되며, 여기서, 제N 층은 반도체 공정 챔버 부품의 표면 상에서 성장되고 타겟화된 보호 코팅 두께 및 성질들을 기초로 하여 선택된 유한한 수의 층들을 나타낸다.
블록(325)과 관련하여, 본 방법은 궁극적으로, 제1 필름 층, 제2 필름 층, 및 임의 추가적인 필름 층들의 다중-성분 조성물을 형성시키는 것을 포함한다. 일부 구체예들에서, 블록(320)에서, 다중-성분 조성물을 형성시키는 것은 반도체 공정 챔버 부품 상에 증착된 제1 필름 층, 제2 필름 층, 및 임의 추가적인 필름 층들을 포함하는 반도체 공정 챔버 부품을 어닐링하는 것을 포함한다. 일부 구체예들에서, 어닐링은 적어도 하나의 제1 필름 층 및 적어도 하나의 제2 필름 층 및 임의 적어도 하나의 추가적인 필름 층들(존재하는 경우)의 상호확산된 고체 상태 상을 포함하는 다중-성분 조성물을 야기시킬 수 있다. 어닐링은 약 800℃ 내지 약 1800℃, 약 800℃ 내지 약 1500℃, 또는 약 800℃ 내지 약 1000℃ 범위의 온도에서 수행될 수 있다. 어닐링 온도는 이의 무결성(integrity)을 유지하고 임의 또는 모든 이러한 성분들을 변형시키거나 분해시키거나 용융시키는 것을 방지하기 위해, 물품, 표면 및 표면 층들의 구조의 물질을 기초로 하여 선택될 수 있다.
도 3b는 일 구체예에 따른 반도체 공정 챔버 부품 상에 다중-성분 코팅을 형성시키는 방법(350)을 예시한 것이다. 본 방법은 다중-성분 코팅을 위한 조성물을 선택함으로써 임의적으로 개시할 수 있다. 조성물 선택 및 형성시키는 방법은 동일한 독립체에 의해 또는 다수의 독립체들에 의해 수행될 수 있다.
블록(355)과 관련하여, 본 방법은 ALD를 통해 물품의 표면 상에 제1 옥사이드 또는 제1 플루오라이드의 필름 층을 증착시키는 것을 포함한다. 블록(360)과 관련하여, 본 방법은 ALD를 통해 물품의 표면 상에 제2 옥사이드 또는 제2 플루오라이드의 필름 층을 증착시키는 것을 추가로 포함한다. 제2 옥사이드 또는 제2 플루오라이드의 필름 층이 증착되기 전 또는 후에 제1 옥사이드 또는 제1 플루오라이드의 필름 층이 증착될 수 있다는 것이 주지된다. 이에 따라, 제1 옥사이드 또는 제1 플루오라이드의 필름 층은 일부 구체예들에서 제2 옥사이드 또는 제2 플루오라이드의 필름 층 위에 증착될 수 있다. 다른 구체예들에서, 제2 옥사이드 또는 제2 플루오라이드의 필름 층은 제1 옥사이드 또는 제1 플루오라이드의 필름 층 위에 증착될 수 있다. 일부 구체예들에서, 블록(365)과 관련하여, 본 방법은 ALD를 통해 물품의 표면에 제3 옥사이드 또는 제3 플루오라이드의 추가적인 필름 층을 증착시키는 것을 임의적으로 추가로 포함할 수 있다.
일부 구체예들에서, 블록(370)과 관련하여, 본 방법은 추가적인 층들이 첨가되는 지를 결정하는 것을 추가로 포함할 수 있다. 추가적인 층들을 결정하는 지, 및/또는 얼마나 많은 층들이 첨가되는 지를 결정하는 것은 인-시튜로 또는 증착들(예를 들어, 임의적인 다중-성분 조성물 선택 공정에서)을 개시하기 전에 수행될 수 있다. 추가적인 층들이 첨가되는 경우에, 블록들(355, 360, 및 임의적으로 365)이 반복될 수 있다. 추가적인 층들이 첨가되지 않는 경우에, 본 방법은 물품의 표면 상에 증착된 모든 필름 층들을 포함하는 다중-성분 조성물을 형성시키기 위해 진행한다.
일부 구체예들에서, 임의 필름 층들의 제1 옥사이드, 제2 옥사이드, 또는 제3 옥사이드가 이트륨 옥사이드를 포함할 때, ALD에 의해 이트륨 옥사이드를 형성시키기 위해 사용되는 하나의 전구체는 트리스(N,N-비스(트리메틸실릴)아미드)이트륨(III), 트리스(사이클로펜타디에닐)이트륨(III), 트리스(부틸사이클로펜타디에닐)이트륨(III), 또는 트리스(2,2,6,6-테트라메틸-3,5-헵탄디오네이토)이트륨(III)으로부터 선택될 수 있으며, 제2 전구체는 H2O, O2, 또는 O3으로부터 선택될 수 있다. 일부 구체예들에서, 임의 필름 층들의 제1 옥사이드, 제2 옥사이드, 또는 제3 옥사이드가 이트륨 플루오라이드를 포함할 때, 이러한 필름을 형성시키기 위해 사용되는 두 개의 전구체들은 트리스(2,2,6,6-테트라메틸-3,5-헵탄디오네이토)이트륨(III) 및 TiF4일 수 있다.
일부 구체예들에서, 임의 필름 층들의 제1 옥사이드, 제2 옥사이드, 또는 제3 옥사이드가 알루미늄 옥사이드를 포함할 때, ALD에 의해 알루미늄 옥사이드를 형성시키기 위해 사용되는 하나의 전구체는 디에틸알루미늄 에톡사이드, 트리스(에틸메틸아미도)알루미늄, 알루미늄 2차-부톡사이드, 알루미늄 트리브로마이드, 알루미늄 트리클로라이드, 트리에틸알루미늄, 트리이소부틸알루미늄, 트리메틸알루미늄, 또는 트리스(디에틸아미도)알루미늄으로부터 선택될 수 있으며, 제2 전구체는 H2O, O2, 또는 O3으로부터 선택될 수 있다. 일부 구체예들에서, 임의 필름 층들의 제1 옥사이드, 제2 옥사이드, 또는 제3 옥사이드가 지르코늄 옥사이드를 포함할 때, 하나의 지르코늄 옥사이드 전구체는 지르코늄(IV) 브로마이드, 지르코늄(IV) 클로라이드, 지르코늄(IV) 3차-부톡사이드, 테트라키스(디에틸아미도)지르코늄(IV), 테트라키스(디메틸아미도)지르코늄(IV), 또는 테트라키스(에틸메틸아미도)지르코늄(IV)으로부터 선택될 수 있으며, 제2 전구체는 H2O, O2, 또는 O3으로부터 선택될 수 있다.
나열된 전구체들 또는 임의 다른 적합한 전구체들은 매회 사용될 수 있으며, 이트륨 옥사이드 층, 이트륨 플루오라이드 층, 알루미늄 옥사이드 층, 또는 지르코늄 옥사이드 층은 제1, 제2 또는 제N 필름 층인 지의 여부와 무관하게, ALD를 이용하여 성장되며, 여기서, 제N 필름 층은 물품의 표면 상에 성장되고 타겟화된 보호 코팅 두께 및 성질들을 기초로 하여 선택된 유한한 수의 필름 층들을 나타낼 것이다.
일부 구체예들에서, 본 방법은 물품의 표면 상에 증착된 모든 필름 층들의 다중-성분 조성물이 어닐링될 수 있는 임의적 블록(375)으로 진행할 수 있다. 일부 구체예들에서, 어닐링은 물품의 표면 상에 증착된 모든 필름 층들의 상호확산된 고체 상태 상을 포함하는 다중-성분 조성물을 야기시킬 수 있다. 어닐링은 약 800℃ 내지 약 1800℃, 약 800℃ 내지 약 1500℃, 또는 약 800℃ 내지 약 1000℃ 범위의 온도에서 수행될 수 있다. 어닐링 온도는 이의 보존성을 유지시키고 임의 또는 모든 이러한 성분들의 변형, 분해 또는 용융시키는 것을 방지하기 위해 물품, 표면, 및 필름 층들의 구조의 물질을 기초로 하여 선택될 수 있다.
도 4a 내지 도 4d는 상이한 구체예들에 따르면 다중-성분 코팅 조성물의 변형예들을 도시한 것이다. 도 4a는 일 구체예에 따른 물품(410)의 표면(405)에 대한 다중-성분 코팅 조성물을 예시한 것이다. 표면(405)은 다양한 물품들(410)의 표면일 수 있다. 예를 들어, 물품들(410)은 기판 지지 어셈블리, 정전 척(ESC), 고리(예를 들어, 공정 키트 고리 또는 단일 고리), 챔버 벽, 베이스, 가스 분배판, 가스 라인들, 샤워헤드, 노즐, 뚜껑, 라이너, 라이너 키트, 쉴드, 플라즈마 스크린, 흐름 이퀄라이저, 냉각 베이스, 챔버 뷰포트, 챔버 뚜껑, 등을 포함하지만, 이로 제한되지 않는 다양한 반도체 공정 챔버 부품들을 포함할 수 있다. 반도체 공정 챔버 부품은 금속(예를 들어, 알루미늄, 스테인레스 스틸), 세라믹, 금속-세라믹 복합물, 폴리머, 폴리머 세라믹 복합물, 또는 다른 적합한 물질들로부터 제조될 수 있고, AlN, Si, SiC, Al2O3, SiO2, 등과 같은 물질들을 추가로 포함할 수 있다.
도 4a에서, 다중 성분 코팅 조성물은 ALD 공정을 이용하여 물품(410)의 표면(405) 상에 코팅된 이트륨 옥사이드 또는 이트륨 플루오라이드의 적어도 하나의 제1 필름 층(415), 및 ALD 공정을 이용하여 물품(410)의 표면(405) 상에 코팅된 추가적인 옥사이드 또는 추가적인 플루오라이드의 적어도 하나의 제2 필름 층(425)을 포함한다.
도 4a는 다중-성분 코팅 조성물이 제1 층(415) 및 제2 층(415)의 교대 층들의 스택을 포함하는 일 구체예를 예시한 것으로서, 여기서, 층들은 온전한 상태이고, 상호확산되지 않으며, 여기서, 동일한 수의 각각의 층들(4개의 415 층들 및 4개의 425 층들)이 존재하며, 모든 층들은 동일한 균일한 두께를 갖는다. 일부 구체예들에서, 제1 필름 층은 제2 필름 층의 증착 이전에 증착되며, 제2 필름 층은 제1 필름 층 위에 증착된다. 일부 구체예들에서, 순서는 역전될 수 있다.
도 4b는 물품(410)(예를 들어, 상술된 바와 같은 반도체 공정 챔버 부품)의 표면(405) 상에 증착된, 다중-성분 코팅 조성물이 제1 층(415), 제2 층(425) 및 적어도 하나의 추가적인 층(435)의 교대 층들의 스택을 포함하는 일 구체예를 예시한 것이며, 여기서, 층들은 완전한 상태이고, 사전결정된 순서로 그리고 균일한 동일 두께로 증착되고/거나 성장된다. 그러나, 층들의 수는 동일하지 않을 수 있으며, 특정 층들은 다른 층들에 비해 더욱 일반적일 수 있다(예를 들어, 3개의 415 층들, 3개의 425 층들, 2개의 435 층들).
일부 구체예들에서, 적어도 하나의 제1 필름 층은 제1 연속 단일층을 포함하며, 적어도 하나의 제2 필름 층은 제2 연속 단일층을 포함한다. 일부 구체예들에서, 적어도 하나의 추가적인 층은 적어도 하나의 추가적인 단일층을 포함할 수 있다.
다른 구체예들에서, 적어도 하나의 제1 필름 층은 균일한 두께를 갖는 제1의 두꺼운 층을 포함하며, 균일한 두께는 두 개의 단일층들의 두께 내지 약 1 마이크로미터 범위이며, 적어도 하나의 제2 필름 층은 제1 필름 층의 균일한 두께를 갖는 제2의 두꺼운 층을 포함한다. 또 다른 구체예들에서, 적어도 하나의 추가적인 필름 층은 균일한 두께의 다른 두 개의 두꺼운 층들을 갖는 적어도 하나의 추가적인 두꺼운 층을 포함할 수 있다.
일부 구체예들에서, 다중-성분 코팅 조성물은 적어도 하나의 제1 필름 층, 적어도 하나의 제2 필름 층, 및 임의적으로 하나 이상의 추가적인 필름 층들을 포함할 수 있으며, 여기서, 층들은 두께에 있어서 달라질 수 있다. 예를 들어, 일부 층들은 단일층들일 수 있으며, 일부 층들은 두꺼운 층들일 수 있다.
도 4c는 물품(410)의 표면(405) 상에 증착된 다중-성분 코팅이 고정된 순서 또는 고정된 두께 없이 온전한 균일한 필름 층들의 스택을 포함하는 일 구체예를 예시한 것이다. 다중-성분 코팅은 제1 두께를 갖는 제1의 두꺼운 층(420), 제1 두께와는 다른 제2 두께를 갖는 제2의 두꺼운 층(430), 및 제1 두께 및 제2 두께와는 다른 제3 두께를 갖는 적어도 하나의 추가적인 두꺼운 층(440)을 포함한다. 특정 층들은 다중-성분 코팅에 대한 특정 성질들(예를 들어, 침식/부식 내성)을 달성하기 위해 다른 층들 보다 더욱 일반적일 수 있다(예를 들어, 2개의 제1의 두꺼운 층들(420), 1개의 제2의 두꺼운 층(430), 1개 및 1개의 추사적인 두꺼운 층(440) 층).
일부 구체예들에서, 도 4a 내지 도 4c에 예시된 다양한 필름 층들은 동일한 조성을 가질 수 있다. 다른 구체예들에서, 층들의 조성들은 상이할 수 있다. 일부 구체예들에서, 다양한 필름 층들은 두께, 다공도, 플라즈마 내성, CTE와 같은 유사한 성질들을 가질 수 있다. 다른 구체예들에서, 각 필름 층은 상이한 성질들을 가질 수 있다. 도 4a 내지 도 4c가 특정 수의 필름 층들을 도시한 것이지만, 도면들이 제한적인 것으로 의도되지 않고 보다 많은 또는 보다 적은 필름 층들이 특정 구체예들에서 표면 상에 증착될 수 있는 것으로 이해된다. 일부 구체예들에서, 반도체 공정 챔버 부품의 전체 표면은 코팅될 수 있다. 다른 구체예들에서, 반도체 공정 챔버 부품들의 표면의 적어도 일부가 코팅될 수 있다.
도 4d는 물품(410)의 표면(405) 상에 증착된 다중-성분 코팅 조성물(450)이 적어도 하나의 제1 필름 층, 적어도 하나의 제2 필름 층, 및 임의적으로 적어도 하나의 추가적인 필름 층의 상호확산된 고체 상태 상을 포함하는 일 구체예를 예시한 것이다.
일부 구체예들에서, 다중-성분 코팅 조성물은, 완전한 층들 또는 상호확산된 고체 상태 층을 포함하는 경우에, YOxFy, YAlxOy, YZrxOy 및 YZrxAlyOz로 이루어진 군으로부터 선택된다. 이러한 다양한 다중-성분 코팅 조성물들을 생성시키는 공정들은 하기 실시예들에 예시되어 있다.
도 5a는 샤워헤드(500)의 저면도를 예시한 것이다. 하기에 제공되는 샤워헤드 예는 본원의 구체예들에 기술된 바와 같은 다중-성분 코팅의 사용에 의해 성능이 개선될 수 있는 단지 예시적인 챔버 부품이다. 다른 챔버 부품들의 성능이 또한 본원에 기술된 다중-성분 코팅으로 코팅될 때 개선될 수 있는 것으로 이해된다. 본원에 도시된 바와 같은 샤워헤드(500)는 복잡한 기하학적 구조 및 큰 종횡비들을 갖는 홀들을 갖는 표면을 갖는 반도체 공정 챔버 부품의 예시로서 선택된다.
하부 표면(505)의 복잡한 기하학적 구조는 다중-성분 코팅을 수용하도록 구성된다. 샤워헤드(500)의 하부 표면은 균일하게 분포된 동심 고리(concentric ring)들에 배열되는 가스 도관들(510)을 규정한다. 다른 구체예들에서, 가스 도관들(510)은 대안적인 기하학적 구성들로 구성될 수 있고, 사용되는 반응기의 타입 및/또는 공정에 따라 요구되는 바에 따른 많은 또는 적은 가스 도관들을 가질 수 있다. 다중-성분 코팅은 표면 상 뿐만 아니라, 복잡한 기하학적 구조 및 큰 종횡비들의 홀들에도 불구하고 가스 도관 홀들에서 비교적 균일한 두께의 콘포말한 코팅을 가능하게 하는 ALD 기술을 이용하여 표면(505) 상에서 그리고 가스 도관 홀들(510)에서 성장된다.
샤워헤드(500)는 불소와 같은 부식성 화학물질들에 노출될 수 있고, 샤워헤드와의 플라즈마 상호작용으로 인해 침식할 수 있다. 다중-성분 코팅층은 이러한 플라즈마 상호작용들을 감소시키고 샤워헤드의 내구성을 개선시킬 수 있다. ALD로 증착된 다중-성분 코팅 층은 샤워헤드의 기능성을 방해하지 않기 위하여 하부 표면(505) 및 가스 도관들(510)의 상대적 형상 및 기하학적 구성을 유지시킨다. 유사하게, 다른 챔버 부품들에 적용될 때, 다중-성분 코팅은 표면의 형상 및 기하학적 구성을 유지시킬 수 있으며, 이는 부품들의 기능성을 방해하지 않고 플라즈마 내성을 제공하고 전체 표면 전반에 걸쳐 침식 및/또는 부식 내성을 개선시키기 위해 코팅되도록 의도된다.
플라즈마에 대한 코팅 물질의 내성은 코팅된 부품들의 작동 및 플라즈마에 대한 노출의 기간 전반에 걸쳐, 옹스트롱/분(Å/분)의 단위를 가질 수 있는 "에칭률(etch rate)"(ER)을 통해 측정된다. 플라즈마 내성은 또한, 나노미터/무선주파수 시간(nm/RFHr)의 단위를 갖는 침식률을 통해 측정될 수 있으며, 여기서, 1 RFHr은 플라즈마 가공 조건들에서 1시간의 가공을 나타낸다. 측정들은 상이한 가공 시간들 후에 획득될 수 있다. 예를 들어, 측정들은 가공 전, 50 가공 시간 후, 150 가공 시간 후, 200 가공 시간 후, 등 획득될 수 있다. 약 100 nm/RFHr 보다 낮은 침식률은 다중-성분 플라즈마 내성 코팅 물질에 대해 일반적이다. 샤워헤드 상 또는 임의 다른 반도체 공정 챔버 부품 상에서 성장되는 다중-성분 코팅의 조성물의 변경들은 다수의 상이한 플라즈마 내성들 또는 침식율 값들을 야기시킬 수 있다. 추가적으로, 다양한 플라즈마들에 노출된 단일 조성을 갖는 다중-성분 코팅은 다수의 상이한 플라즈마 내성들 또는 침식률 값들을 가질 수 있다. 예를 들어, 플라즈마 내성 물질은 제1 타입의 플라즈마와 관련된 제1 플라즈마 내성 또는 침식률, 및 제2 타입의 플라즈마와 관련된 제2 플라즈마 내성 또는 침식률을 가질 수 있다.
도 5b는 일 구체예에 따라 코팅된 큰 종횡비를 갖는 가스 도관(510)의 확대도를 도시한 것이다. 가스 도관(510)은 길이(L) 및 직경(D)을 가질 수 있다. 가스 도관(510)은 L:D로서 규정된 큰 종횡비를 가질 수 있으며, 여기서, 종횡비는 약 50:1 내지 약 100:1의 범위일 수 있다. 일부 구체예들에서, 종횡비는 50:1 미만 또는 100:1 초과일 수 있다.
가스 도관(510)은 다중-성분 코팅으로 코팅될 수 있는 내부 표면(555)을 가질 수 있다. 다중-성분 코팅은 적어도 하나의 제1 층(560) 및 적어도 하나의 제2 층(565) 및 임의적으로 적어도 하나의 추가적인 층(미도시됨)을 포함할 수 있다. 제1 필름 층은 이트륨 옥사이드 또는 이트륨 플루오라이드를 포함할 수 있다. 제2 필름 층은 추가적인 옥사이드 또는 추가적인 플루오라이드를 포함할 수 있다. 임의적인 적어도 하나의 추가적인 층은 알루미늄 옥사이드 또는 지르코늄 옥사이드를 포함할 수 있다. 모든 층들은 ALD 공정을 이용하여 코팅될 수 있다. ALD 공정은 최종 다중-성분 코팅이 샤웨헤드에서 가스 도관들이 막혀지지 않게 하기 위해 또한 충분히 얇을 수 있다는 것을 보장하면서, 이의 큰 종횡비에도 불구하고 가스 도관(510)의 내부 표면 전반에 걸쳐 균일한 두께의 콘포말한 코팅 층들을 성장시킬 수 있다.
일부 구체예들에서, 다중-성분 코팅은 적어도 하나의 제1 층, 적어도 하나의 제2 층, 및 임의적으로 적어도 하나의 추가적인 층의 완전한 층들을 포함할 수 있다. 일 구체예에서, 제1 층, 제2 층 및 임의 임의적인 추가적인 층들은 사전결정된 순서로 번갈아 생길 수 있다. 다른 구체예에서, 제1 층, 제2 층 및 임의 임의적인 추가적인 층들은 임의 순서로 존재할 수 있다. 일부 구체예들에서, 제1 층, 제2 층, 및 임의 임의적인 추가적인 층 중 균일한 수의 각 층이 존재할 수 있다. 다른 구체예들에서, 일부 층들은 다중-성분 코팅에 대한 특정 성질들을 달성하기 위해 다른 층들 보다 더욱 일반적일 수 있다. 특정 성질들은 코팅된 반도체 공정 챔버 부품의 내구성을 개선시키는 플라즈마 내성 및 침식/부식 내성일 수 있다.
일부 구체예들에서, 완전한 층들은 균일한 두께의 단일층들을 포함할 수 있다. 다른 구체예들에서, 완전한 층들은 균일한 두께의 보다 두꺼운 층들을 포함할 수 있다. 각 보다 두꺼운 층은 두 개의 단일층들의 두께 내지 약 1 마이크로미터 범위의 두께를 가질 수 있다. 또 다른 구체예들에서, 완전한 층들은 단일층들 및 두꺼운 층들의 조합을 포함할 수 있다.
다른 구체예들에서, 다중-성분 코팅은 적어도 하나의 제1 층, 적어도 하나의 제2 층, 및 임의적으로 적어도 하나의 추가적인 층의 상호확산된 고체 상태 상을 포함할 수 있다. 일 구체예에서, 다양한 층들의 상호확산된 고체 상태 상은 어닐링을 통해 획득될 수 있다. 층들의 조성, 층들의 수, 각 층의 빈도수, 및 층들의 두께 모두는 다중-성분 코팅층의 최종 성질들에 기여할 것이다.
하기 실시예들은 본원에 기술된 구체예들을 이해하는데 도움을 주기 위해 기술된 것으로서, 본원에 기술되고 청구되어 있는 구체예들을 상세하게 제한하는 것으로서 해석되지 않을 것이다. 당업자의 권한 내에 있는 현재 공지되거나 이후에 개발될 모든 균등물들의 치환, 및 포뮬레이션의 변경들 또는 실험 디자인에서의 최소 변경들을 포함하는 이러한 변형예들은 본원에 포함된 구체예들의 범위 내에 속하는 것으로 여겨질 것이다. 이러한 실시예들은 상술된 방법(300) 또는 방법(350)을 수행함으로써 달성될 수 있다.
실시예 1 - 이트륨 옥사이드 및 이트륨 플루오라이드 단일층들로부터의 YO x F y 코팅의 형성
제1 층은 트리스(N,N-비스(트리메틸실릴)아미드)이트륨(III) 트리스(사이클로펜타디에닐)이트륨(III), 트리스(부틸사이클로펜타디에닐)이트륨(III), 또는 트리스(2,2,6,6-테트라메틸-3,5-헵탄디오네이토)이트륨(III)으로부터 선택된 전구체, 및 H2O, O2 또는 O3으로부터 선택된 제2 전구체로부터 ALD를 이용하여 성장된 이트륨 옥사이드 단일층일 수 있다. 제2 층은 전구체들 트리스(2,2,6,6-테트라메틸-3,5-헵탄디오네이토)이트륨(III) 및 TiF4의 단일 조합으로부터 ALD를 이용하여 성장된 이트륨 플루오라이드 단일층일 수 있다. 얻어진 다중-성분 코팅은 YOxFy를 포함할 수 있으며, 여기서, X 및 Y는 제1 층 및 제2 층의 반복 수에 따른다.
실시예 2 - 이트륨 옥사이드 및 알루미늄 옥사이드 단일층들로부터 YAl x O y 코팅의 형성
제1 층은 트리스(N,N-비스(트리메틸실릴)아미드)이트륨(III), 트리스(사이클로펜타디에닐)이트륨(III), 트리스(부틸사이클로펜타디에닐)이트륨(III), 또는 트리스(2,2,6,6-테트라메틸-3,5-헵탄디오네이토)이트륨(III)으로부터 선택된 전구체, 및 H2O, O2, 또는 O3으로부터 선택된 제2 전구체로부터 ALD를 이용하여 성장된 이트륨 옥사이드 단일층일 수 있다. 제2 층은 디에틸알루미늄 에톡사이드, 트리스(에틸메틸아미도)알루미늄, 알루미늄 2차-부톡사이드, 알루미늄 트리브로마이드, 알루미늄 트리클로라이드, 트리에틸알루미늄, 트리이소부틸알루미늄, 트리메틸알루미늄, 또는 트리스(디에틸아미도)알루미늄으로부터 선택된 전구체, 및 H2O, O2, 또는 O3으로부터 선택된 제2 전구체로부터 ALD를 이용하여 성장된 알루미늄 옥사이드 단일층일 수 있다. 얻어진 다중 성분 코팅은 YAlxOy를 포함할 수 있으며, 여기서, X 및 Y는 제1 층 및 제2 층의 반복 수에 따른다.
일부 실험들에서, Y2O3 및 Al2O3의 교대 층들의 스택들을 ALD를 통해 증착하였으며, 여기서, 각 층은 5 nm의 두께를 가질 수 있다. 다른 두께들은 또한, 개개 층들, 예를 들어, 수 옹스트롱 내지 약 10 nm 또는 그 보다 두꺼운 어느 곳에 대하여 사용될 수 있다. 실험들에서의 전체 코팅 두께는 약 200 nm이다. 샘플을 12시간 동안 500℃, 750℃, 800℃, 900℃, 및 또는 1000℃의 온도들에서 어닐링하였다. 샘플들 각각에 대한 코팅의 미세구조를 결정하기 위해 어닐링된 샘플 및 코팅시 샘플을 그레이징 입사 x-선 회절(grazing incidence x-ray diffraction)에 의해 분석하였다. 시험은, 코팅이 800℃ 이상의 온도들에서의 어닐링의 결과로서 비정질 구조에서 입방체 Y3Al5O12 또는 Y3Al5O13(즉, 이트륨 알루미늄 가넷(yttrium aluminum garnet)) 상으로 이루어진 주로 결정질 구조로 변형되었음을 나타내었다. 500℃ 및 750℃의 온도들에서, Y2O3의 결정화가 일어났지만, 이트륨 알루미늄 가넷 상은 형성되지 않았다. 예를 들어, 500℃에서 어닐링 후에, 비정질 상 및 결정질 Y2O3 상이 형성되었다. 비정질 상은 결정화되지 않은 일부 Y2O3을 함유할 수 있다. 하기 표 1은 증착시 및 다양한 온도들에서 어닐링된, Al2O3/Y2O3의 교대 층 필름들의 스택의 상 확인을 나타낸 것이다.
표 1: 증착시 및 다양한 온도들에서 어닐링된, Al2O3/Y2O3의 교대 층 필름들의 상 확인
Figure pat00001
도 6은 코팅시(605) 및 12시간 동안 1000℃의 온도에서 어닐링 후(610) Al2O3 및 Y2O3의 교대 층들의 스택의 x-선 회절 패턴들을 나타낸 그래프이다. 도시된 바와 같이, 교대 층들의 스택은 서로 확산되고 어닐링 후 Y3Al5O12를 형성시켰다.
실시예 3 - 이트륨 옥사이드 및 지르코늄 옥사이드 단일층들로부터 YZr x O y 코팅의 형성
제1 층은 트리스(N,N-비스(트리메틸실릴)아미드)이트륨(III), 트리스(사이클로펜타디에닐)이트륨(III), 트리스(부틸사이클로펜타디에닐)이트륨(III), 또는 트리스(2,2,6,6-테트라메틸-3,5-헵탄디오네이토)이트륨(III)으로부터 선택된 전구체, 및 H2O, O2, 또는 O3으로부터 선택된 제2 전구체로부터 ALD를 이용하여 이트륨 옥사이드 단일층일 수 있다. 제2 층은 지르코늄(IV) 브로마이드, 지르코늄(IV) 클로라이드, 지르코늄(IV) 3차-부톡사이드, 테트라키스(디에틸아미도)지르코늄(IV), 테트라키스(디메틸아미도)지르코늄(IV), 또는 테트라키스(에틸메틸아미도)지르코늄(IV)으로부터 선택된 전구체, 및 H2O, O2, 또는 O3으로부터 선택된 제2 전구체로부터 ALD를 이용하여 성장된 지르코늄 옥사이드 단일층일 수 있다. 얻어진 다중 성분 코팅은 YZrxOy를 포함할 수 있으며, 여기서, X 및 Y는 제1 층 및 제2 층의 반복 수에 따른다.
실시예 4 - 이트륨 옥사이드 , 지르코늄 옥사이드 , 및 알루미늄 옥사이드 단일 층들로부터 YZr x Al y O z 코팅의 형성
제1 층은 트리스(N,N-비스(트리메틸실릴)아미드)이트륨(III), 트리스(사이클로펜타디에닐)이트륨(III), 트리스(부틸사이클로펜타디에닐)이트륨(III), 또는 트리스(2,2,6,6-테트라메틸-3,5-헵탄디오네이토)이트륨(III)으로부터 선택된 전구체, 및 H2O, O2, 또는 O3으로부터 선택된 제2 전구체로부터 ALD를 이용하여 성장된 이트륨 옥사이드 단일층일 수 있다. 제2 층은 지르코늄(IV) 브로마이드, 지르코늄(IV) 클로라이드, 지르코늄(IV) 3차-부톡사이드, 테트라키스(디에틸아미도)지르코늄(IV), 테트라키스(디메틸아미도)지르코늄(IV), 또는 테트라키스(에틸메틸아미도)지르코늄(IV)로부터 선택된 전구체, 및 H2O, O2, 또는 O3으로부터 선택된 제2 전구체로부터 ALD를 이용하여 성장된 지르코늄 옥사이드 단일층일 수 있다. 적어도 하나의 추가적인 층은 디에틸알루미늄 에톡사이드, 트리스(에틸메틸아미도)알루미늄, 알루미늄 2차-부톡사이드, 알루미늄 트리브로마이드, 알루미늄 트리클로라이드, 트리에틸알루미늄, 트리이소부틸알루미늄, 트리메틸알루미늄, 또는 트리스(디에틸아미도)알루미늄으로부터 선택된 전구체, 및 H2O, O2, 또는 O3으로부터 선택된 제2 전구체로부터 ALD를 이용하여 성장된 알루미늄 옥사이드 단일층일 수 있다. 얻어진 다중 성분 코팅은 YZrxAlyOz를 포함할 수 있으며, 여기서, X, Y, 및 Z는 제1 층, 제2 층 및 적어도 하나의 추가적인 층의 반복 수에 따른다.
실시예 5 - 이트륨 옥사이드 및 이트륨 플루오라이드 두꺼운 층들로부터 YO x F y 코팅의 형성
제1 층은 트리스(N,N-비스(트리메틸실릴)아미드)이트륨(III), 트리스(사이클로펜타디에닐)이트륨(III), 트리스(부틸사이클로펜타디에닐)이트륨(III), 또는 트리스(2,2,6,6-테트라메틸-3,5-헵탄디오네이토)이트륨(III)으로부터 선택된 적어도 하나의 전구체, 및 H2O, O2, 또는 O3으로부터 선택된 적어도 하나의 전구체로부터 ALD를 이용하여 성장된 이트륨 옥사이드 두꺼운 층일 수 있다. 제2 층은 전구체들 트리스(2,2,6,6-테트라메틸-3,5-헵탄디오네이토)이트륨(III) 및 TiF4로부터 ALD를 이용하여 성장된 이트륨 플루오라이드 두꺼운 층일 수 있다. 얻어진 다중 성분 코팅은 YOxFy를 포함할 수 있으며, 여기서, X 및 Y는 제1 층 및 제2 층의 반복 수에 따른다.
실시예 6 - 이트륨 옥사이드 및 알루미늄 옥사이드 두꺼운 층들로부터 YAl x O y 코팅의 형성
제1 층은 트리스(N,N-비스(트리메틸실릴)아미드)이트륨(III), 트리스(사이클로펜타디에닐)이트륨(III), 트리스(부틸사이클로펜타디에닐)이트륨(III), 또는 트리스(2,2,6,6-테트라메틸-3,5-헵탄디오네이토)이트륨(III)으로부터 선택된 적어도 하나의 전구체, 및 H2O, O2, 또는 O3으로부터 선택된 적어도 하나의 전구체로부터 ALD를 이용하여 성장된 이트륨 옥사이드 두꺼운 층일 수 있다. 제2 층은 디에틸알루미늄 에톡사이드, 트리스(에틸메틸아미도)알루미늄, 알루미늄 2차-부톡사이드, 알루미늄 트리브로마이드, 알루미늄 트리클로라이드, 트리에틸알루미늄, 트리이소부틸알루미늄, 트리메틸알루미늄, 또는 트리스(디에틸아미도)알루미늄으로부터 선택된 적어도 하나의 전구체, 및 H2O, O2, 또는 O3으로부터 선택된 적어도 하나의 전구체로부터 ALD를 이용하여 성장된 알루미늄 옥사이드 두꺼운 층일 수 있다. 얻어진 다중 성분 코팅은 YAlxOy를 포함할 수 있으며, 여기서, X 및 Y는 제1 층 및 제2 층의 반복 수에 따른다.
실시예 7 - 이트륨 옥사이드 및 지르코늄 옥사이드 두꺼운 층들로부터 YZr x O y 코팅의 형성
제1 층은 트리스(N,N-비스(트리메틸실릴)아미드)이트륨(III), 트리스(사이클로펜타디에닐)이트륨(III), 트리스(부틸사이클로펜타디에닐)이트륨(III), 또는 트리스(2,2,6,6-테트라메틸-3,5-헵탄디오네이토)이트륨(III)으로부터 선택된 적어도 하나의 전구체, 및 H2O, O2, 또는 O3으로부터 선택된 적어도 하나의 전구체로부터 ALD를 이용하여 성장된 이트륨 옥사이드 두꺼운 층일 수 있다. 제2 층은 지르코늄(IV) 브로마이드, 지르코늄(IV) 클로라이드, 지르코늄(IV) 3차-부톡사이드, 테트라키스(디에틸아미도)지르코늄(IV), 테트라키스(디메틸아미도)지르코늄(IV), 또는 테트라키스(에틸메틸아미도)지르코늄(IV)으로부터 선택된 적어도 하나의 전구체, 및 H2O, O2, 또는 O3으로부터 선택된 적어도 하나의 전구체로부터 ALD를 이용하여 성장된 지르코늄 옥사이드 두꺼운 층일 수 있다. 얻어진 다중 성분 코팅은 YZrxOy를 포함할 수 있으며, 여기서, X 및 Y는 제1 층 및 제2 층의 반복 수에 따른다.
실시예 8 - 이트륨 옥사이드 , 지르코늄 옥사이드 , 및 알루미늄 옥사이드 두꺼 운 층들로부터 YZr x AlyO z 코팅의 형성
제1 층은 트리스(N,N-비스(트리메틸실릴)아미드)이트륨(III), 트리스(사이클로펜타디에닐)이트륨(III), 트리스(부틸사이클로펜타디에닐)이트륨(III), 또는 트리스(2,2,6,6-테트라메틸-3,5-헵탄디오네이토)이트륨(III)으로부터 선택된 적어도 하나의 전구체, 및 H2O, O2, 또는 O3으로부터 선택된 적어도 하나의 전구체로부터 ALD를 이용하여 성장된 이트륨 옥사이드 두꺼운 층일 수 있다. 제2 층은 지르코늄(IV) 브로마이드, 지르코늄(IV) 클로라이드, 지르코늄(IV) 3차-부톡사이드, 테트라키스(디에틸아미도)지르코늄(IV), 테트라키스(디메틸아미도)지르코늄(IV), 또는 테트라키스(에틸메틸아미도)지르코늄(IV)으로부터 선택된 적어도 하나의 전구체, 및 H2O, O2, 또는 O3으로부터 선택된 적어도 하나의 전구체로부터 ALD를 이용하여 성장된 지르코늄 옥사이드 두꺼운 층일 수 있다. 적어도 하나의 추가적인 층은 디에틸알루미늄 에톡사이드, 트리스(에틸메틸아미도)알루미늄, 알루미늄 2차-부톡사이드, 알루미늄 트리브로마이드, 알루미늄 트리클로라이드, 트리에틸알루미늄, 트리이소부틸알루미늄, 트리메틸알루미늄, 또는 트리스(디에틸아미도)알루미늄으로부터 선택된 적어도 하나의 전구체, 및 H2O, O2, 또는 O3으로부터 선택된 적어도 하나의 전구체로부터 ALD를 이용하여 성장된 알루미늄 옥사이드 두꺼운 층일 수 있다. 얻어진 다중 성분 코팅은 YZrxAlyOz를 포함할 수 있으며, 여기서, X, Y, 및 Z는 제1 층, 제2 층 및 적어도 하나의 추가적인 층의 반복 수에 따른다.
상기 설명은 본 발명의 여러 구체예들의 충분한 이해를 제공하기 위해, 특정 시스템들, 구성요소들, 방법들, 등의 예들과 같은 여러 특정 세부사항들을 기술한다. 그러나, 본 발명의 적어도 일부 구체예들이 이러한 특정 세부사항들 없이 실행될 수 있다는 것이 당업자에게 인식될 것이다. 다른 경우들에서, 널리 공지된 구성요소들 또는 방법들은 상세하게 기술되어 있지 않거나, 본 발명을 불필요하게 모호하게 하는 것을 방지하기 위해 단순 블록 다이아그램 포맷(simple block diagram format)으로 제시된다. 이에 따라, 기술된 특정 세부사항들은 단지 예시적인 것이다. 특정 실행예들은 이러한 예시적인 세부사항들로부터 다양할 수 있고, 또한 본 발명의 범위 내에 속하는 것으로 고려될 수 있다.
본 명세서 전반에 걸쳐 "일 구체예" 또는 "구체예"에 대한 언급은 그러한 구체예와 관련하여 기술된 특정 특성, 구조, 또는 특징이 적어도 하나의 구체예에 표함된다는 것을 의미한다. 이에 따라, 본 명세서 전반에 걸쳐 다양한 위치들에서 구 "일 구체예에서" 또는 "구체예에서"의 출현들(appearances)은 반드시 모두 동일한 구체예를 지칭하는 것은 아니다. 또한, 용어 "또는"은 배타적(exclusive) "또는" 이기 보다 오히려 포괄적(inclusive) "또는"을 의미하는 것으로 의도된다. 용어 "약" 또는 "대략"이 본원에서 사용될 때, 이러한 것은 제시된 공칭값(nominal value)이 ±10% 내에서 정확함을 의미하는 것으로 의도된다.
본원의 방법들의 작업들이 특정 순서로 나타내고 기술되어 있지만, 특정 작업들이 반대 순서로 수행될 수 있거나 특정 작업이 적어도 부분적으로, 다른 작업들과 동시에 수행될 수 있도록, 각 방법의 작업들의 순서가 변경될 수 있다. 다른 구체예에서, 별개의 작업들의 지시들 또는 서브-작업들은 간헐적 및/또는 교대하는 방식으로 존재할 수 있다.
상시 설명이 제한적인 것이 아니고 예시적인 것으로 의도된다고 이해될 것이다. 여러 다른 구체예들은 상시 설명일 읽고 이해할 때 당업자에게 명백하게 될 것이다. 이에 따라, 본 발명의 범위는 이러한 청구항들이 권리를 갖는 균등물들의 전체 범위와 함께, 첨부된 청구항들을 참조로 하여 결정될 것이다.

Claims (15)

  1. 반도체 공정 챔버 부품(semiconductor process chamber component)의 표면 상에 이트륨 옥사이드 또는 이트륨 플루오라이드의 제1 필름 층을 증착시키되, 제1 필름 층은 원자층 증착 공정을 이용하여 둘 이상의 전구체들로부터 성장되고;
    반도체 공정 챔버 부품의 표면 상에 추가적인 옥사이드 또는 추가적인 플루오라이드의 제2 필름 층을 증착시키되, 제2 필름 층은 원자층 증착 공정을 이용하여 둘 이상의 추가적인 전구체들로부터 성장되고;
    제1 필름 층 및 제2 필름 층을 포함하는 다중-성분 조성물(multi-component composition)을 형성시키는 것을 포함하는 방법.
  2. 제1항에 있어서, 다중-성분 조성물을 형성시키는 것이 제1 필름 층 및 제2 필름 층을 포함하는 반도체 공정 챔버 부품을 어닐링시키는 것을 포함하는 방법.
  3. 제2항에 있어서, 다중-성분 조성물이 하나 이상의 제1 필름 층 및 하나 이상의 제2 필름 층의 상호확산된 고체 상태 상(interdiffused solid state phase)을 포함하는 방법.
  4. 제1항에 있어서, 알루미늄 옥사이드 또는 지르코늄 옥사이드를 포함하는 하나 이상의 추가적인 필름 층을 증착시키는 것을 추가로 포함하며, 하나 이상의 추가적인 필름 층이 원자층 증착 공정을 이용하여 하나 이상의 추가적인 전구체로부터 성장되는 방법.
  5. 제1항에 있어서, 제1 필름 층이 제2 필름 층의 증착 전에 증착되며, 제2 필름 층이 제1 필름 층 위에 증착되는 방법.
  6. 제1항에 있어서, 제1 필름 층이 이트륨 옥사이드를 포함하며, 두 개의 전구체들 중 제1 전구체가 트리스(N,N-비스(트리메틸실릴)아미드)이트륨(III), 트리스(사이클로펜타디에닐)이트륨(III), 트리스(부틸사이클로펜타디에닐)이트륨(III), 또는 트리스(2,2,6,6-테트라메틸-3,5-헵탄디오네이토)이트륨(III) 중 하나 이상을 포함하며, 두 개의 전구체들 중 제2 전구체가 H2O, O2, 또는 O3 중 하나 이상을 포함하는 방법.
  7. 제1항에 있어서, 제1 필름 층이 이트륨 플루오라이드를 포함하며, 두 개의 전구체들이 트리스(2,2,6,6-테트라메틸-3,5-헵탄디오네이토)이트륨(III) 및 TiF4를 포함하는 방법.
  8. 제1항에 있어서, 제2 필름 층이 알루미늄 옥사이드를 포함하며, 두 개의 추가적인 전구체들 중 제1 전구체가 디에틸알루미늄 에톡사이드, 트리스(에틸메틸아미도)알루미늄, 알루미늄 2차-부톡사이드, 알루미늄 트리브로마이드, 알루미늄 트리클로라이드, 트리에틸알루미늄, 트리이소부틸알루미늄, 트리메틸알루미늄, 또는 트리스(디에틸아미도)알루미늄 중 하나 이상을 포함하며, 두 개의 추가적인 전구체들 중 제2 전구체가 H2O, O2, 또는 O3 중 하나 이상을 포함하는 방법.
  9. 제1항에 있어서, 제2 필름 층이 지르코늄 옥사이드를 포함하며, 두 개의 추가적인 전구체들 중 제1 전구체가 지르코늄(IV) 브로마이드, 지르코늄(IV) 클로라이드, 지르코늄(IV) 3차-부톡사이드, 테트라키스(디에틸아미도)지르코늄(IV), 테트라키스(디메틸아미도)지르코늄(IV), 또는 테트라키스(에틸메틸아미도)지르코늄(IV) 중 하나 이상을 포함하며, 두 개의 추가적인 전구체들 중 제2 전구체가 H2O, O2, 또는 O3 중 하나 이상을 포함하는 방법.
  10. 제1항에 있어서, 다중-성분 조성물이 하나 이상의 제1 필름 층 및 하나 이상의 제2 필름 층의 교대하는 완전한 층(alternating intact layer)을 포함하는 방법.
  11. 제1항에 있어서, 제1 필름 층이 제2 필름 층과 상이한 두께를 갖는 방법.
  12. 제1항에 있어서, 제1 필름 층이 균일한 두께를 갖는 제1의 두꺼운 층(thick layer)이며, 균일한 두께는 두 개의 단일층들의 두께 내지 약 1 마이크로미터 범위이며, 제2 필름 층이 균일한 두께를 갖는 제2의 두꺼운 층인 방법.
  13. 제1항에 있어서, 다중-성분 조성물이 YOxFy, YAlxOy, YZrxOy 및 YZrxAlyOz로 이루어진 군으로부터 선택되는 방법.
  14. 원자층 증착 공정을 이용하여 반도체 공정 챔버 부품의 표면 상에 코팅된 이트륨 옥사이드 또는 이트륨 플루오라이드의 하나 이상의 제1 필름 층; 및
    원자층 증착 공정을 이용하여 반도체 공정 챔버 부품의 표면 상에 코팅된 추가적인 옥사이드 또는 추가적인 플루오라이드의 하나 이상의 제2 필름 층을 포함하며,
    다중-성분 코팅 조성물은 YOxFy, YAlxOy, YZrxOy 및 YZrxAlyOz로 이루어진 군으로부터 선택되는, 반도체 공정 챔버 부품의 표면을 위한 다중-성분 코팅 조성물.
  15. 제14항에 있어서,
    하나 이상의 제1 필름 층이 제1 연속 단일층을 포함하며, 하나 이상의 제2 필름 층이 제2 연속 단일층을 포함하거나;
    하나 이상의 제1 필름 층이 균일한 두께를 갖는 제1의 두꺼운 층을 포함하며, 균일한 두께는 두 개의 모노층들의 두께 내지 약 1 마이크로미터의 범위이며, 하나 이상의 제2 필름 층이 균일한 두께의 제2의 두꺼운 층을 포함하는, 다중-성분 코팅 조성물.
KR1020180002181A 2016-04-27 2018-01-08 반도체 공정 챔버 부품들을 위한 보호 코팅들의 원자층 증착 KR102230128B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020190058708A KR102329708B1 (ko) 2016-04-27 2019-05-20 반도체 공정 챔버 부품들을 위한 보호 코팅들의 원자층 증착

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201662328588P 2016-04-27 2016-04-27
US62/328,588 2016-04-27
US15/495,624 US11326253B2 (en) 2016-04-27 2017-04-24 Atomic layer deposition of protective coatings for semiconductor process chamber components
US15/495,624 2017-04-24

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020170053672A Division KR20170122674A (ko) 2016-04-27 2017-04-26 반도체 공정 챔버 부품들을 위한 보호 코팅들의 원자층 증착

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020190058708A Division KR102329708B1 (ko) 2016-04-27 2019-05-20 반도체 공정 챔버 부품들을 위한 보호 코팅들의 원자층 증착

Publications (2)

Publication Number Publication Date
KR20180006990A true KR20180006990A (ko) 2018-01-19
KR102230128B1 KR102230128B1 (ko) 2021-03-18

Family

ID=60158184

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020170053672A KR20170122674A (ko) 2016-04-27 2017-04-26 반도체 공정 챔버 부품들을 위한 보호 코팅들의 원자층 증착
KR1020180002181A KR102230128B1 (ko) 2016-04-27 2018-01-08 반도체 공정 챔버 부품들을 위한 보호 코팅들의 원자층 증착
KR1020190058708A KR102329708B1 (ko) 2016-04-27 2019-05-20 반도체 공정 챔버 부품들을 위한 보호 코팅들의 원자층 증착

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020170053672A KR20170122674A (ko) 2016-04-27 2017-04-26 반도체 공정 챔버 부품들을 위한 보호 코팅들의 원자층 증착

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020190058708A KR102329708B1 (ko) 2016-04-27 2019-05-20 반도체 공정 챔버 부품들을 위한 보호 코팅들의 원자층 증착

Country Status (5)

Country Link
US (4) US11326253B2 (ko)
JP (4) JP7125251B2 (ko)
KR (3) KR20170122674A (ko)
CN (4) CN107313027B (ko)
TW (4) TWI734124B (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20230012675A (ko) 2021-07-16 2023-01-26 (주)위지트 반도체 공정 챔버 부품들의 원자층 증착 방법
KR102581079B1 (ko) 2022-10-21 2023-09-21 (주)위지트 반도체 공정 챔버 부품 원자층 증착 방법

Families Citing this family (232)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10861682B2 (en) 2014-07-31 2020-12-08 iSenseCloud, Inc. Test wafer with optical fiber with Bragg Grating sensors
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9828672B2 (en) 2015-03-26 2017-11-28 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11326253B2 (en) 2016-04-27 2022-05-10 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
TWI742092B (zh) * 2016-06-13 2021-10-11 美商應用材料股份有限公司 用於ald、cvd與薄膜摻雜之鑭系、釔與鈧前驅物及使用方法
US9850573B1 (en) * 2016-06-23 2017-12-26 Applied Materials, Inc. Non-line of sight deposition of erbium based plasma resistant ceramic coating
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
CN110199053A (zh) * 2017-01-16 2019-09-03 恩特格里斯公司 用氟退火膜涂布的制品
US10186400B2 (en) * 2017-01-20 2019-01-22 Applied Materials, Inc. Multi-layer plasma resistant coating by atomic layer deposition
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10224224B2 (en) 2017-03-10 2019-03-05 Micromaterials, LLC High pressure wafer processing systems and related methods
US10975469B2 (en) * 2017-03-17 2021-04-13 Applied Materials, Inc. Plasma resistant coating of porous body by atomic layer deposition
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10755900B2 (en) * 2017-05-10 2020-08-25 Applied Materials, Inc. Multi-layer plasma erosion protection for chamber components
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US10847360B2 (en) 2017-05-25 2020-11-24 Applied Materials, Inc. High pressure treatment of silicon nitride film
JP7190450B2 (ja) 2017-06-02 2022-12-15 アプライド マテリアルズ インコーポレイテッド 炭化ホウ素ハードマスクのドライストリッピング
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
TWI794238B (zh) * 2017-07-13 2023-03-01 荷蘭商Asm智慧財產控股公司 於單一加工腔室中自半導體膜移除氧化物及碳之裝置及方法
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
KR102405723B1 (ko) 2017-08-18 2022-06-07 어플라이드 머티어리얼스, 인코포레이티드 고압 및 고온 어닐링 챔버
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
CN111095524B (zh) 2017-09-12 2023-10-03 应用材料公司 用于使用保护阻挡物层制造半导体结构的设备和方法
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10643867B2 (en) 2017-11-03 2020-05-05 Applied Materials, Inc. Annealing system and method
CN117936420A (zh) 2017-11-11 2024-04-26 微材料有限责任公司 用于高压处理腔室的气体输送系统
SG11202003438QA (en) 2017-11-16 2020-05-28 Applied Materials Inc High pressure steam anneal processing apparatus
KR20200075892A (ko) 2017-11-17 2020-06-26 어플라이드 머티어리얼스, 인코포레이티드 고압 처리 시스템을 위한 컨덴서 시스템
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
JP2021506126A (ja) 2017-12-07 2021-02-18 ラム リサーチ コーポレーションLam Research Corporation チャンバ調整における耐酸化保護層
US10760158B2 (en) * 2017-12-15 2020-09-01 Lam Research Corporation Ex situ coating of chamber components for semiconductor processing
EP3728692A4 (en) * 2017-12-18 2021-09-15 Entegris, Inc. CHEMICAL-RESISTANT MULTI-LAYER PAINTING APPLIED BY ATOMIC DEPOSITION
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
CN111699549A (zh) 2018-01-24 2020-09-22 应用材料公司 使用高压退火的接缝弥合
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11087961B2 (en) * 2018-03-02 2021-08-10 Lam Research Corporation Quartz component with protective coating
CN111902929A (zh) 2018-03-09 2020-11-06 应用材料公司 用于含金属材料的高压退火处理
WO2019182967A1 (en) 2018-03-19 2019-09-26 Applied Materials, Inc. Methods for depositing coatings on aerospace components
JP7090789B2 (ja) * 2018-03-26 2022-06-24 スーチョウ・ジェルナノ・カーボン・カンパニー・リミテッド カーボンナノチューブ製造システム
WO2019186637A1 (ja) * 2018-03-26 2019-10-03 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10714331B2 (en) 2018-04-04 2020-07-14 Applied Materials, Inc. Method to fabricate thermally stable low K-FinFET spacer
US10443126B1 (en) * 2018-04-06 2019-10-15 Applied Materials, Inc. Zone-controlled rare-earth oxide ALD and CVD coatings
CN108417620B (zh) * 2018-04-20 2021-06-15 华南理工大学 一种氧化物绝缘体薄膜及薄膜晶体管
EP3784815A4 (en) 2018-04-27 2021-11-03 Applied Materials, Inc. PROTECTION OF COMPONENTS AGAINST CORROSION
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US10566188B2 (en) 2018-05-17 2020-02-18 Applied Materials, Inc. Method to improve film stability
US20190352781A1 (en) * 2018-05-17 2019-11-21 Hamilton Sundstrand Corporation Corrosion barrier
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US10704141B2 (en) * 2018-06-01 2020-07-07 Applied Materials, Inc. In-situ CVD and ALD coating of chamber to control metal contamination
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11667575B2 (en) 2018-07-18 2023-06-06 Applied Materials, Inc. Erosion resistant metal oxide coatings
US20200024735A1 (en) * 2018-07-18 2020-01-23 Applied Materials, Inc. Erosion resistant metal fluoride coatings deposited by atomic layer deposition
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US10675581B2 (en) 2018-08-06 2020-06-09 Applied Materials, Inc. Gas abatement apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11009339B2 (en) 2018-08-23 2021-05-18 Applied Materials, Inc. Measurement of thickness of thermal barrier coatings using 3D imaging and surface subtraction methods for objects with complex geometries
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
JP7058575B2 (ja) * 2018-09-12 2022-04-22 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、基板処理装置、およびプログラム
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US20200131634A1 (en) * 2018-10-26 2020-04-30 Asm Ip Holding B.V. High temperature coatings for a preclean and etch apparatus and related methods
WO2020092002A1 (en) 2018-10-30 2020-05-07 Applied Materials, Inc. Methods for etching a structure for semiconductor applications
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
CN112996950B (zh) 2018-11-16 2024-04-05 应用材料公司 使用增强扩散工艺的膜沉积
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
CN111364027A (zh) * 2018-12-25 2020-07-03 广东聚华印刷显示技术有限公司 原子层沉积腔室部件及其制备方法、以及原子层沉积设备
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US11390947B2 (en) * 2019-03-04 2022-07-19 Applied Materials, Inc. Method of forming a fluorinated metal film
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US10858741B2 (en) 2019-03-11 2020-12-08 Applied Materials, Inc. Plasma resistant multi-layer architecture for high aspect ratio parts
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
JP2022529243A (ja) * 2019-04-16 2022-06-20 ラム リサーチ コーポレーション 表面被覆処理
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
WO2020219332A1 (en) * 2019-04-26 2020-10-29 Applied Materials, Inc. Methods of protecting aerospace components against corrosion and oxidation
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
US11794382B2 (en) * 2019-05-16 2023-10-24 Applied Materials, Inc. Methods for depositing anti-coking protective coatings on aerospace components
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
US11697879B2 (en) 2019-06-14 2023-07-11 Applied Materials, Inc. Methods for depositing sacrificial coatings on aerospace components
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
KR20210006229A (ko) * 2019-07-08 2021-01-18 주성엔지니어링(주) 기판 처리 장치의 챔버 클리닝 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
EP4207244A1 (en) 2019-08-12 2023-07-05 Kurt J. Lesker Company Ultra high purity conditions for atomic scale processing
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11466364B2 (en) * 2019-09-06 2022-10-11 Applied Materials, Inc. Methods for forming protective coatings containing crystallized aluminum oxide
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112553598B (zh) * 2019-09-25 2023-03-28 中微半导体设备(上海)股份有限公司 利用ald技术增强修复刻蚀设备部件阳极氧化涂层的方法
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210042810A (ko) * 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US20210123143A1 (en) * 2019-10-23 2021-04-29 Applied Materials, Inc. Hafnium aluminum oxide coatings deposited by atomic layer deposition
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2023504743A (ja) * 2019-12-09 2023-02-06 インテグリス・インコーポレーテッド 複数の障壁材料から作製される拡散障壁、並びに関連する物品及び方法
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11661650B2 (en) 2020-04-10 2023-05-30 Applied Materials, Inc. Yttrium oxide based coating composition
US11658014B2 (en) * 2020-04-11 2023-05-23 Applied Materials, Inc. Apparatuses and methods of protecting nickel and nickel containing components with thin films
CN115485411A (zh) * 2020-04-14 2022-12-16 恩特格里斯公司 氟化钇膜和制备和使用氟化钇膜的方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
CN211972444U (zh) * 2020-04-23 2020-11-20 京东方科技集团股份有限公司 一种导流器及等离子化学气相沉积设备
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11519066B2 (en) 2020-05-21 2022-12-06 Applied Materials, Inc. Nitride protective coatings on aerospace components and methods for making the same
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
WO2022005696A1 (en) 2020-07-03 2022-01-06 Applied Materials, Inc. Methods for refurbishing aerospace components
EP4179127A1 (en) * 2020-07-09 2023-05-17 Entegris, Inc. Coatings that contain fluorinated yttrium oxide and a metal oxide, and methods of preparing and using the coatings
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
KR20220033742A (ko) * 2020-09-10 2022-03-17 주식회사 테스 식각 물질로부터 장치를 보호하는 방법 및 산화막 형성 방법
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) * 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
FI130562B (en) * 2021-05-21 2023-11-21 Picosun Oy Plasma resistant coating, related manufacturing process and uses
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
WO2024006393A1 (en) * 2022-06-28 2024-01-04 Entegris, Inc. Modules for delivery systems and related methods

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001355070A (ja) * 2000-04-14 2001-12-25 Asm Microchemistry Oy 酸化物薄膜を製造する方法
WO2014205212A1 (en) * 2013-06-20 2014-12-24 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings

Family Cites Families (110)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03115535A (ja) 1989-09-28 1991-05-16 Nippon Mining Co Ltd 希土類金属の酸素低減方法
US5805973A (en) 1991-03-25 1998-09-08 General Electric Company Coated articles and method for the prevention of fuel thermal degradation deposits
US5630314A (en) 1992-09-10 1997-05-20 Hitachi, Ltd. Thermal stress relaxation type ceramic coated heat-resistant element
JP3362113B2 (ja) 1997-07-15 2003-01-07 日本碍子株式会社 耐蝕性部材、ウエハー設置部材および耐蝕性部材の製造方法
JP3510993B2 (ja) 1999-12-10 2004-03-29 トーカロ株式会社 プラズマ処理容器内部材およびその製造方法
US6685991B2 (en) 2000-07-31 2004-02-03 Shin-Etsu Chemical Co., Ltd. Method for formation of thermal-spray coating layer of rare earth fluoride
US6660660B2 (en) 2000-10-10 2003-12-09 Asm International, Nv. Methods for making a dielectric stack in an integrated circuit
US7371633B2 (en) 2001-02-02 2008-05-13 Samsung Electronics Co., Ltd. Dielectric layer for semiconductor device and method of manufacturing the same
US6916534B2 (en) 2001-03-08 2005-07-12 Shin-Etsu Chemical Co., Ltd. Thermal spray spherical particles, and sprayed components
JP2002356387A (ja) 2001-03-30 2002-12-13 Toshiba Ceramics Co Ltd 耐プラズマ性部材
US7670688B2 (en) 2001-06-25 2010-03-02 Applied Materials, Inc. Erosion-resistant components for plasma process chambers
JP4277973B2 (ja) 2001-07-19 2009-06-10 日本碍子株式会社 イットリア−アルミナ複合酸化物膜の製造方法、イットリア−アルミナ複合酸化物膜および耐蝕性部材
JP4921652B2 (ja) 2001-08-03 2012-04-25 エイエスエム インターナショナル エヌ.ヴェー. イットリウム酸化物およびランタン酸化物薄膜を堆積する方法
US20030029563A1 (en) * 2001-08-10 2003-02-13 Applied Materials, Inc. Corrosion resistant coating for semiconductor processing chamber
US7371467B2 (en) 2002-01-08 2008-05-13 Applied Materials, Inc. Process chamber component having electroplated yttrium containing coating
US6942929B2 (en) 2002-01-08 2005-09-13 Nianci Han Process chamber having component with yttrium-aluminum coating
US20080213496A1 (en) 2002-02-14 2008-09-04 Applied Materials, Inc. Method of coating semiconductor processing apparatus with protective yttrium-containing coatings
JP2003277051A (ja) 2002-03-22 2003-10-02 Ngk Insulators Ltd イットリア−アルミナ複合酸化物膜を有する積層体、イットリア−アルミナ複合酸化物膜、耐蝕性部材、耐蝕性膜およびイットリア−アルミナ複合酸化物膜の製造方法
US20040025787A1 (en) 2002-04-19 2004-02-12 Selbrede Steven C. System for depositing a film onto a substrate using a low pressure gas precursor
EP1386979B1 (en) 2002-08-02 2008-03-05 Fujikura Ltd. Method of producing polycrystalline thin film and method of producing an oxide superconducting element
CN1249789C (zh) * 2002-11-28 2006-04-05 东京毅力科创株式会社 等离子体处理容器内部件
CN100495413C (zh) 2003-03-31 2009-06-03 东京毅力科创株式会社 用于邻接在处理元件上的相邻覆层的方法
US20050037536A1 (en) 2003-08-12 2005-02-17 Szu Yu Lai Semiconductor packaging structure and method for forming the same
CN1288108C (zh) 2003-10-24 2006-12-06 东芝陶瓷股份有限会社 耐等离子体构件、其制造方法及形成热喷涂涂层的方法
US7220497B2 (en) 2003-12-18 2007-05-22 Lam Research Corporation Yttria-coated ceramic components of semiconductor material processing apparatuses and methods of manufacturing the components
JP4722501B2 (ja) 2004-01-29 2011-07-13 三星電子株式会社 半導体素子の多層誘電体構造物、半導体及びその製造方法
JP4606121B2 (ja) 2004-01-29 2011-01-05 京セラ株式会社 耐食膜積層耐食性部材およびその製造方法
JP4483364B2 (ja) 2004-03-24 2010-06-16 ソニー株式会社 半導体装置の製造方法
US7119032B2 (en) 2004-08-23 2006-10-10 Air Products And Chemicals, Inc. Method to protect internal components of semiconductor processing equipment using layered superlattice materials
JP2006082474A (ja) 2004-09-17 2006-03-30 Tosoh Corp 樹脂部材
JP2006186306A (ja) 2004-09-30 2006-07-13 Toshiba Ceramics Co Ltd ガス拡散プレートおよびその製造方法
KR101226120B1 (ko) 2004-10-26 2013-01-24 쿄세라 코포레이션 내식성 부재 및 그 제조방법
KR20060098936A (ko) 2005-03-09 2006-09-19 삼성에스디아이 주식회사 플라즈마 디스플레이 패널
US8124240B2 (en) 2005-06-17 2012-02-28 Tohoku University Protective film structure of metal member, metal component employing protective film structure, and equipment for producing semiconductor or flat-plate display employing protective film structure
WO2006137541A1 (ja) * 2005-06-23 2006-12-28 Tokyo Electron Limited 半導体処理装置用の構成部材及びその製造方法
JP5028755B2 (ja) 2005-06-23 2012-09-19 東京エレクトロン株式会社 半導体処理装置の表面処理方法
US7968205B2 (en) 2005-10-21 2011-06-28 Shin-Etsu Chemical Co., Ltd. Corrosion resistant multilayer member
JP2007217782A (ja) 2006-02-20 2007-08-30 Showa Denko Kk 希土類元素のフッ化物皮膜を有する耐食性皮膜およびその製造方法
US20070237697A1 (en) 2006-03-31 2007-10-11 Tokyo Electron Limited Method of forming mixed rare earth oxide and aluminate films by atomic layer deposition
US8440049B2 (en) * 2006-05-03 2013-05-14 Applied Materials, Inc. Apparatus for etching high aspect ratio features
JP4546447B2 (ja) 2006-12-22 2010-09-15 トーカロ株式会社 耐プラズマエロージョン性に優れる溶射皮膜被覆部材およびその製造方法
JP5252613B2 (ja) 2006-12-25 2013-07-31 国立大学法人東北大学 イオン注入装置およびイオン注入方法
TW200840880A (en) 2007-04-13 2008-10-16 Hsin-Chih Lin Method of forming protection layer on contour of workpiece
US7696117B2 (en) 2007-04-27 2010-04-13 Applied Materials, Inc. Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
US10622194B2 (en) 2007-04-27 2020-04-14 Applied Materials, Inc. Bulk sintered solid solution ceramic which exhibits fracture toughness and halogen plasma resistance
CN101418435A (zh) 2007-10-26 2009-04-29 林新智 在工件的轮廓上形成保护层的方法
US8206829B2 (en) * 2008-11-10 2012-06-26 Applied Materials, Inc. Plasma resistant coatings for plasma chamber components
US9017765B2 (en) 2008-11-12 2015-04-28 Applied Materials, Inc. Protective coatings resistant to reactive plasma processing
US8858745B2 (en) 2008-11-12 2014-10-14 Applied Materials, Inc. Corrosion-resistant bonding agents for bonding ceramic components which are exposed to plasmas
TW201100578A (en) 2009-06-19 2011-01-01 Saint Gobain Ceramics & Plastics Inc Sealed plasma coatings
JP5270476B2 (ja) 2009-07-07 2013-08-21 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置
US20110117728A1 (en) 2009-08-27 2011-05-19 Applied Materials, Inc. Method of decontamination of process chamber after in-situ chamber clean
FI20095947A0 (fi) 2009-09-14 2009-09-14 Beneq Oy Monikerrospinnoite, menetelmä monikerrospinnoitteen valmistamiseksi, ja sen käyttötapoja
KR20110037282A (ko) 2009-10-06 2011-04-13 (주)티티에스 기판 처리 장치용 부재 및 이의 제조 방법 및 기판 처리 장치
WO2011049938A2 (en) 2009-10-20 2011-04-28 Saint-Gobain Ceramics & Plastics, Inc. Microelectronic processing component having a corrosion-resistant layer, microelectronic workpiece processing apparatus incorporating same, and method of forming an article having the corrosion-resistant layer
DE102010015470A1 (de) 2010-04-16 2011-10-20 Forschungszentrum Jülich GmbH Verfahren zur Innenbeschichtung von Funktionsschichten mit einem Vergütungsmaterial
TW201209957A (en) 2010-05-28 2012-03-01 Praxair Technology Inc Substrate supports for semiconductor applications
JP2012059834A (ja) 2010-09-07 2012-03-22 Hitachi Kokusai Electric Inc 半導体装置の製造方法
US8916021B2 (en) 2010-10-27 2014-12-23 Applied Materials, Inc. Electrostatic chuck and showerhead with enhanced thermal properties and methods of making thereof
JP5761784B2 (ja) 2011-01-31 2015-08-12 ダイハツ工業株式会社 オイルシールの圧入方法
US8288297B1 (en) 2011-09-01 2012-10-16 Intermolecular, Inc. Atomic layer deposition of metal oxide materials for memory applications
KR20130025025A (ko) 2011-09-01 2013-03-11 주식회사 코미코 정전척
US20130064973A1 (en) 2011-09-09 2013-03-14 Taiwan Semiconductor Manufacturing Company, Ltd. Chamber Conditioning Method
US9212099B2 (en) 2012-02-22 2015-12-15 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
US9090046B2 (en) 2012-04-16 2015-07-28 Applied Materials, Inc. Ceramic coated article and process for applying ceramic coating
US9394615B2 (en) 2012-04-27 2016-07-19 Applied Materials, Inc. Plasma resistant ceramic coated conductive article
US9023427B2 (en) 2012-05-16 2015-05-05 Asm Ip Holding B.V. Methods for forming multi-component thin films
US9988702B2 (en) 2012-05-22 2018-06-05 Kabushiki Kaisha Toshiba Component for plasma processing apparatus and method for manufacturing component for plasma processing apparatus
US9343289B2 (en) 2012-07-27 2016-05-17 Applied Materials, Inc. Chemistry compatible coating material for advanced device on-wafer particle performance
CN103794460B (zh) 2012-10-29 2016-12-21 中微半导体设备(上海)有限公司 用于半导体装置性能改善的涂层
CN103794445B (zh) 2012-10-29 2016-03-16 中微半导体设备(上海)有限公司 用于等离子体处理腔室的静电夹盘组件及制造方法
CN103794458B (zh) 2012-10-29 2016-12-21 中微半导体设备(上海)有限公司 用于等离子体处理腔室内部的部件及制造方法
US9685356B2 (en) 2012-12-11 2017-06-20 Applied Materials, Inc. Substrate support assembly having metal bonded protective layer
CN103215535B (zh) 2013-04-16 2014-10-22 中国科学院金属研究所 一种等离子刻蚀腔体表面防护涂层的制备方法
US9865434B2 (en) 2013-06-05 2018-01-09 Applied Materials, Inc. Rare-earth oxide based erosion resistant coatings for semiconductor application
US9711334B2 (en) 2013-07-19 2017-07-18 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based thin film coatings on process rings
US9583369B2 (en) 2013-07-20 2017-02-28 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles
US9624593B2 (en) 2013-08-29 2017-04-18 Applied Materials, Inc. Anodization architecture for electro-plate adhesion
US9440886B2 (en) 2013-11-12 2016-09-13 Applied Materials, Inc. Rare-earth oxide based monolithic chamber material
US9725799B2 (en) 2013-12-06 2017-08-08 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
CN104715993B (zh) 2013-12-13 2017-02-22 中微半导体设备(上海)有限公司 等离子体处理腔室、气体喷淋头及其制造方法
US9975320B2 (en) 2014-01-13 2018-05-22 Applied Materials, Inc. Diffusion bonded plasma resisted chemical vapor deposition (CVD) chamber heater
KR102369706B1 (ko) 2014-02-07 2022-03-04 엔테그리스, 아이엔씨. 정전 척 및 이의 제조 방법
WO2015151857A1 (ja) 2014-03-31 2015-10-08 株式会社東芝 耐プラズマ部品及び耐プラズマ部品の製造方法及び耐プラズマ部品の製造に用いる膜堆積装置
US9976211B2 (en) 2014-04-25 2018-05-22 Applied Materials, Inc. Plasma erosion resistant thin film coating for high temperature application
US20150311043A1 (en) 2014-04-25 2015-10-29 Applied Materials, Inc. Chamber component with fluorinated thin film coating
US9869013B2 (en) 2014-04-25 2018-01-16 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
US10730798B2 (en) 2014-05-07 2020-08-04 Applied Materials, Inc. Slurry plasma spray of plasma resistant ceramic coating
US10196728B2 (en) 2014-05-16 2019-02-05 Applied Materials, Inc. Plasma spray coating design using phase and stress control
CN105088141A (zh) 2014-05-23 2015-11-25 中微半导体设备(上海)有限公司 电感耦合型等离子体处理腔室及其抗腐蚀绝缘窗口及制造方法
US9551070B2 (en) 2014-05-30 2017-01-24 Applied Materials, Inc. In-situ corrosion resistant substrate support coating
CN105225997B (zh) 2014-06-12 2018-01-23 中微半导体设备(上海)有限公司 一种静电夹盘及静电夹盘的制造方法
US9790581B2 (en) 2014-06-25 2017-10-17 Fm Industries, Inc. Emissivity controlled coatings for semiconductor chamber components
KR20160030812A (ko) 2014-09-11 2016-03-21 삼성전자주식회사 플라즈마 처리 장치
CN105428195B (zh) 2014-09-17 2018-07-17 东京毅力科创株式会社 等离子体处理装置用的部件和部件的制造方法
US10141582B2 (en) 2014-12-22 2018-11-27 Sonata Scientific LLC SOFC interconnect barriers and methods of making same
KR20210099193A (ko) 2015-02-13 2021-08-11 엔테그리스, 아이엔씨. 기판 제품 및 장치의 특성 및 성능을 향상시키기 위한 코팅
US20160254125A1 (en) 2015-02-27 2016-09-01 Lam Research Corporation Method for coating surfaces
JP6706626B2 (ja) 2015-03-18 2020-06-10 インテグリス・インコーポレーテッド フッ化アニールした膜でコーティングした物品
US9828672B2 (en) 2015-03-26 2017-11-28 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
US9790582B2 (en) 2015-04-27 2017-10-17 Lam Research Corporation Long lifetime thermal spray coating for etching or deposition chamber application
US20160358749A1 (en) 2015-06-04 2016-12-08 Lam Research Corporation Plasma etching device with plasma etch resistant coating
US20160379806A1 (en) 2015-06-25 2016-12-29 Lam Research Corporation Use of plasma-resistant atomic layer deposition coatings to extend the lifetime of polymer components in etch chambers
US20160375515A1 (en) * 2015-06-29 2016-12-29 Lam Research Corporation Use of atomic layer deposition coatings to protect brazing line against corrosion, erosion, and arcing
US9842054B2 (en) 2015-07-08 2017-12-12 Hon Hai Precision Industry Co., Ltd. Computing device and method for processing data in cache memory of the computing device
KR101916872B1 (ko) 2015-10-15 2018-11-08 아이원스 주식회사 반도체 공정 장비 부품의 코팅층 재생 방법 및 이에 따른 반도체 공정 장비 부품
US9972520B2 (en) 2015-11-17 2018-05-15 National Chung-Shan Institute Of Science And Technology Aluminum nitride electrostatic chuck used in high temperature and high plasma power density semiconductor manufacturing process
US11326253B2 (en) * 2016-04-27 2022-05-10 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
US9850573B1 (en) 2016-06-23 2017-12-26 Applied Materials, Inc. Non-line of sight deposition of erbium based plasma resistant ceramic coating
US10186400B2 (en) 2017-01-20 2019-01-22 Applied Materials, Inc. Multi-layer plasma resistant coating by atomic layer deposition

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001355070A (ja) * 2000-04-14 2001-12-25 Asm Microchemistry Oy 酸化物薄膜を製造する方法
WO2014205212A1 (en) * 2013-06-20 2014-12-24 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20230012675A (ko) 2021-07-16 2023-01-26 (주)위지트 반도체 공정 챔버 부품들의 원자층 증착 방법
KR102581079B1 (ko) 2022-10-21 2023-09-21 (주)위지트 반도체 공정 챔버 부품 원자층 증착 방법

Also Published As

Publication number Publication date
CN207193391U (zh) 2018-04-06
CN107313027A (zh) 2017-11-03
TWI727037B (zh) 2021-05-11
KR20170122674A (ko) 2017-11-06
JP2017199907A (ja) 2017-11-02
TW201947634A (zh) 2019-12-16
JP2020097788A (ja) 2020-06-25
KR102329708B1 (ko) 2021-11-19
US11198936B2 (en) 2021-12-14
US20190271076A1 (en) 2019-09-05
TW201812075A (zh) 2018-04-01
CN108179401B (zh) 2020-11-13
TWM556402U (zh) 2018-03-01
JP7125251B2 (ja) 2022-08-24
US11326253B2 (en) 2022-05-10
KR20190057252A (ko) 2019-05-28
JP6956212B2 (ja) 2021-11-02
TW201812846A (zh) 2018-04-01
CN107313027B (zh) 2020-12-22
CN108179401A (zh) 2018-06-19
US20170314125A1 (en) 2017-11-02
US11198937B2 (en) 2021-12-14
KR102230128B1 (ko) 2021-03-18
TWI723242B (zh) 2021-04-01
JP2022176952A (ja) 2022-11-30
TWI734124B (zh) 2021-07-21
JP2018082201A (ja) 2018-05-24
CN110230038B (zh) 2021-11-19
CN110230038A (zh) 2019-09-13
US20220235458A1 (en) 2022-07-28
US20180105932A1 (en) 2018-04-19
JP7454612B2 (ja) 2024-03-22

Similar Documents

Publication Publication Date Title
KR102329708B1 (ko) 반도체 공정 챔버 부품들을 위한 보호 코팅들의 원자층 증착
US10676819B2 (en) Non-line of sight deposition of erbium based plasma resistant ceramic coating
KR102481950B1 (ko) 확산 장벽 층 및 내침식성 층을 갖는 다층 코팅
JP3224064U (ja) 原子層堆積法で堆積させた耐浸食性金属酸化物コーティング
JP3224084U (ja) 原子層堆積法で堆積させた耐浸食性金属フッ化物コーティング

Legal Events

Date Code Title Description
A107 Divisional application of patent
A107 Divisional application of patent
E701 Decision to grant or registration of patent right
GRNT Written decision to grant