CN207193391U - 多组分涂层和具有多组分涂层的半导体工艺腔室部件 - Google Patents

多组分涂层和具有多组分涂层的半导体工艺腔室部件 Download PDF

Info

Publication number
CN207193391U
CN207193391U CN201720461582.2U CN201720461582U CN207193391U CN 207193391 U CN207193391 U CN 207193391U CN 201720461582 U CN201720461582 U CN 201720461582U CN 207193391 U CN207193391 U CN 207193391U
Authority
CN
China
Prior art keywords
layer
film layer
processing chamber
coating
precursor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201720461582.2U
Other languages
English (en)
Inventor
D·芬威克
J·Y·孙
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Application granted granted Critical
Publication of CN207193391U publication Critical patent/CN207193391U/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/403Oxides of aluminium, magnesium or beryllium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45529Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making a layer stack of alternating different compositions or gradient compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/04Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material
    • C23C28/042Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material including a refractory ceramic layer, e.g. refractory metal oxides, ZrO2, rare earth oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Other Surface Treatments For Metallic Materials (AREA)

Abstract

本实用新型涉及多组分涂层和具有多组分涂层的半导体工艺腔室部件。一种用于半导体工艺腔室部件的表面的多组分涂层组成物包括使用原子层沉积工艺涂布到该半导体工艺腔室部件的该表面上的氧化钇或氟化钇的至少一个第一膜层以及使用原子层沉积工艺涂布到该半导体工艺腔室部件的该表面上的额外氧化物或额外氟化物的至少一个第二膜层,其中该多组分涂层组成物选自由YOxFy、YAlxOy、YZrxOy和YZrxAlyOz组成的群组。

Description

多组分涂层和具有多组分涂层的半导体工艺腔室部件
相关申请
本申请要求2016年4月27日提交的在审美国临时专利申请 62/328,588的优先权,该申请通过引用并入此处。
技术领域
本公开的实施例涉及用于使用原子层沉积(ALD)制备用于半导体工艺腔室部件的保护涂层的方法、多组分保护涂层、以及用多组分保护涂层涂布的半导体工艺腔室部件。
背景技术
各种制造工艺使半导体工艺腔室部件暴露于高温、高能等离子体、腐蚀性气体的混合物、高应力、以及它们的组合。这些极端条件可侵蚀腔室部件、腐蚀腔室部件、以及增加腔室部件对缺陷的敏感性。希望的是在这样的极端环境中减少这些缺陷并且提高部件的耐侵蚀和/或抗腐蚀性。用保护涂层涂布半导体工艺腔室部件是减少缺陷并延长它们的耐用期限的有效方式。
典型地,通过多种方法(诸如热喷涂、溅射、或蒸发技术)将保护涂层膜沉积在腔室部件上。在这些技术中,腔室部件的不直接暴露于蒸汽源(例如,不在材料源的视线中)的表面涂布有相较直接暴露于蒸汽源的表面显著更薄的膜、低质量膜、低密度膜,或者完全没有涂布。
实用新型内容
本实用新型的一些实施例覆盖一种用于形成半导体工艺腔室部件上的多组分涂层组成物的方法。该方法包括使用原子层沉积工艺沉积氧化钇或氟化钇的第一膜层到半导体工艺腔室部件的表面上,其中所述第一膜层由至少两种前体来生长。该方法进一步包括使用所述原子层沉积工艺沉积额外氧化物或额外氟化物的第二膜层到所述半导体工艺腔室部件的所述表面上,其中所述第二膜层由至少两种额外前体来生长。该方法进一步包括形成包含所述第一膜层和所述第二膜层的多组分组成物。在一些实施例中,该方法可进一步包括使用所述原子层沉积工艺沉积至少一个额外膜层,所述至少一个额外膜层包括氧化铝或氧化锆,其中所述至少一个额外膜层从至少两种额外前体来生长。
在一些实施例中,本实用新型覆盖一种被涂布的半导体工艺腔室部件。被涂布的半导体工艺腔室部件可包括具有表面的半导体工艺腔室部件以及被涂布在所述表面上的多组分涂层。在某些实施例中,所述多组分涂层可包括使用原子层沉积工艺涂布到所述表面上的氧化钇或氟化钇的至少一个第一膜层以及使用原子层沉积工艺涂布到所述表面上的额外氧化物或额外氟化物的至少一个第二膜层。在一些实施例中,所述多组分涂层可进一步包括至少一个额外膜层,所述至少一个额外膜层包括使用原子层沉积工艺涂布到所述表面上的氧化铝或氧化锆。
在一些实施例中,本实用新型覆盖一种用于半导体工艺腔室部件的表面的多组分涂层组成物。所述多组分涂层组成物可包括使用原子层沉积工艺涂布到所述半导体工艺腔室部件的所述表面上的氧化钇或氟化钇的至少一个第一膜层以及使用原子层沉积工艺涂布到所述半导体工艺腔室部件的所述表面上的额外氧化物或额外氟化物的至少一个第二膜层。所述多组分涂层组成物可选自由YOxFy、YAlxOy、YZrxOy和YZrxAlyOz组成的群组。
附图说明
在附图中,本公开通过示例方式而不是通过限制方式来阐述,在所述附图中,类同的附图标记指示类同的要素。应当注意,在本公开中,对“一”或“一个”实施例的不同参考未必是针对相同实施例,且此类参考意味着至少一个。
图1描绘了处理腔室的一个实施例的剖视图。
图2描绘了根据多种原子层沉积技术的沉积工艺。
图3A示出根据实施例的用于在半导体工艺腔室部件上形成多组分涂层的方法。
图3B示出根据实施例的用于在半导体工艺腔室部件上形成多组分涂层的方法。
图4A-4D描绘了根据不同实施例的多组分涂层组成物的变化。
图5A描绘了根据实施例的经涂布的腔室部件(喷头)。
图5B描绘了根据实施例涂布的具有大长径比的气体导管的放大视图。
图6是示出涂布时以及退火后Al2O3和Y2O3的交替层的叠层的 x射线衍射图案的曲线图。
具体实施方式
本文描述了关于多组分涂层的实施例,所述多组分涂层包括已经使用原子层沉积(亦称为原子单层沉积或ALD)而沉积的多个层。每个组分可以是包括在涂层的一个或多个层中的构成材料。多组分涂层的一个示例是包括第一组分钇和第二组分氧(诸如氧化钇(Y2O3))的涂层。在另一示例中,多组分涂层可包括第一组分Y2O3和第二组分YF3。第一和第二组分可被布置在多组分涂层的不同层中。在一些实施例中,在处理(诸如退火)之后,多组分涂层的多个层可互相扩散以形成包括不同层的构成材料的同质或大致同质的涂层。例如,来自不同层的多个组分可形成第一膜层和第二膜层的固态相。在进一步的示例中,Y2O3层和YF3层的交替叠层可互相扩散以形成氧氟化钇的固态相。多组分涂层可以是具有多种不同氧化物、多种不同氟化物、或者已互相扩散或尚未互相扩散的一种或多种氧化物和一种或多种氟化物的组合物的涂层。替代地,或附加地,多组分涂层可以是具有金属和氧、金属和氟、金属和氧及氟、或多种金属与氧和氟中的一者或多者的混合物的涂层。
图1是根据本实用新型的实施例的具有用多组分涂层涂布的一个或多个腔室部件的半导体处理腔室100的剖视图。处理腔室100可被用于其中提供具有等离子体处理条件的腐蚀性等离子体环境的工艺。例如,处理腔室100 可以是用于等离子体蚀刻器或等离子体蚀刻反应器、等离子体清洁器等的腔室。可包括多组分涂层的腔室部件的示例包括具有复杂的形状和有大长径比的孔的腔室部件。一些示例腔室部件包括基板支撑组件148、静电夹盘(ESC)、环(例如,工艺套件环或单一环)、腔室壁、基座、气体分配板、喷头130、气体管线、喷嘴、盖、衬垫、衬垫套件、防护罩、等离子体屏蔽件、流量均衡器、冷却基座、腔室观察口、腔室盖,等等。下面更详细描述的多组分涂层使用ALD工艺来施加。ALD参考图2进行更详细地描述,ALD允许在包括具有复杂的形状和有大长径比的孔的部件的所有类型的部件上施加具有相对较均匀厚度的共形涂层。
多组分涂层可使用ALD利用各种陶瓷来生长或沉积,所述陶瓷包括基于氧化物的陶瓷、基于氮化物的陶瓷和基于碳化物的陶瓷。基于氧化物的陶瓷的示例包括SiO2(石英)、Al2O3、Y2O3、Y4Al2O9、Y2O3-ZrO2,等等。基于碳化物的陶瓷的示例包括SiC、Si-SiC,等等。基于氮化物的陶瓷的示例包括AlN、SiN,等等。
在一个实施例中,处理腔室100包括围合内部容积106的腔室主体102和喷头130。喷头130可包括喷头基座和喷头气体分配板。替代地,在一些实施例中,可由盖和喷嘴来代替喷头130。腔室主体102可由铝、不锈钢或其他合适的材料制成。腔室主体102通常包括侧壁108和底部110。喷头 130(或盖和/或喷嘴)、侧壁108和/或底部110中的任一者可包括多组分涂层。
外衬垫116可邻近侧壁108安置以保护腔室主体102。外衬垫116 可制成和/或涂布有多组分涂层。在一个实施例中,外衬垫116由氧化铝制成。
排放口126可被限定在腔室主体102中,且可将内部容积106 耦接到泵系统128。泵系统128可包括一个或多个泵和节流阀,用于排空和调节处理腔室100的内部容积106的压力。
喷头130可被支撑在腔室主体102的侧壁108和/或顶部上。在一些实施例中,喷头130(或盖)可被打开以允许对处理腔室100的内部容积 106的访问,并且在被关闭时可为处理腔室100提供密封。气体面板158可被耦接到处理腔室100以通过喷头130或者盖和喷嘴向内部容积106提供工艺气体和/或清洁气体。喷头130针对用于电介质蚀刻(对介电材料的蚀刻)的处理腔室而使用。喷头130可包括气体分配板(GDP),在整个GDP上具有多个气体输送孔132。喷头130可包括接合到铝喷头基座或阳极化铝喷头基座的 GDP。GDP 133可由Si或SiC制成,或者可以是诸如Y2O3、Al2O3、YAG等陶瓷。喷头130和输送孔132可涂布有多组分涂层,下面联系图4A和4B进行更详细地描述。如图所示,根据一个实施例,喷头130在喷头130的表面上 (例如,在喷头基座的表面和/或GDP的表面上)以及在喷头中(例如,在喷头基座和/或GDP中)的气体导管(亦称为孔)132的壁上均具有多组分涂层 152。然而,应当理解,其他腔室部件中的任一者(诸如气体管线、静电夹盘、喷嘴及其他)也可涂布有多组分涂层。
针对用于导体蚀刻(对导电材料的蚀刻)的处理腔室,可使用盖而非喷头。盖可包括安装到该盖的中心孔中的中心喷嘴。盖可以是陶瓷,诸如Al2O3、Y2O3、YAG,或者是包括Y2O3-ZrO2的固溶体和Y4Al2O9的陶瓷化合物。喷嘴也可以是陶瓷,诸如Y2O3、YAG,或者是包括Y2O3-ZrO2的固溶体和Y4Al2O9的陶瓷化合物。根据实施例,盖、喷头130(例如,包括喷头基座、GDP和/或气体输送导管/孔)和/或喷嘴可全部涂布有多组分涂层。
可在处理腔室100中用于处理基板的处理气体的示例包括诸如 C2F6、SF6、SiCl4、HBr、NF3、CF4、CHF3、CH2F3、F、NF3、Cl2、CCl4、BCl3和SiF4等含卤素气体,以及诸如O2或N2O的其他气体。载气的示例包括N2、 He、Ar,以及对工艺气体惰性的其他气体(例如,非反应性气体)。基板支撑组件148被安置在处理腔室100的内部容积106中、在喷头130或盖下方。基板支撑组件148在处理过程中固持基板144,并且可包括接合到冷却板的静电夹盘。
内衬垫可包覆在基板支撑组件148的外围上。内衬垫可以是耐含卤素气体的材料,诸如参考外衬垫116所讨论的那些材料。在一个实施例中,内衬垫118可由与外衬垫116相同的材料制成。另外,内衬垫118也可涂布有多组分涂层。
图2描绘了根据多种ALD技术的沉积工艺。存在多种类型的ALD 工艺并且可基于若干因素(诸如待涂布的表面、涂层材料、该表面和该涂层材料之间的化学相互作用,等等)选择具体的类型。各种ALD工艺的一般原理包括通过以自限制方式一次一种地将待涂布的表面反复暴露于与该表面发生化学反应的气态化学前体的顺序交替脉冲来生长薄膜层。
图2示出了具有表面205的物件210。物件210可代表各种半导体工艺腔室部件,包括但不限于:基板支撑组件、静电夹盘(ESC)、环(例如,工艺套件环或单一环)、腔室壁、基座、气体分配板、气体管线、喷头、喷嘴、盖、衬垫、衬垫套件、防护罩、等离子体屏蔽件、流量均衡器、冷却基座、腔室观察口、腔室盖,等等。物件210和表面205可由金属(诸如铝、不锈钢)、陶瓷、金属-陶瓷复合物、聚合物、聚合物陶瓷复合物、或其他合适的材料制成,并且可进一步包括诸如AlN、Si、SiC、Al2O3、SiO2等材料。
前体和表面之间的每一个单独的化学反应可被称为“半反应”。在每一个半反应期间,前体被脉冲到表面上,持续足以允许前体与该表面完全反应的时间段。因为前体将只与表面上的有限数量的可用反应性位点(site) 发生反应,所以该反应是自限制的,从而在该表面上形成均匀的连续的吸附层。已经与前体发生反应的任何位点将变得无法与相同前体进一步反应,除非和/ 或直至已反应的位点受到处理,该处理将在均匀的连续的涂层上形成新的反应性位点。示例性处理可以是等离子体处理、通过将均匀的连续的吸附层暴露于自由基而进行的处理、或者引入能够与吸附到表面的最新的均匀的连续的膜层发生反应的不同前体。
在图2中,具有表面205的物件210可被引入到第一种前体260持续第一历时,直至第一种前体260与表面205的第一半反应通过形成吸附层214 而部分地形成层215。随后,物件210可被引入到第二种前体265(亦称为反应物)从而引起第二半反应以与吸附层214发生反应并完全地形成层215。第一种前体260可以是针对例如铝或另一金属的前体。第二种前体265在层215 是氧化物时可以是氧前体,或者在层215是氟化物时可以是氟前体。层215可以是均匀的、连续的并且共形的。物件210可交替地暴露于第一种前体260和第二种前体265多达x次以实现层215的目标厚度。X可以是例如从1到100 的整数。
随后,具有表面205和层215的物件210可被引入到第三种前体270,第三种前体270与层215发生反应以通过形成第二吸附层218而部分地形成第二层220。随后,物件210可被引入到另一种前体275(亦称为反应物)从而引起第二半反应以完全地形成层220。第二膜层220可以是均匀的、连续的并且共形的。物件210可交替地暴露于第三种前体270和第四种前体275多达y 次以实现层220的目标厚度。Y可以是例如从1到100的整数。
之后,将物件210引入到前体260和265x次以及随后引入到前体270和275y次的序列可被重复并执行n次。N可以是例如从1到100的整数。该序列的结果可以是生长额外的交替层225、230、235、240、245和250。可基于目标涂层厚度和性质来选择层的数量和厚度。各层可保持完整(intact) 或者在一些实施例中可互相扩散。
表面反应(例如,半反应)是顺序地完成的。在引入新的前体之前,在其中发生ALD工艺的腔室可利用惰性载气(诸如氮气或空气)进行净化以移除任何未反应的前体和/或表面-前体反应副产物。使用至少两种前体。在一些实施例中,使用两种以上的前体来生长具有相同组成物的膜层(例如,生长彼此相叠的多个Y2O3层)。在其他实施例中,可使用不同的前体来生长具有不同的组成物的不同膜层。
取决于ALD工艺的类型,可在不同温度下进行ALD工艺。特定ALD工艺的最佳温度范围被称为“ALD温度窗”。低于ALD温度窗的温度可导致低生长速率和非ALD类型的沉积。高于ALD温度窗的温度可导致物件的热分解或前体的快速解吸。ALD温度窗可介于从约20℃到约400℃的范围。在一些实施例中,ALD温度窗在约150-350℃之间。
ALD工艺允许在具有复杂的几何形状、有大长径比的孔、以及三维结构的物件和表面上的具有均匀的膜层厚度的共形膜层。对于表面的充足的前体暴露时间使得前体能够分散并且与整个表面(包括其所有三维复杂特征)完全反应。用于获得大长径比结构中的共形ALD的暴露时间与长径比的平方成比例并且可使用建模技术来预测。另外,ALD技术相对于其他常用的涂层技术是有利的,因为它允许特定组成物或配方的原位按需材料合成而无需源材料(诸如粉末给料和烧结靶材)的漫长而艰难的制造。
利用ALD技术,多组分膜(诸如YOxFy、YAlxOy、YZrxOy和 YZrxAlyOz)可被生长,例如,通过用于生长Y2O3、Al2O3、YF3和ZrO2的前体的恰当的序列,如下面的示例中所更详细阐述的。
图3A示出根据实施例的用于在半导体工艺腔室部件上形成多组分涂层的方法300。该方法可任选地通过选择用于多组分涂层的组成物而开始。组成物选择和形成方法可由相同的实体执行或由多个实体执行。按照框305,该方法包括使用ALD工艺沉积氧化钇或氟化钇的第一膜层到半导体工艺腔室部件的表面上,其中第一膜层由至少两种前体来生长。按照框310,该方法进一步包括使用ALD工艺沉积额外氧化物或额外氟化物的第二膜层到该半导体工艺腔室部件的该表面上,其中第二膜层由至少两种额外前体来生长。注意,第一膜层可在第二膜层被沉积之前或之后被沉积。因此,第一膜层可被沉积在第二膜层上或者第二膜层可被沉积在第一膜层上。在一些实施例中,按照框 315,该方法可任选地进一步包括使用ALD工艺沉积至少一个额外膜层,该至少一个额外膜层包括铝氧化物或锆氧化物,其中该至少一个额外膜层由额外前体来生长。
在一些实施例中,当第一膜层包括氧化钇时,该层可通过以下工艺顺序来形成:
1)前体与基板表面的反应。前体可包括三(N,N-双(三甲基甲硅烷基)酰胺) 钇(III)、三(环戊二烯基)钇(III)、三(丁基环戊二烯基)钇(III)、或三(2,2,6,6-四甲基-3,5-庚二酮酸)钇(III)。
2)从ALD工艺腔室清除非反应前体。
3)第二种前体与该表面的反应。第二种前体可包括H2O、O2、或O3
4)从ALD工艺腔室清除第二非反应前体。
在一些实施例中,当第一膜层包括氟化钇时,所使用的两种前体可以是三(2,2,6,6-四甲基-3,5-庚二酮酸)钇(III)和TiF4
在一些实施例中,当第二膜层包括氧化铝时,一种前体可包括二乙基乙醇铝、三(乙基甲基酰胺基)铝、仲丁醇铝、三溴化铝、三氯化铝、三乙基铝、三异丁基铝、三甲基铝、或三(二乙基酰胺基)铝。第二种前体可包括 H2O、O2、或O3。在一些实施例中,当第二膜层包括氧化锆时,一种前体可包括溴化锆(IV)、氯化锆(IV)、叔丁醇锆(IV)、四(二乙基酰胺基)锆(IV)、四(二甲基酰胺基)锆(IV)、或四(乙基甲基酰胺基)锆(IV)。第二种前体可包括H2O、O2、或O3
每当使用ALD来生长氧化钇层、氟化钇层、氧化铝层、或氧化锆层时,可使用上面所列举的前体或任何其他合适的前体,而不管其是第一层、还是第二层、或是第N层,其中第N层可代表在半导体工艺腔室部件的表面上生长并基于目标保护涂层厚度和性质而选择的有限数量的层。
按照框325,该方法最后包括形成该第一膜层、第二膜层和任何额外膜层的多组分组成物。在一些实施例中,按照框320,形成多组分组成物包括:使包括沉积到半导体工艺腔室部件上的该第一膜层、第二膜层和任何额外膜层的该半导体工艺腔室部件退火。在一些实施例中,退火可导致多组分组成物包括至少一个第一膜层和至少一个第二膜层以及至少一个额外膜层(如果存在)中的任何额外膜层的互相扩散的固态相。退火可在范围从约800℃到约1800℃、从约800℃到约1500℃、或从约800℃到约1000℃的温度下执行。退火温度可基于物件、表面和膜层的构成材料来选择以便维持它们的完整性并且避免使这些部件中的任何一个或全部变形、分解或熔化。
图3B示出根据实施例的用于在半导体工艺腔室部件上形成多组分涂层的方法350。该方法可任选地通过选择用于多组分涂层的组成物而开始。组成物选择和形成方法可由相同的实体执行或由多个实体执行。
按照框355,该方法包括经由ALD沉积第一氧化物或第一氟化物的膜层到物件的表面上。按照框360,该方法进一步包括经由ALD沉积第二氧化物或第二氟化物的膜层到该物件的该表面上。注意,第一氧化物或第一氟化物的膜层可在第二氧化物或第二氟化物的膜层被沉积之前或之后被沉积。因此,在一些实施例中,第一氧化物或第一氟化物的膜层可被沉积在第二氧化物或第二氟化物的膜层上。在其他实施例中,第二氧化物或第二氟化物的膜层可被沉积在第一氧化物或第一氟化物的膜层上。在一些实施例中,按照框365,该方法可任选地进一步包括经由ALD沉积第三氧化物或第三氟化物的额外膜层到该物件的该表面上。
在一些实施例中,按照框370,该方法可进一步包括确定是否有额外层待增加。确定是否有额外层和/或有多少层待增加可被原位完成,或者在开始沉积之前(例如,在任选的多组分组成物选择工艺中)完成。如果有额外层待增加,则可重复框355、360和任选地365。如果没有额外层待增加,则该方法继续以形成包括沉积到该物件的该表面上的所有膜层的多组分组成物。
在一些实施例中,当所述膜层中的任何膜层的第一氧化物、第二氧化物、或第三氧化物包括氧化钇时,用来通过ALD形成氧化钇的一种前体可选自三(N,N-双(三甲基甲硅烷基)酰胺)钇(III)、三(环戊二烯基)钇(III)、三(丁基环戊二烯基)钇(III)、或三(2,2,6,6-四甲基-3,5-庚二酮酸)钇(III),而第二种前体可选自H2O、O2、或O3。在一些实施例中,当所述膜层中的任何膜层的第一氟化物、第二氟化物、或第三氟化物包括氟化钇时,用来形成这种膜的两种前体可以是三(2,2,6,6-四甲基-3,5-庚二酮酸)钇(III)和TiF4
在一些实施例中,当所述膜层中的任何膜层的第一氧化物、第二氧化物、或第三氧化物包括氧化铝时,用来通过ALD形成氧化铝的一种前体可选自二乙基乙醇铝、三(乙基甲基酰胺基)铝、仲丁醇铝、三溴化铝、三氯化铝、三乙基铝、三异丁基铝、三甲基铝、或三(二乙基酰胺基)铝,而第二种前体可选自H2O、O2、或O3。在一些实施例中,当所述膜层中的任何膜层的第一氧化物、第二氧化物、或第三氧化物包括氧化锆时,一种氧化锆前体可选自溴化锆(IV)、氯化锆(IV)、叔丁醇锆(IV)、四(二乙基酰胺基)锆(IV)、四(二甲基酰胺基)锆(IV)、或四(乙基甲基酰胺基)锆(IV),而第二种前体可选自H2O、 O2、或O3
每当使用ALD来生长氧化钇层、氟化钇层、氧化铝层、或氧化锆层时,可使用所列举的前体或任何其他合适的前体,而不管其是第一膜层、还是第二膜层、或是第N膜层,其中第N膜层可代表在物件的表面上生长并基于目标保护涂层厚度和性质而选择的有限数量的膜层。
在一些实施例中,该方法可继续到任选框375,在框375,沉积到该物件的该表面上的所有膜层的多组分组成物可被退火。在一些实施例中,退火可导致多组分组成物包括沉积到物件的表面上的所有膜层的互相扩散的固态相。退火可在范围从约800℃到约1800℃、从约800℃到约1500℃、或从约800℃到约1000℃的温度下执行。退火温度可基于物件、表面和膜层的构成材料来选择以便维持它们的完整性并且避免使这些部件中的任何一个或全部变形、分解或熔化。
图4A-4D描绘了根据不同实施例的多组分涂层组成物的变化。图 4A示出了根据实施例的用于物件410的表面405的多组分涂层组成物。表面 405可以是各种物件410的表面。例如,物件410可包括各种半导体工艺腔室部件,包括但不限于:基板支撑组件、静电夹盘(ESC)、环(例如,工艺套件环或单一环)、腔室壁、基座、气体分配板、气体管线、喷头、喷嘴、盖、衬垫、衬垫套件、防护罩、等离子体屏蔽件、流量均衡器、冷却基座、腔室观察口、腔室盖,等等。半导体工艺腔室部件可由金属(诸如铝、不锈钢)、陶瓷、金属-陶瓷复合物、聚合物、聚合物陶瓷复合物、或其他合适的材料制成,并且可进一步包括诸如AlN、Si、SiC、Al2O3、SiO2等材料。
在图4A中,多组分涂层组成物包括:使用ALD工艺涂布到物件 410的表面405上的氧化钇或氟化钇的至少一个第一膜层415以及使用ALD 工艺涂布到物件410的表面405上的额外氧化物或额外氟化物的至少一个第二膜层425。
图4A示出了一个实施例,在该实施例中,多组分涂层组成物包括第一层415和第二层425的交替层的叠层,其中所述层是完整的(intact)且不互相扩散,其中有相等数量的每种层(四个415层和四个425层),以及其中所有层具有相等的均匀的厚度。在一些实施例中,第一膜层在第二膜层的沉积之前被沉积,且第二膜层被沉积在第一膜层上。在一些实施例中,该顺序可被颠倒。
图4B示出了一个实施例,在该实施例中,沉积在物件410(例如,如上所述的半导体工艺腔室部件)的表面405上的多组分涂层组成物包括第一层415、第二层425和至少一个额外层435的交替层的叠层,其中所述层是完整的且以预定顺序被沉积和/或生长并且具有均匀的相等的厚度。但是,层的数量可以不是相等的且某些层相较其他层可更为普遍(例如,三个415层、三个425层、两个435层)。
在一些实施例中,至少一个第一膜层包括第一连续单层,而至少一个第二膜层包括第二连续单层。在一些实施例中,至少一个额外层可包括至少一个额外单层。
在其他实施例中,至少一个第一膜层包括具有均匀厚度的第一厚层,该均匀厚度的范围从两个单层的厚度到约1微米,以及其中至少一个第二膜层包括具有第一膜层的均匀厚度的第二厚层。在又一其他实施例中,至少一个额外膜层可包括具有另外两个厚层的均匀厚度的至少一个额外厚层。
在一些实施例中,多组分涂层组成物可包括至少一个第一膜层、至少一个第二膜层、以及任选地一个或多个额外膜层,其中所述层的厚度可改变。例如,一些层可以是单层且一些层可以是厚层。
图4C示出了一个实施例,在该实施例中,沉积在物件410的表面 405上的多组分涂层包括没有固定顺序或固定厚度的完整的均匀的膜层的叠层。多组分涂层包括具有第一厚度的第一厚层420、具有与第一厚度不同的第二厚度的第二厚层430、以及具有与第一和第二厚度不同的第三厚度的至少一个额外厚层440。某些层相较其他层可以更为普遍以便实现多组分涂层的某些性质(诸如耐侵蚀/抗腐蚀性)(例如,两个第一厚层420、一个第二厚层430、以及一个额外厚层440)。
在一些实施例中,图4A至4C所示的各个膜层可具有相同的组成物。在其他实施例中,所述层的组成物可以是不同的。在一些实施例中,各个膜层可具有类似的性质,诸如厚度、孔隙率、耐等离子体性、CTE。在其他实施例中,每个膜层可具有不同的性质。要理解的是,虽然图4A-4C描绘了某个数量的膜层,但附图并不旨在限制,并且在某些实施例中,更多或更少的膜层可被沉积到表面上。在一些实施例中,半导体工艺腔室部件的整个表面可被涂布。在其他实施例中,半导体工艺腔室部件的表面的至少一部分可被涂布。
图4D示出了一个实施例,在该实施例中,沉积在物件410的表面405上的多组分涂层组成物450包括至少一个第一膜层、至少一个第二膜层、以及任选地至少一个额外膜层的互相扩散的固态相。
在一些实施例中,多组分涂层组成物(无论是包括完整的层还是互相扩散的固态相)选自由YOxFy、YAlxOy、YZrxOy和YZrxAlyOz组成的群组。下面在示例中阐述用于生成这些各种多组分涂层组成物的工艺。
图5A示出了喷头500的底视图。下面提供的喷头示例仅仅是示例性腔室部件,其性能可通过本文的实施例中所阐述的多组分涂层的使用来改善。要理解的是,其他腔室部件在涂布有本文所公开的多组分涂层时,其性能也可得到改善。此处所描绘的喷头500被选择作为具有有着复杂几何形状的表面和有着大长径比的孔的半导体工艺腔室部件的示例。
下表面505的复杂的几何形状被配置成接收多组分涂层。喷头500 的下表面505限定气体导管510,气体导管510被布置成均匀分布的同心环。在其他实施例中,气体导管510可被配置成替代的几何构造,并且取决于所使用的工艺和/或反应器类型,可按需具有或多或少的气体导管。使用ALD技术在表面505上和气体导管孔510中生长多组分涂层,从而在该表面上以及在气体导管孔中实现相对较均匀厚度的共形涂层而不管复杂的几何形状和孔的大长径比。
喷头500可暴露于腐蚀性化学品,诸如氟,并且可因等离子体与喷头的相互作用而受到侵蚀。多组分涂层可减少此类等离子体相互作用并且提高喷头的耐用期限。利用ALD沉积的多组分涂层维持下表面505的以及气体导管510的相对的形状和几何构造以便不干扰喷头的功能。类似地,当施加到其他腔室部件时,多组分涂层可维持拟涂布的表面的形状和几何构造以便不干扰部件的功能、提供耐等离子体性、以及提高整个表面的耐侵蚀和/或抗腐蚀性。
在被涂布部件的操作和对等离子体的暴露的整个期间,涂层材料对等离子体的抵抗性通过“蚀刻速率”(ER)来测量,ER的单位可以是埃/ 分钟耐等离子体性还可通过侵蚀速率来测量,侵蚀速率的单位可以是纳米/射频小时(nm/RFHr),其中一个RFHr代表在等离子体处理条件中处理一个小时。可在不同处理时间后进行测量。例如,可在处理之前、在50 个处理小时之后、在150个处理小时之后、在200个处理小时之后等进行测量。就多组分耐等离子体涂层材料而言,低于约100nm/RFHr的侵蚀速率是典型的。在喷头上或在任何其他半导体工艺腔室部件上生长的多组分涂层的组成物的变化可导致多种不同的耐等离子体性或侵蚀速率值。另外,暴露于多种等离子体的具有单一组成物的多组分涂层可具有多种不同的耐等离子体性或侵蚀速率值。例如,耐等离子体材料可具有与第一类型等离子体相关联的第一耐等离子体性或侵蚀速率和与第二类型等离子体相关联的第二耐等离子体性和侵蚀速率。
图5B描绘了根据实施例涂布的具有大长径比的气体导管510的放大视图。气体导管510可具有长度L和直径D。气体导管510可具有定义为 L:D的大长径比,其中长径比的范围可从约50:1到约100:1。在一些实施例中,长径比可小于50:1或大于100:1。
气体导管510可具有内表面555,内表面555可用多组分涂层来涂布。多组分涂层可包括至少一个第一层560和至少一个第二层565以及任选地至少一个额外层(未示出)。第一膜层可包括氧化钇或氟化钇。第二膜层可包括额外氧化物或额外氟化物。任选的至少一个额外层可包括氧化铝或氧化锆。所有层可使用ALD工艺来涂布。ALD工艺可在气体导管510的整个内表面上生长均匀厚度的共形涂层而不管其大长径比,同时确保最终的多组分涂层还可以是足够薄的以免塞住喷头中的气体导管。
在一些实施例中,多组分涂层可包括至少一个第一层、至少一个第二层和任选地至少一个额外层的完整的层。在一个实施例中,第一、第二、以及任何任选的额外层可以以预定顺序交替。在另一实施例中,第一、第二、以及任何任选的额外层可以以任意顺序存在。在一些实施例中,可以有相等数量的第一、第二、以及任何任选的额外层中的每一种。在其他实施例中,所述层中的一些层相较其他层可以更为普遍以便实现多组分涂层的某些性质。某些性质可以是耐等离子体性和耐侵蚀/抗腐蚀性,这些性质可改善被涂布半导体工艺腔室部件的耐久性。
在一些实施例中,完整的层可包括均匀厚度的单层。在其他实施例中,完整的层可包括均匀厚度的较厚层。每个较厚层可具有范围从两个单层的厚度到约1微米的厚度。在又一其他实施例中,完整的层可包括单层和厚层的组合。
在其他实施例中,多组分涂层可包括至少一个第一层、至少一个第二层、以及任选地至少一个额外层的互相扩散的固态相。在实施例中,各个层的互相扩散的固态相可通过退火来获得。层的组成物、层的数量、每种层的频率、以及层的厚度将全部对多组分涂层的最终性质有所贡献。
以下示例被阐述来帮助理解本文所描述的实施例,并且不应当被解释为具体地限制本文所描述并要求保护的实施例。会在本领域技术人员的视界内的此类改变(包括目前已知或以后开发的所有等同实施例的替代实施例)、以及配方的变化或实验设计的小变化应当被视为落在本文所包含的实施例的范围内。这些示例可通过执行上述的方法300或方法350来实现。
示例1——由氧化钇和氟化钇单层形成YOxFy涂层
第一层可以是使用ALD由选自三(N,N-双(三甲基甲硅烷基)酰胺)钇(III)、三(环戊二烯基)钇(III)、三(丁基环戊二烯基)钇(III)、或三(2,2,6,6- 四甲基-3,5-庚二酮酸)钇(III)的前体以及选自H2O、O2、或O3的第二种前体生长的氧化钇单层。第二层可以是使用ALD由前体即三(2,2,6,6-四甲基-3,5-庚二酮酸)钇(III)和TiF4的单一组合生长的氟化钇单层。得到的多组分涂层可包括 YOxFy,其中x和y取决于第一和第二层的重复次数。
示例2——由氧化钇和氧化铝单层形成YAlxOy涂层
第一层可以是使用ALD由选自三(N,N-双(三甲基甲硅烷基)酰胺)钇(III)、三(环戊二烯基)钇(III)、三(丁基环戊二烯基)钇(III)、或三(2,2,6,6- 四甲基-3,5-庚二酮酸)钇(III)的前体以及选自H2O、O2、或O3的第二种前体生长的氧化钇单层。第二层可以是使用ALD由选自二乙基乙醇铝、三(乙基甲基酰胺基)铝、仲丁醇铝、三溴化铝、三氯化铝、三乙基铝、三异丁基铝、三甲基铝、或三(二乙基酰胺基)铝的前体以及选自H2O、O2、或O3的第二种前体生长的氧化铝单层。得到的多组分涂层可包括YAlxOy,其中x和y取决于第一和第二层的重复次数。
在一些实验中,Y2O3和Al2O3的交替层的叠层经由ALD被沉积,其中每个层可具有5nm的厚度。其他厚度亦可用于单独的层,诸如从几埃到约10nm或更厚之间的任何厚度。在实验中,总涂层厚度是约200nm。样本在500℃、750℃、800℃、900℃和/或1000oC的温度下被退火持续12小时。通过掠入射x射线衍射来分析经退火且这样涂布的样本以针对样本中的每一个确定涂层的微结构。测试显示,在800℃及以上的温度下退火的结果是涂层从非晶结构转变为主要由立方Y3Al5O12或Y3Al5O13(即,钇铝石榴石)相组成的多半晶体结构。在500℃和750℃的温度下,Y2O3的结晶化发生但钇铝石榴石相不形成。例如,在500℃下退火之后,非晶相和晶体Y2O3相被形成。非晶相可包含一些尚未结晶化的Y2O3。下面的表1示出了在各个温度下这样沉积并退火的Al2O3/Y2O3的交替层膜的叠层的相识别。
表1:在各个温度下这样沉积并退火的Al2O3/Y2O3交替层膜的相识别
图6是示出涂布时605以及在1000℃的温度下退火持续12小时后610的Al2O3和Y2O3的交替层的叠层的x射线衍射图案的曲线图。如图所示,交替层的叠层扩散到彼此中并且在退火之后形成Y3Al5O12
示例3——由氧化钇和氧化锆单层形成YZrxOy涂层
第一层可以是使用ALD由选自三(N,N-双(三甲基甲硅烷基)酰胺)钇(III)、三(环戊二烯基)钇(III)、三(丁基环戊二烯基)钇(III)、或三(2,2,6,6- 四甲基-3,5-庚二酮酸)钇(III)的前体以及选自H2O、O2、或O3的第二种前体生长的氧化钇单层。第二层可以是使用ALD由选自溴化锆(IV)、氯化锆(IV)、叔丁醇锆(IV)、四(二乙基酰胺基)锆(IV)、四(二甲基酰胺基)锆(IV)、或四(乙基甲基酰胺基)锆(IV)的前体以及选自H2O、O2、或O3的第二种前体生长的氧化锆单层。得到的多组分涂层可包括YZrxOy,其中x和y取决于第一和第二层的重复次数。
示例4——由氧化钇、氧化锆和氧化铝单层形成YZrxAlyOz涂层
第一层可以是使用ALD由选自三(N,N-双(三甲基甲硅烷基)酰胺)钇(III)、三(环戊二烯基)钇(III)、三(丁基环戊二烯基)钇(III)、或三(2,2,6,6- 四甲基-3,5-庚二酮酸)钇(III)的前体以及选自H2O、O2、或O3的第二种前体生长的氧化钇单层。第二层可以是使用ALD由选自溴化锆(IV)、氯化锆(IV)、叔丁醇锆(IV)、四(二乙基酰胺基)锆(IV)、四(二甲基酰胺基)锆(IV)、或四(乙基甲基酰胺基)锆(IV)的前体以及选自H2O、O2、或O3的第二种前体生长的氧化锆单层。至少一个额外层可以是使用ALD由选自二乙基乙醇铝、三(乙基甲基酰胺基)铝、仲丁醇铝、三溴化铝、三氯化铝、三乙基铝、三异丁基铝、三甲基铝、或三(二乙基酰胺基)铝的前体以及选自H2O、O2、或O3的第二种前体生长的氧化铝单层。得到的多组分涂层可包括YZrxAlyOz,其中x、y和z取决于第一、第二和至少一个额外层的重复次数。
示例5——由氧化钇和氟化钇厚层形成YOxFy涂层
第一层可以是使用ALD由选自三(N,N-双(三甲基甲硅烷基)酰胺)钇(III)、三(环戊二烯基)钇(III)、三(丁基环戊二烯基)钇(III)、或三(2,2,6,6- 四甲基-3,5-庚二酮酸)钇(III)的至少一种前体以及选自H2O、O2、或O3的至少一种前体生长的氧化钇厚层。第二层可以是使用ALD由前体即三(2,2,6,6-四甲基-3,5-庚二酮酸)钇(III)和TiF4生长的氟化钇厚层。得到的多组分涂层可包括 YOxFy,其中x和y取决于第一和第二层的重复次数。
示例6——由氧化钇和氧化铝厚层形成YAlxOy涂层
第一层可以是使用ALD由选自三(N,N-双(三甲基甲硅烷基)酰胺)钇(III)、三(环戊二烯基)钇(III)、三(丁基环戊二烯基)钇(III)、或三(2,2,6,6- 四甲基-3,5-庚二酮酸)钇(III)的至少一种前体以及选自H2O、O2、或O3的至少一种前体生长的氧化钇厚层。第二层可以是使用ALD由选自二乙基乙醇铝、三(乙基甲基酰胺基)铝、仲丁醇铝、三溴化铝、三氯化铝、三乙基铝、三异丁基铝、三甲基铝、或三(二乙基酰胺基)铝的至少一种前体以及选自H2O、O2、或O3的至少一种前体生长的氧化铝厚层。得到的多组分涂层可包括YAlxOy,其中x和y取决于第一和第二层的重复次数。
示例7——由氧化钇和氧化锆厚层形成YZrxOy涂层
第一层可以是使用ALD由选自三(N,N-双(三甲基甲硅烷基)酰胺)钇(III)、三(环戊二烯基)钇(III)、三(丁基环戊二烯基)钇(III)、或三(2,2,6,6- 四甲基-3,5-庚二酮酸)钇(III)的至少一种前体以及选自H2O、O2、或O3的至少一种前体生长的氧化钇厚层。第二层可以是使用ALD由选自溴化锆(IV)、氯化锆(IV)、叔丁醇锆(IV)、四(二乙基酰胺基)锆(IV)、四(二甲基酰胺基)锆(IV)、或四(乙基甲基酰胺基)锆(IV)的至少一种前体以及选自H2O、O2、或O3的至少一种前体生长的氧化锆厚层。得到的多组分涂层可包括YZrxOy,其中x和y取决于第一和第二层的重复次数。
示例8——由氧化钇、氧化锆和氧化铝厚层形成YZrxAlyOz涂层
第一层可以是使用ALD由选自三(N,N-双(三甲基甲硅烷基)酰胺)钇(III)、三(环戊二烯基)钇(III)、三(丁基环戊二烯基)钇(III)、或三(2,2,6,6- 四甲基-3,5-庚二酮酸)钇(III)的至少一种前体以及选自H2O、O2、或O3的至少一种前体生长的氧化钇厚层。第二层可以是使用ALD由选自溴化锆(IV)、氯化锆(IV)、叔丁醇锆(IV)、四(二乙基酰胺基)锆(IV)、四(二甲基酰胺基)锆(IV)、或四(乙基甲基酰胺基)锆(IV)的至少一种前体以及选自H2O、O2、或O3的至少一种前体生长的氧化锆厚层。至少一个额外层可以是使用ALD由选自二乙基乙醇铝、三(乙基甲基酰胺基)铝、仲丁醇铝、三溴化铝、三氯化铝、三乙基铝、三异丁基铝、三甲基铝、或三(二乙基酰胺基)铝的至少一种前体以及选自H2O、 O2、或O3的至少一种前体生长的氧化铝厚层。得到的多组分涂层可包括 YZrxAlyOz,其中x、y和z取决于第一、第二和至少一个额外层的重复次数。
前面的描述阐述了许多具体细节,诸如具体系统、部件、方法等的示例,以便提供对本实用新型的若干实施例的良好理解。然而,对本领域技术人员而言,将显而易见的是,本实用新型的至少一些实施例可在没有这些具体细节的情况下实践。另一方面,公知的部件或方法没有被详细描述或者以简单的框图形式呈现以免不必要地模糊本实用新型。因此,所阐述的这些具体细节仅仅是示例性的。特定实现可从这些示例性细节变化而来并且仍被视为在本实用新型的范围内。
纵观本说明书,对“一个实施例”或“一实施例”的引用意味着联系该实施例而描述的特定特征、结构、或特性被包括在至少一个实施例中。因此,纵观本说明书,短语“在一个实施例中”或“在一实施例中”在多个位置的出现不一定全部指同一实施例。另外,术语“或”旨在表示包含性“或”而非排除性“或”。当本文使用术语“约”或“大约”时,旨在表示所呈现的标称值精确在±10%内。
虽然本文以特定顺序示出和描述方法的操作,但每种方法的操作的顺序可被改变使得某些操作可以以相反的顺序执行或者使得某个操作可与其他操作至少部分同时地执行。在另一实施例中,不同操作的指令或子操作可以按照间歇和/或交替的方式。
要理解的是,上面的描述旨在是说明性的,而非限制性的。对本领域技术人员而言,在阅读和理解上面的描述后,许多其他实施例将是显而易见的。因此,本实用新型的范围应当参考所附权利要求以及此类权利要求的等同实施例的全部范围来确定。

Claims (7)

1.一种被涂布的半导体工艺腔室部件,包括;
具有表面的半导体工艺腔室部件;以及
被涂布在所述表面上的多组分涂层,所述多组分涂层包括:
使用原子层沉积工艺涂布到所述表面上的氧化钇或氟化钇的至少一个第一膜层;以及
使用原子层沉积工艺涂布到所述表面上的额外氧化物或额外氟化物的至少一个第二膜层。
2.如权利要求1所述的被涂布的半导体工艺腔室部件,其中所述多组分涂层进一步包括至少一个额外膜层,所述至少一个额外膜层包括使用原子层沉积工艺涂布到所述表面上的氧化铝或氧化锆。
3.如权利要求1所述的被涂布的半导体工艺腔室部件,其中所述多组分涂层包括所述至少一个第一膜层和所述至少一个第二膜层的互相扩散的固态相。
4.如权利要求1所述的被涂布的半导体工艺腔室部件,其中所述多组分涂层包括所述至少一个第一膜层和所述至少一个第二膜层的交替的完整的层。
5.一种用于半导体工艺腔室部件的表面的多组分涂层,包括:
使用原子层沉积工艺涂布到所述半导体工艺腔室部件的所述表面上的氧化钇或氟化钇的至少一个第一膜层;以及
使用原子层沉积工艺涂布到所述半导体工艺腔室部件的所述表面上的额外氧化物或额外氟化物的至少一个第二膜层。
6.如权利要求5所述的多组分涂层,其中所述至少一个第一膜层包括第一连续单层,以及其中所述至少一个第二膜层包括第二连续单层。
7.如权利要求5所述的多组分涂层,其中所述至少一个第一膜层包括具有多达1微米的均匀厚度的第一厚层,以及其中所述至少一个第二膜层包括具有所述均匀厚度的第二厚层。
CN201720461582.2U 2016-04-27 2017-04-27 多组分涂层和具有多组分涂层的半导体工艺腔室部件 Active CN207193391U (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201662328588P 2016-04-27 2016-04-27
US62/328,588 2016-04-27
US15/495,624 US11326253B2 (en) 2016-04-27 2017-04-24 Atomic layer deposition of protective coatings for semiconductor process chamber components
US15/495,624 2017-04-24

Publications (1)

Publication Number Publication Date
CN207193391U true CN207193391U (zh) 2018-04-06

Family

ID=60158184

Family Applications (4)

Application Number Title Priority Date Filing Date
CN201720461582.2U Active CN207193391U (zh) 2016-04-27 2017-04-27 多组分涂层和具有多组分涂层的半导体工艺腔室部件
CN201711448017.3A Active CN108179401B (zh) 2016-04-27 2017-04-27 对腔室部件进行涂层的方法和用于处理腔室的腔室部件
CN201710288672.0A Active CN107313027B (zh) 2016-04-27 2017-04-27 多组分涂层组成物、其形成方法和半导体工艺腔室部件
CN201910516222.1A Active CN110230038B (zh) 2016-04-27 2017-04-27 方法和腔室部件

Family Applications After (3)

Application Number Title Priority Date Filing Date
CN201711448017.3A Active CN108179401B (zh) 2016-04-27 2017-04-27 对腔室部件进行涂层的方法和用于处理腔室的腔室部件
CN201710288672.0A Active CN107313027B (zh) 2016-04-27 2017-04-27 多组分涂层组成物、其形成方法和半导体工艺腔室部件
CN201910516222.1A Active CN110230038B (zh) 2016-04-27 2017-04-27 方法和腔室部件

Country Status (5)

Country Link
US (4) US11326253B2 (zh)
JP (4) JP7125251B2 (zh)
KR (3) KR20170122674A (zh)
CN (4) CN207193391U (zh)
TW (4) TWM556402U (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107313027A (zh) * 2016-04-27 2017-11-03 应用材料公司 用于半导体工艺腔室部件的保护涂层的原子层沉积
US11251023B2 (en) 2017-01-20 2022-02-15 Applied Materials, Inc. Multi-layer plasma resistant coating by atomic layer deposition

Families Citing this family (235)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10861682B2 (en) 2014-07-31 2020-12-08 iSenseCloud, Inc. Test wafer with optical fiber with Bragg Grating sensors
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9828672B2 (en) 2015-03-26 2017-11-28 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
TWI742092B (zh) * 2016-06-13 2021-10-11 美商應用材料股份有限公司 用於ald、cvd與薄膜摻雜之鑭系、釔與鈧前驅物及使用方法
US9850573B1 (en) * 2016-06-23 2017-12-26 Applied Materials, Inc. Non-line of sight deposition of erbium based plasma resistant ceramic coating
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
WO2018132789A1 (en) * 2017-01-16 2018-07-19 Entegris, Inc. Articles coated with a fluoro-annealed film
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10224224B2 (en) 2017-03-10 2019-03-05 Micromaterials, LLC High pressure wafer processing systems and related methods
US10975469B2 (en) * 2017-03-17 2021-04-13 Applied Materials, Inc. Plasma resistant coating of porous body by atomic layer deposition
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10755900B2 (en) * 2017-05-10 2020-08-25 Applied Materials, Inc. Multi-layer plasma erosion protection for chamber components
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US10847360B2 (en) 2017-05-25 2020-11-24 Applied Materials, Inc. High pressure treatment of silicon nitride film
JP7190450B2 (ja) 2017-06-02 2022-12-15 アプライド マテリアルズ インコーポレイテッド 炭化ホウ素ハードマスクのドライストリッピング
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
TWI794238B (zh) * 2017-07-13 2023-03-01 荷蘭商Asm智慧財產控股公司 於單一加工腔室中自半導體膜移除氧化物及碳之裝置及方法
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
CN111095513B (zh) 2017-08-18 2023-10-31 应用材料公司 高压高温退火腔室
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102659317B1 (ko) 2017-09-12 2024-04-18 어플라이드 머티어리얼스, 인코포레이티드 보호 배리어 층을 사용하여 반도체 구조들을 제조하기 위한 장치 및 방법들
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10643867B2 (en) 2017-11-03 2020-05-05 Applied Materials, Inc. Annealing system and method
KR102396319B1 (ko) 2017-11-11 2022-05-09 마이크로머티어리얼즈 엘엘씨 고압 프로세싱 챔버를 위한 가스 전달 시스템
CN111373519B (zh) 2017-11-16 2021-11-23 应用材料公司 高压蒸气退火处理设备
KR20200075892A (ko) 2017-11-17 2020-06-26 어플라이드 머티어리얼스, 인코포레이티드 고압 처리 시스템을 위한 컨덴서 시스템
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
WO2019113351A1 (en) 2017-12-07 2019-06-13 Lam Research Corporation Oxidation resistant protective layer in chamber conditioning
US10760158B2 (en) * 2017-12-15 2020-09-01 Lam Research Corporation Ex situ coating of chamber components for semiconductor processing
TWI748145B (zh) * 2017-12-18 2021-12-01 美商恩特葛瑞斯股份有限公司 藉由原子層沉積塗覆所得之耐化學性多層塗層
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
WO2019147400A1 (en) 2018-01-24 2019-08-01 Applied Materials, Inc. Seam healing using high pressure anneal
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11087961B2 (en) * 2018-03-02 2021-08-10 Lam Research Corporation Quartz component with protective coating
EP3762962A4 (en) 2018-03-09 2021-12-08 Applied Materials, Inc. HIGH PRESSURE ANNEALING PROCESS FOR METAL-BASED MATERIALS
EP3768874A4 (en) 2018-03-19 2022-03-30 Applied Materials, Inc. METHODS FOR DEPOSITING COATINGS ON AEROSPACE ELEMENTS
JP7090789B2 (ja) * 2018-03-26 2022-06-24 スーチョウ・ジェルナノ・カーボン・カンパニー・リミテッド カーボンナノチューブ製造システム
WO2019186637A1 (ja) * 2018-03-26 2019-10-03 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10714331B2 (en) 2018-04-04 2020-07-14 Applied Materials, Inc. Method to fabricate thermally stable low K-FinFET spacer
US10443126B1 (en) * 2018-04-06 2019-10-15 Applied Materials, Inc. Zone-controlled rare-earth oxide ALD and CVD coatings
CN108417620B (zh) * 2018-04-20 2021-06-15 华南理工大学 一种氧化物绝缘体薄膜及薄膜晶体管
EP3784815A4 (en) 2018-04-27 2021-11-03 Applied Materials, Inc. PROTECTION OF COMPONENTS AGAINST CORROSION
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US20190352781A1 (en) * 2018-05-17 2019-11-21 Hamilton Sundstrand Corporation Corrosion barrier
US10566188B2 (en) 2018-05-17 2020-02-18 Applied Materials, Inc. Method to improve film stability
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US10704141B2 (en) * 2018-06-01 2020-07-07 Applied Materials, Inc. In-situ CVD and ALD coating of chamber to control metal contamination
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US20200024735A1 (en) * 2018-07-18 2020-01-23 Applied Materials, Inc. Erosion resistant metal fluoride coatings deposited by atomic layer deposition
US11667575B2 (en) * 2018-07-18 2023-06-06 Applied Materials, Inc. Erosion resistant metal oxide coatings
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US10675581B2 (en) 2018-08-06 2020-06-09 Applied Materials, Inc. Gas abatement apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11009339B2 (en) 2018-08-23 2021-05-18 Applied Materials, Inc. Measurement of thickness of thermal barrier coatings using 3D imaging and surface subtraction methods for objects with complex geometries
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
JP7058575B2 (ja) * 2018-09-12 2022-04-22 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、基板処理装置、およびプログラム
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US20200131634A1 (en) * 2018-10-26 2020-04-30 Asm Ip Holding B.V. High temperature coatings for a preclean and etch apparatus and related methods
WO2020092002A1 (en) 2018-10-30 2020-05-07 Applied Materials, Inc. Methods for etching a structure for semiconductor applications
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
JP2022507390A (ja) 2018-11-16 2022-01-18 アプライド マテリアルズ インコーポレイテッド 強化拡散プロセスを使用する膜の堆積
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
CN111364027A (zh) * 2018-12-25 2020-07-03 广东聚华印刷显示技术有限公司 原子层沉积腔室部件及其制备方法、以及原子层沉积设备
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11390947B2 (en) * 2019-03-04 2022-07-19 Applied Materials, Inc. Method of forming a fluorinated metal film
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US10858741B2 (en) 2019-03-11 2020-12-08 Applied Materials, Inc. Plasma resistant multi-layer architecture for high aspect ratio parts
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
JP2022529243A (ja) * 2019-04-16 2022-06-20 ラム リサーチ コーポレーション 表面被覆処理
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
WO2020219332A1 (en) * 2019-04-26 2020-10-29 Applied Materials, Inc. Methods of protecting aerospace components against corrosion and oxidation
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
US11794382B2 (en) * 2019-05-16 2023-10-24 Applied Materials, Inc. Methods for depositing anti-coking protective coatings on aerospace components
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
US11697879B2 (en) 2019-06-14 2023-07-11 Applied Materials, Inc. Methods for depositing sacrificial coatings on aerospace components
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
KR20210006229A (ko) * 2019-07-08 2021-01-18 주성엔지니어링(주) 기판 처리 장치의 챔버 클리닝 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
US11631571B2 (en) 2019-08-12 2023-04-18 Kurt J. Lesker Company Ultra high purity conditions for atomic scale processing
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11466364B2 (en) * 2019-09-06 2022-10-11 Applied Materials, Inc. Methods for forming protective coatings containing crystallized aluminum oxide
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112553598B (zh) * 2019-09-25 2023-03-28 中微半导体设备(上海)股份有限公司 利用ald技术增强修复刻蚀设备部件阳极氧化涂层的方法
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210042810A (ko) * 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US20210123143A1 (en) * 2019-10-23 2021-04-29 Applied Materials, Inc. Hafnium aluminum oxide coatings deposited by atomic layer deposition
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20220113458A (ko) * 2019-12-09 2022-08-12 엔테그리스, 아이엔씨. 다중 장벽 재료로 제조된 확산 장벽, 그리고 관련 물품 및 방법
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11661650B2 (en) * 2020-04-10 2023-05-30 Applied Materials, Inc. Yttrium oxide based coating composition
US11658014B2 (en) 2020-04-11 2023-05-23 Applied Materials, Inc. Apparatuses and methods of protecting nickel and nickel containing components with thin films
WO2021211727A1 (en) * 2020-04-14 2021-10-21 Entegris, Inc. Yttrium fluoride films and methods of preparing and using yttrium fluoride films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
CN211972444U (zh) * 2020-04-23 2020-11-20 京东方科技集团股份有限公司 一种导流器及等离子化学气相沉积设备
JP2021172884A (ja) 2020-04-24 2021-11-01 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
US11519066B2 (en) 2020-05-21 2022-12-06 Applied Materials, Inc. Nitride protective coatings on aerospace components and methods for making the same
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
EP4175772A1 (en) 2020-07-03 2023-05-10 Applied Materials, Inc. Methods for refurbishing aerospace components
EP4179127A1 (en) * 2020-07-09 2023-05-17 Entegris, Inc. Coatings that contain fluorinated yttrium oxide and a metal oxide, and methods of preparing and using the coatings
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
KR20220033742A (ko) * 2020-09-10 2022-03-17 주식회사 테스 식각 물질로부터 장치를 보호하는 방법 및 산화막 형성 방법
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) * 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
FI130562B (en) * 2021-05-21 2023-11-21 Picosun Oy Plasma resistant coating, related manufacturing process and uses
KR20230012675A (ko) 2021-07-16 2023-01-26 (주)위지트 반도체 공정 챔버 부품들의 원자층 증착 방법
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US20230416913A1 (en) * 2022-06-28 2023-12-28 Entegris, Inc. Modules for delivery systems and related methods
KR102581079B1 (ko) 2022-10-21 2023-09-21 (주)위지트 반도체 공정 챔버 부품 원자층 증착 방법

Family Cites Families (112)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03115535A (ja) 1989-09-28 1991-05-16 Nippon Mining Co Ltd 希土類金属の酸素低減方法
US5805973A (en) 1991-03-25 1998-09-08 General Electric Company Coated articles and method for the prevention of fuel thermal degradation deposits
US5630314A (en) 1992-09-10 1997-05-20 Hitachi, Ltd. Thermal stress relaxation type ceramic coated heat-resistant element
JP3362113B2 (ja) 1997-07-15 2003-01-07 日本碍子株式会社 耐蝕性部材、ウエハー設置部材および耐蝕性部材の製造方法
JP3510993B2 (ja) 1999-12-10 2004-03-29 トーカロ株式会社 プラズマ処理容器内部材およびその製造方法
FI117979B (fi) * 2000-04-14 2007-05-15 Asm Int Menetelmä oksidiohutkalvojen valmistamiseksi
US6685991B2 (en) 2000-07-31 2004-02-03 Shin-Etsu Chemical Co., Ltd. Method for formation of thermal-spray coating layer of rare earth fluoride
US6660660B2 (en) 2000-10-10 2003-12-09 Asm International, Nv. Methods for making a dielectric stack in an integrated circuit
US7371633B2 (en) 2001-02-02 2008-05-13 Samsung Electronics Co., Ltd. Dielectric layer for semiconductor device and method of manufacturing the same
EP1239055B1 (en) 2001-03-08 2017-03-01 Shin-Etsu Chemical Co., Ltd. Thermal spray spherical particles, and sprayed components
JP2002356387A (ja) 2001-03-30 2002-12-13 Toshiba Ceramics Co Ltd 耐プラズマ性部材
US7670688B2 (en) 2001-06-25 2010-03-02 Applied Materials, Inc. Erosion-resistant components for plasma process chambers
JP4277973B2 (ja) 2001-07-19 2009-06-10 日本碍子株式会社 イットリア−アルミナ複合酸化物膜の製造方法、イットリア−アルミナ複合酸化物膜および耐蝕性部材
JP4921652B2 (ja) 2001-08-03 2012-04-25 エイエスエム インターナショナル エヌ.ヴェー. イットリウム酸化物およびランタン酸化物薄膜を堆積する方法
US20030029563A1 (en) * 2001-08-10 2003-02-13 Applied Materials, Inc. Corrosion resistant coating for semiconductor processing chamber
US6942929B2 (en) 2002-01-08 2005-09-13 Nianci Han Process chamber having component with yttrium-aluminum coating
US7371467B2 (en) 2002-01-08 2008-05-13 Applied Materials, Inc. Process chamber component having electroplated yttrium containing coating
US20080213496A1 (en) 2002-02-14 2008-09-04 Applied Materials, Inc. Method of coating semiconductor processing apparatus with protective yttrium-containing coatings
JP2003277051A (ja) 2002-03-22 2003-10-02 Ngk Insulators Ltd イットリア−アルミナ複合酸化物膜を有する積層体、イットリア−アルミナ複合酸化物膜、耐蝕性部材、耐蝕性膜およびイットリア−アルミナ複合酸化物膜の製造方法
CN100439561C (zh) * 2002-04-19 2008-12-03 马特森技术公司 使用低蒸气压气体前体向基材上沉积膜的系统
DE60319470T2 (de) 2002-08-02 2009-03-26 Fujikura Ltd. Herstellungsverfahren für einen polykristallinen Dünnfilm und Herstellungsverfahren für ein Oxidsupraleiter-Bauelement
US7780786B2 (en) 2002-11-28 2010-08-24 Tokyo Electron Limited Internal member of a plasma processing vessel
CN100495413C (zh) 2003-03-31 2009-06-03 东京毅力科创株式会社 用于邻接在处理元件上的相邻覆层的方法
US20050037536A1 (en) 2003-08-12 2005-02-17 Szu Yu Lai Semiconductor packaging structure and method for forming the same
KR100618630B1 (ko) 2003-10-24 2006-09-13 도시바세라믹스가부시키가이샤 내플라즈마 부재 및 그 제조방법 및 열분사막 형성방법
US7220497B2 (en) 2003-12-18 2007-05-22 Lam Research Corporation Yttria-coated ceramic components of semiconductor material processing apparatuses and methods of manufacturing the components
JP4606121B2 (ja) 2004-01-29 2011-01-05 京セラ株式会社 耐食膜積層耐食性部材およびその製造方法
JP4722501B2 (ja) 2004-01-29 2011-07-13 三星電子株式会社 半導体素子の多層誘電体構造物、半導体及びその製造方法
JP4483364B2 (ja) 2004-03-24 2010-06-16 ソニー株式会社 半導体装置の製造方法
US7119032B2 (en) 2004-08-23 2006-10-10 Air Products And Chemicals, Inc. Method to protect internal components of semiconductor processing equipment using layered superlattice materials
JP2006082474A (ja) 2004-09-17 2006-03-30 Tosoh Corp 樹脂部材
JP2006186306A (ja) 2004-09-30 2006-07-13 Toshiba Ceramics Co Ltd ガス拡散プレートおよびその製造方法
KR101226120B1 (ko) 2004-10-26 2013-01-24 쿄세라 코포레이션 내식성 부재 및 그 제조방법
KR20060098936A (ko) 2005-03-09 2006-09-19 삼성에스디아이 주식회사 플라즈마 디스플레이 패널
KR101322549B1 (ko) 2005-06-17 2013-10-25 고쿠리츠다이가쿠호진 도호쿠다이가쿠 금속 부재의 보호막 구조 및 보호막 구조를 이용한 금속부품 그리고 보호막 구조를 이용한 반도체 또는 평판디스플레이 제조 장치
CN101010448B (zh) * 2005-06-23 2010-09-29 东京毅力科创株式会社 半导体处理装置用的构成部件及其制造方法
JP5028755B2 (ja) 2005-06-23 2012-09-19 東京エレクトロン株式会社 半導体処理装置の表面処理方法
US7968205B2 (en) 2005-10-21 2011-06-28 Shin-Etsu Chemical Co., Ltd. Corrosion resistant multilayer member
JP2007217782A (ja) 2006-02-20 2007-08-30 Showa Denko Kk 希土類元素のフッ化物皮膜を有する耐食性皮膜およびその製造方法
US20070237697A1 (en) 2006-03-31 2007-10-11 Tokyo Electron Limited Method of forming mixed rare earth oxide and aluminate films by atomic layer deposition
US8440049B2 (en) * 2006-05-03 2013-05-14 Applied Materials, Inc. Apparatus for etching high aspect ratio features
JP4546447B2 (ja) 2006-12-22 2010-09-15 トーカロ株式会社 耐プラズマエロージョン性に優れる溶射皮膜被覆部材およびその製造方法
JP5252613B2 (ja) 2006-12-25 2013-07-31 国立大学法人東北大学 イオン注入装置およびイオン注入方法
TW200840880A (en) 2007-04-13 2008-10-16 Hsin-Chih Lin Method of forming protection layer on contour of workpiece
US7696117B2 (en) 2007-04-27 2010-04-13 Applied Materials, Inc. Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
US10622194B2 (en) 2007-04-27 2020-04-14 Applied Materials, Inc. Bulk sintered solid solution ceramic which exhibits fracture toughness and halogen plasma resistance
CN101418435A (zh) 2007-10-26 2009-04-29 林新智 在工件的轮廓上形成保护层的方法
US8206829B2 (en) * 2008-11-10 2012-06-26 Applied Materials, Inc. Plasma resistant coatings for plasma chamber components
US8858745B2 (en) 2008-11-12 2014-10-14 Applied Materials, Inc. Corrosion-resistant bonding agents for bonding ceramic components which are exposed to plasmas
US9017765B2 (en) 2008-11-12 2015-04-28 Applied Materials, Inc. Protective coatings resistant to reactive plasma processing
TW201100578A (en) 2009-06-19 2011-01-01 Saint Gobain Ceramics & Plastics Inc Sealed plasma coatings
JP5270476B2 (ja) * 2009-07-07 2013-08-21 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置
US20110117728A1 (en) 2009-08-27 2011-05-19 Applied Materials, Inc. Method of decontamination of process chamber after in-situ chamber clean
FI20095947A0 (fi) 2009-09-14 2009-09-14 Beneq Oy Monikerrospinnoite, menetelmä monikerrospinnoitteen valmistamiseksi, ja sen käyttötapoja
KR20110037282A (ko) 2009-10-06 2011-04-13 (주)티티에스 기판 처리 장치용 부재 및 이의 제조 방법 및 기판 처리 장치
US20110091700A1 (en) 2009-10-20 2011-04-21 Saint-Gobain Ceramics & Plastics, Inc. Microelectronic processing component having a corrosion-resistant layer, microelectronic workpiece processing apparatus incorporating same, and method of forming an article having the corrosion-resistant layer
DE102010015470A1 (de) 2010-04-16 2011-10-20 Forschungszentrum Jülich GmbH Verfahren zur Innenbeschichtung von Funktionsschichten mit einem Vergütungsmaterial
US8619406B2 (en) 2010-05-28 2013-12-31 Fm Industries, Inc. Substrate supports for semiconductor applications
JP2012059834A (ja) 2010-09-07 2012-03-22 Hitachi Kokusai Electric Inc 半導体装置の製造方法
US8916021B2 (en) 2010-10-27 2014-12-23 Applied Materials, Inc. Electrostatic chuck and showerhead with enhanced thermal properties and methods of making thereof
JP5761784B2 (ja) 2011-01-31 2015-08-12 ダイハツ工業株式会社 オイルシールの圧入方法
KR20130025025A (ko) 2011-09-01 2013-03-11 주식회사 코미코 정전척
US8288297B1 (en) 2011-09-01 2012-10-16 Intermolecular, Inc. Atomic layer deposition of metal oxide materials for memory applications
US20130064973A1 (en) 2011-09-09 2013-03-14 Taiwan Semiconductor Manufacturing Company, Ltd. Chamber Conditioning Method
US9212099B2 (en) 2012-02-22 2015-12-15 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
US9090046B2 (en) 2012-04-16 2015-07-28 Applied Materials, Inc. Ceramic coated article and process for applying ceramic coating
US9394615B2 (en) 2012-04-27 2016-07-19 Applied Materials, Inc. Plasma resistant ceramic coated conductive article
US9023427B2 (en) 2012-05-16 2015-05-05 Asm Ip Holding B.V. Methods for forming multi-component thin films
JP6117195B2 (ja) 2012-05-22 2017-04-19 株式会社東芝 プラズマ処理装置用部品およびプラズマ処理装置用部品の製造方法
US9343289B2 (en) 2012-07-27 2016-05-17 Applied Materials, Inc. Chemistry compatible coating material for advanced device on-wafer particle performance
CN103794458B (zh) 2012-10-29 2016-12-21 中微半导体设备(上海)有限公司 用于等离子体处理腔室内部的部件及制造方法
CN103794445B (zh) 2012-10-29 2016-03-16 中微半导体设备(上海)有限公司 用于等离子体处理腔室的静电夹盘组件及制造方法
CN103794460B (zh) 2012-10-29 2016-12-21 中微半导体设备(上海)有限公司 用于半导体装置性能改善的涂层
US9685356B2 (en) 2012-12-11 2017-06-20 Applied Materials, Inc. Substrate support assembly having metal bonded protective layer
CN103215535B (zh) 2013-04-16 2014-10-22 中国科学院金属研究所 一种等离子刻蚀腔体表面防护涂层的制备方法
US9865434B2 (en) 2013-06-05 2018-01-09 Applied Materials, Inc. Rare-earth oxide based erosion resistant coatings for semiconductor application
US9850568B2 (en) 2013-06-20 2017-12-26 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US9711334B2 (en) 2013-07-19 2017-07-18 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based thin film coatings on process rings
US9583369B2 (en) 2013-07-20 2017-02-28 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles
US9624593B2 (en) 2013-08-29 2017-04-18 Applied Materials, Inc. Anodization architecture for electro-plate adhesion
US9440886B2 (en) 2013-11-12 2016-09-13 Applied Materials, Inc. Rare-earth oxide based monolithic chamber material
US9725799B2 (en) 2013-12-06 2017-08-08 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
CN104715993B (zh) 2013-12-13 2017-02-22 中微半导体设备(上海)有限公司 等离子体处理腔室、气体喷淋头及其制造方法
US9975320B2 (en) 2014-01-13 2018-05-22 Applied Materials, Inc. Diffusion bonded plasma resisted chemical vapor deposition (CVD) chamber heater
WO2015120265A1 (en) 2014-02-07 2015-08-13 Entegris, Inc. Electrostatic chuck and method of making same
US20170022595A1 (en) 2014-03-31 2017-01-26 Kabushiki Kaisha Toshiba Plasma-Resistant Component, Method For Manufacturing The Plasma-Resistant Component, And Film Deposition Apparatus Used For Manufacturing The Plasma-Resistant Component
US9976211B2 (en) 2014-04-25 2018-05-22 Applied Materials, Inc. Plasma erosion resistant thin film coating for high temperature application
US20150311043A1 (en) 2014-04-25 2015-10-29 Applied Materials, Inc. Chamber component with fluorinated thin film coating
US9869013B2 (en) 2014-04-25 2018-01-16 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
US10730798B2 (en) 2014-05-07 2020-08-04 Applied Materials, Inc. Slurry plasma spray of plasma resistant ceramic coating
US10196728B2 (en) 2014-05-16 2019-02-05 Applied Materials, Inc. Plasma spray coating design using phase and stress control
CN105088141A (zh) 2014-05-23 2015-11-25 中微半导体设备(上海)有限公司 电感耦合型等离子体处理腔室及其抗腐蚀绝缘窗口及制造方法
US9551070B2 (en) 2014-05-30 2017-01-24 Applied Materials, Inc. In-situ corrosion resistant substrate support coating
CN105225997B (zh) 2014-06-12 2018-01-23 中微半导体设备(上海)有限公司 一种静电夹盘及静电夹盘的制造方法
US9790581B2 (en) 2014-06-25 2017-10-17 Fm Industries, Inc. Emissivity controlled coatings for semiconductor chamber components
KR20160030812A (ko) 2014-09-11 2016-03-21 삼성전자주식회사 플라즈마 처리 장치
CN105428195B (zh) 2014-09-17 2018-07-17 东京毅力科创株式会社 等离子体处理装置用的部件和部件的制造方法
US10141582B2 (en) 2014-12-22 2018-11-27 Sonata Scientific LLC SOFC interconnect barriers and methods of making same
SG11201706564UA (en) 2015-02-13 2017-09-28 Entegris Inc Coatings for enhancement of properties and performance of substrate articles and apparatus
US20160254125A1 (en) 2015-02-27 2016-09-01 Lam Research Corporation Method for coating surfaces
KR101891381B1 (ko) 2015-03-18 2018-08-24 엔테그리스, 아이엔씨. 플루오로-어닐링된 필름으로 코팅된 물품
US9828672B2 (en) 2015-03-26 2017-11-28 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
US9790582B2 (en) 2015-04-27 2017-10-17 Lam Research Corporation Long lifetime thermal spray coating for etching or deposition chamber application
US20160358749A1 (en) 2015-06-04 2016-12-08 Lam Research Corporation Plasma etching device with plasma etch resistant coating
US20160379806A1 (en) 2015-06-25 2016-12-29 Lam Research Corporation Use of plasma-resistant atomic layer deposition coatings to extend the lifetime of polymer components in etch chambers
US20160375515A1 (en) * 2015-06-29 2016-12-29 Lam Research Corporation Use of atomic layer deposition coatings to protect brazing line against corrosion, erosion, and arcing
US9842054B2 (en) 2015-07-08 2017-12-12 Hon Hai Precision Industry Co., Ltd. Computing device and method for processing data in cache memory of the computing device
KR101916872B1 (ko) 2015-10-15 2018-11-08 아이원스 주식회사 반도체 공정 장비 부품의 코팅층 재생 방법 및 이에 따른 반도체 공정 장비 부품
US9972520B2 (en) 2015-11-17 2018-05-15 National Chung-Shan Institute Of Science And Technology Aluminum nitride electrostatic chuck used in high temperature and high plasma power density semiconductor manufacturing process
US11326253B2 (en) 2016-04-27 2022-05-10 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
US9850573B1 (en) 2016-06-23 2017-12-26 Applied Materials, Inc. Non-line of sight deposition of erbium based plasma resistant ceramic coating
US10186400B2 (en) 2017-01-20 2019-01-22 Applied Materials, Inc. Multi-layer plasma resistant coating by atomic layer deposition

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107313027A (zh) * 2016-04-27 2017-11-03 应用材料公司 用于半导体工艺腔室部件的保护涂层的原子层沉积
CN107313027B (zh) * 2016-04-27 2020-12-22 应用材料公司 多组分涂层组成物、其形成方法和半导体工艺腔室部件
US11198937B2 (en) 2016-04-27 2021-12-14 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
US11198936B2 (en) 2016-04-27 2021-12-14 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
US11326253B2 (en) 2016-04-27 2022-05-10 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
US11251023B2 (en) 2017-01-20 2022-02-15 Applied Materials, Inc. Multi-layer plasma resistant coating by atomic layer deposition

Also Published As

Publication number Publication date
TW201812846A (zh) 2018-04-01
JP2022176952A (ja) 2022-11-30
TWI727037B (zh) 2021-05-11
KR20180006990A (ko) 2018-01-19
KR102230128B1 (ko) 2021-03-18
CN107313027B (zh) 2020-12-22
US20220235458A1 (en) 2022-07-28
JP2017199907A (ja) 2017-11-02
US11198937B2 (en) 2021-12-14
US11198936B2 (en) 2021-12-14
TWI723242B (zh) 2021-04-01
US20190271076A1 (en) 2019-09-05
JP7454612B2 (ja) 2024-03-22
TWM556402U (zh) 2018-03-01
TW201812075A (zh) 2018-04-01
KR20170122674A (ko) 2017-11-06
JP2020097788A (ja) 2020-06-25
JP7125251B2 (ja) 2022-08-24
KR20190057252A (ko) 2019-05-28
TW201947634A (zh) 2019-12-16
CN110230038A (zh) 2019-09-13
US11326253B2 (en) 2022-05-10
CN108179401B (zh) 2020-11-13
CN107313027A (zh) 2017-11-03
US20180105932A1 (en) 2018-04-19
CN110230038B (zh) 2021-11-19
CN108179401A (zh) 2018-06-19
TWI734124B (zh) 2021-07-21
JP2018082201A (ja) 2018-05-24
JP6956212B2 (ja) 2021-11-02
KR102329708B1 (ko) 2021-11-19
US20170314125A1 (en) 2017-11-02

Similar Documents

Publication Publication Date Title
CN207193391U (zh) 多组分涂层和具有多组分涂层的半导体工艺腔室部件
US10676819B2 (en) Non-line of sight deposition of erbium based plasma resistant ceramic coating
JP3224064U (ja) 原子層堆積法で堆積させた耐浸食性金属酸化物コーティング
US20190136372A1 (en) Atomic layer deposition coatings for high temperature heaters
JP3224084U (ja) 原子層堆積法で堆積させた耐浸食性金属フッ化物コーティング
US20220037126A1 (en) Fluoride coating to improve chamber performance

Legal Events

Date Code Title Description
GR01 Patent grant
GR01 Patent grant