TWI734124B - 用於半導體處理腔室部件之保護塗佈之原子層沉積 - Google Patents

用於半導體處理腔室部件之保護塗佈之原子層沉積 Download PDF

Info

Publication number
TWI734124B
TWI734124B TW108120576A TW108120576A TWI734124B TW I734124 B TWI734124 B TW I734124B TW 108120576 A TW108120576 A TW 108120576A TW 108120576 A TW108120576 A TW 108120576A TW I734124 B TWI734124 B TW I734124B
Authority
TW
Taiwan
Prior art keywords
film layer
layer
yttrium
aluminum
chamber
Prior art date
Application number
TW108120576A
Other languages
English (en)
Other versions
TW201947634A (zh
Inventor
大衛 芬威克
語南 孫
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW201947634A publication Critical patent/TW201947634A/zh
Application granted granted Critical
Publication of TWI734124B publication Critical patent/TWI734124B/zh

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/403Oxides of aluminium, magnesium or beryllium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45529Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making a layer stack of alternating different compositions or gradient compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/04Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material
    • C23C28/042Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material including a refractory ceramic layer, e.g. refractory metal oxides, ZrO2, rare earth oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Other Surface Treatments For Metallic Materials (AREA)

Abstract

一種用於半導體製程腔室部件的表面的多組分塗層組成物包括使用原子層沉積製程塗佈到該半導體製程腔室部件的該表面上的氧化釔或氟化釔的至少一個第一膜層以及使用原子層沉積製程塗佈到該半導體製程腔室部件的該表面上的額外氧化物或額外氟化物的至少一個第二膜層,其中該多組分塗層組成物選自由YOx Fy 、Yx Aly O、Yx Zry O和Yx Zry Alz O組成的群組。

Description

用於半導體處理腔室部件之保護塗佈之原子層沉積
本申請要求2016年4月27日提交的在審美國臨時專利申請62/328,588的優先權,該申請透過引用併入此處。
本案揭露內容的實施例涉及用於使用原子層沉積(ALD)製備用於半導體製程腔室部件的保護塗層的方法、多組分保護塗層、以及用多組分保護塗層塗佈的半導體製程腔室部件。
各種製造製程使半導體製程腔室部件暴露於高溫、高能電漿、腐蝕性氣體的混合物、高應力、以及它們的組合。這些極端條件可侵蝕腔室部件、腐蝕腔室部件、以及增加腔室部件對缺陷的敏感性。希望的是在此類極端環境中減少這些缺陷並且提高部件的耐侵蝕和/或抗腐蝕性。用保護塗層塗佈半導體製程腔室部件是減少缺陷並延長它們的耐用期限的有效方式。
典型地,透過多種方法(諸如熱噴塗、濺射、或蒸發技術)將保護塗層膜沉積在腔室部件上。在這些技術中,腔室部件的不直接暴露於蒸汽源(例如,不在材料源的視線中)的表面塗佈有相較直接暴露於蒸汽源的表面顯著更薄的膜、低品質膜、低密度膜,或者完全沒有塗佈。
本發明的一些實施例覆蓋一種用於形成半導體製程腔室部件上的多組分塗層組成物的方法。該方法包括使用原子層沉積製程沉積氧化釔或氟化釔的第一膜層到半導體製程腔室部件的表面上,其中該第一膜層由至少兩種前驅物來生長。該方法進一步包括使用該原子層沉積製程沉積額外氧化物或額外氟化物的第二膜層到該半導體製程腔室部件的該表面上,其中該第二膜層由至少兩種額外前驅物來生長。該方法進一步包括形成包含該第一膜層和該第二膜層的多組分組成物。在一些實施例中,該方法可進一步包括使用該原子層沉積製程沉積至少一個額外膜層,該至少一個額外膜層包括氧化鋁或氧化鋯,其中該至少一個額外膜層從至少兩種額外前驅物來生長。
在一些實施例中,本發明覆蓋一種被塗佈的半導體製程腔室部件。被塗佈的半導體製程腔室部件可包括具有表面的半導體製程腔室部件以及被塗佈在該表面上的多組分塗層。在某些實施例中,該多組分塗層可包括使用原子層沉積製程塗佈到該表面上的氧化釔或氟化釔的至少一個第一膜層以及使用原子層沉積製程塗佈到該表面上的額外氧化物或額外氟化物的至少一個第二膜層。在一些實施例中,該多組分塗層可進一步包括至少一個額外膜層,該至少一個額外膜層包括使用原子層沉積製程塗佈到該表面上的氧化鋁或氧化鋯。
在一些實施例中,本發明覆蓋一種用於半導體製程腔室部件的表面的多組分塗層組成物。該多組分塗層組成物可包括使用原子層沉積製程塗佈到該半導體製程腔室部件的該表面上的氧化釔或氟化釔的至少一個第一膜層以及使用原子層沉積製程塗佈到該半導體製程腔室部件的該表面上的額外氧化物或額外氟化物的至少一個第二膜層。該多組分塗層組成物可選自由YOx Fy 、Yx Aly O、Yx Zry O和Yx Zry Alz O組成的群組。
本文描述了關於多組分塗層的實施例,該多組分塗層包括已經使用原子層沉積(亦稱為原子單層沉積或ALD)而沉積的多個層。每個組分可以是包括在塗層的一個或多個層中的構成材料。多組分塗層的一個示例是包括第一組分釔和第二組分氧(諸如氧化釔(Y2 O3 ))的塗層。在另一實例中,多組分塗層可包括第一組分Y2 O3 和第二組分YF3 。第一和第二組分可被佈置在多組分塗層的不同層中。在一些實施例中,在處理(諸如退火)之後,多組分塗層的多個層可互相擴散以形成包括不同層的構成材料的同質或大致同質的塗層。例如,來自不同層的多個組分可形成第一膜層和第二膜層的固態相。在進一步的實例中,Y2 O3 層和YF3 層的交替疊層可互相擴散以形成氧氟化釔的固態相。多組分塗層可以是具有多種不同氧化物、多種不同氟化物、或者已互相擴散或尚未互相擴散的一種或多種氧化物和一種或多種氟化物的組合物的塗層。替代地,或附加地,多組分塗層可以是具有金屬和氧、金屬和氟、金屬和氧及氟、或多種金屬與氧和氟中的一者或多者的混合物的塗層。
圖1是根據本發明的實施例的具有用多組分塗層塗佈的一個或多個腔室部件的半導體處理腔室100的剖視圖。處理腔室100可被用於其中提供具有電漿處理條件的腐蝕性電漿環境的製程。例如,處理腔室100可以是用於電漿蝕刻器或電漿蝕刻反應器、電漿清潔器等的腔室。可包括多組分塗層的腔室部件的實例包括具有複雜的形狀和有大長徑比的孔的腔室部件。一些示例腔室部件包括基板支撐元件148、靜電夾盤(ESC)、環(例如,製程套件環或單一環)、腔室壁、基座、氣體分配板、噴頭130、氣體管線、噴嘴、蓋、襯墊、襯墊套件、防護罩、電漿遮罩件、流量等化器、冷卻基座、腔室觀察口、腔室蓋,等等。下面更詳細描述的多組分塗層使用ALD製程來施加。ALD參考圖2進行更詳細地描述,ALD允許在包括具有複雜的形狀和有大長徑比的孔的部件的所有類型的部件上施加具有相對較均勻厚度的共形塗層。
多組分塗層可使用ALD利用各種陶瓷來生長或沉積,該陶瓷包括基於氧化物的陶瓷、基於氮化物的陶瓷和基於碳化物的陶瓷。基於氧化物的陶瓷的實例包括SiO2 (石英)、Al2 O3 、Y2 O3 、Y4 Al2 O9 、Y2 O3 -ZrO2 ,等等。基於碳化物的陶瓷的實例包括SiC、Si-SiC,等等。基於氮化物的陶瓷的實例包括AlN、SiN,等等。
在一個實施例中,處理腔室100包括圍合內部容積106的腔室主體102和噴頭130。噴頭130可包括噴頭基座和噴頭氣體分配板。替代地,在一些實施例中,可由蓋和噴嘴來代替噴頭130。腔室主體102可由鋁、不銹鋼或其他合適的材料製成。腔室主體102通常包括側壁108和底部110。噴頭130(或蓋和/或噴嘴)、側壁108和/或底部110中的任一者可包括多組分塗層。
外襯墊116可鄰近側壁108安置以保護腔室主體102。外襯墊116可製成和/或塗佈有多組分塗層。在一個實施例中,外襯墊116由氧化鋁製成。
排放口126可被限定在腔室主體102中,且可將內部容積106耦接到泵系統128。泵系統128可包括一個或多個泵和節流閥,用於排空和調節處理腔室100的內部容積106的壓力。
噴頭130可被支撐在腔室主體102的側壁108和/或頂部上。在一些實施例中,噴頭130(或蓋)可被打開以允許對處理腔室100的內部容積106的訪問,並且在被關閉時可為處理腔室100提供密封。氣體面板158可被耦接到處理腔室100以透過噴頭130或者蓋和噴嘴向內部容積106提供製程氣體和/或清潔氣體。噴頭130針對用於介電質蝕刻(對介電材料的蝕刻)的處理腔室而使用。噴頭130可包括氣體分配板(GDP),在整個GDP上具有多個氣體輸送孔132。噴頭130可包括接合到鋁噴頭基座或陽極化鋁噴頭基座的GDP。GDP可由 Si或SiC製成,或者可以是諸如Y2O3、Al2O3、YAG等陶瓷。噴頭130和輸送孔132可塗佈有多組分塗層,下面聯繫圖4A和4B進行更詳細地描述。如圖所示,根據一個實施例,噴頭130在噴頭130的表面上(例如,在噴頭基座的表面和/或GDP的表面上)以及在噴頭中(例如,在噴頭基座和/或GDP中)的氣體導管(亦稱為孔)132的壁上均具有多組分塗層152。然而,應當理解,其他腔室部件中的任一者(諸如氣體管線、靜電夾盤、噴嘴及其他)亦可塗佈有多組分塗層。
針對用於導體蝕刻(對導電材料的蝕刻)的處理腔室,可使用蓋而非噴頭。蓋可包括安裝到該蓋的中心孔中的中心噴嘴。蓋可以是陶瓷,諸如Al2O3、Y2O3、YAG,或者是包括Y2O3-ZrO2的固溶體和Y4Al2O9的陶瓷化合物。噴嘴亦可以是陶瓷,諸如Y2O3、YAG,或者是包括Y2O3-ZrO2的固溶體和Y4Al2O9的陶瓷化合物。根據實施例,蓋、噴頭130(例如,包括噴頭基座、GDP和/或氣體輸送導管/孔)和/或噴嘴可全部塗佈有多組分塗層。
可在處理腔室100中用於處理基板的處理氣體的實例包括諸如C2F6、SF6、SiCl4、HBr、NF3、CF4、CHF3、CH2F3、F、NF3、Cl2、CCl4、BCl3和SiF4等含鹵素氣體,以及諸如O2或N2O的其他氣體。載氣的實例包括N2、He、Ar,以及對製程氣體惰性的其他氣體(例如,非反應性氣體)。基板支撐元件148被安 置在處理腔室100的內部容積106中、在噴頭130或蓋下方。基板支撐組件148在處理過程中固持基板144,並且可包括接合到冷卻板材的靜電夾盤。
內襯墊可包覆在基板支撐元件148的周邊上。內襯墊可以是耐含鹵素氣體的材料,諸如參考外襯墊116所論述的那些材料。在一個實施例中,內襯墊可由與外襯墊116相同的材料製成。另外,內襯墊亦可塗佈有多組分塗層。
圖2描繪了根據多種ALD技術的沉積製程。存在多種類型的ALD製程並且可基於若干因素(諸如待塗佈的表面、塗層材料、該表面和該塗層材料之間的化學相互作用,等等)選擇具體的類型。各種ALD製程的一般原理包括透過以自限制方式一次一種地將待塗佈的表面反覆暴露於與該表面發生化學反應的氣態化學前驅物的順序交替脈衝來生長薄膜層。
圖2示出了具有表面205的物件210。物件210可代表各種半導體製程腔室部件,包括但不限於:基板支撐元件、靜電夾盤(ESC)、環(例如,製程套件環或單一環)、腔室壁、基座、氣體分配板、氣體管線、噴頭、噴嘴、蓋、襯墊、襯墊套件、防護罩、電漿遮罩件、流量等化器、冷卻基座、腔室觀察口、腔室蓋,等等。物件210和表面205可由金屬(諸如鋁、不銹鋼)、陶瓷、金屬-陶瓷複合物、聚合物、聚合物陶瓷複合物、或其他合適的材料製成,並且可進一步包括諸如AlN、Si、SiC、Al2 O3 、SiO2 等材料。
前驅物和表面之間的每一個單獨的化學反應可被稱為「半反應」。在每一個半反應期間,前驅物被脈衝到表面上,持續足以允許前驅物與該表面完全反應的時間段。因為前驅物將只與表面上的有限數量的可用反應性位點(site)發生反應,所以該反應是自限制的,從而在該表面上形成均勻的連續的吸附層。已經與前驅物發生反應的任何位點將變得無法與相同前驅物進一步反應,除非和/或直至已反應的位點受到處理,該處理將在均勻的連續的塗層上形成新的反應性位點。示例性處理可以是電漿處理、透過將均勻的連續的吸附層暴露於自由基而進行的處理、或者引入能夠與吸附到表面的最新的均勻的連續的膜層發生反應的不同前驅物。
在圖2中,具有表面205的物件210可被引入到第一種前驅物260持續第一歷時,直至第一種前驅物260與表面205的第一半反應透過形成吸附層214而部分地形成層215。隨後,物件210可被引入到第二種前驅物265(亦稱為反應物)從而引起第二半反應以與吸附層214發生反應並完全地形成層215。第一種前驅物260可以是針對例如鋁或另一金屬的前驅物。第二種前驅物265在層215是氧化物時可以是氧前驅物,或者在層215是氟化物時可以是氟前驅物。層215可以是均勻的、連續的並且共形的。物件210可交替地暴露於第一種前驅物260和第二種前驅物265多達x次以實現層215的目標厚度。X可以是例如從1到100的整數。
隨後,具有表面205和層215的物件210可被引入到第三種前驅物270,第三種前驅物270與層215發生反應以透過形成第二吸附層218而部分地形成第二層220。隨後,物件210可被引入到另一種前驅物275(亦稱為反應物)從而引起第二半反應以完全地形成層220。第二膜層220可以是均勻的、連續的並且共形的。物件210可交替地暴露於第三種前驅物270和第四種前驅物275多達y次以實現層220的目標厚度。Y可以是例如從1到100的整數。
之後,將物件210引入到前驅物260和265 x次以及隨後引入到前驅物270和275 y次的序列可被重複並執行n次。N可以是例如從1到100的整數。該序列的結果可以是生長額外的交替層225、230、235、240、245和250。可基於目標塗層厚度和性質來選擇層的數量和厚度。各層可保持完整(intact)或者在一些實施例中可互相擴散。
表面反應(例如,半反應)是順序地完成的。在引入新的前驅物之前,在其中發生ALD製程的腔室可利用惰性載氣(諸如氮氣或空氣)進行淨化以移除任何未反應的前驅物和/或表面-前驅物反應副產物。使用至少兩種前驅物。在一些實施例中,使用兩種以上的前驅物來生長具有相同組成物的膜層(例如,生長彼此相疊的多個Y2 O3 層)。在其他實施例中,可使用不同的前驅物來生長具有不同的組成物的不同膜層。
取決於ALD製程的類型,可在不同溫度下進行ALD製程。特定ALD製程的最佳溫度範圍被稱為「ALD溫度窗」。低於ALD溫度窗的溫度可導致低生長速率和非ALD類型的沉積。高於ALD溫度窗的溫度可導致物件的熱分解或前驅物的快速解吸。ALD溫度窗可介於從約20 °C到約400 °C的範圍。在一些實施例中,ALD溫度窗在約150-350 °C之間。
ALD製程允許在具有複雜的幾何形狀、有大長徑比的孔、以及三維結構的物件和表面上的具有均勻的膜層厚度的共形膜層。對於表面的充足的前驅物暴露時間使得前驅物能夠分散並且與整個表面(包括其所有三維複雜特徵)完全反應。用於獲得大長徑比結構中的共形ALD的暴露時間與長徑比的平方成比例並且可使用建模技術來預測。另外,ALD技術相對於其他常用的塗層技術是有利的,因為它允許特定組成物或配方的原位按需材料合成而無需源材料(諸如粉末給料和燒結靶材)的漫長而艱難的製造。
利用ALD技術,多組分膜(諸如YOx Fy 、Yx Aly O、Yx Zry O和Yx Zry Alz O)可被生長,例如,透過用於生長Y2 O3 、Al2 O3 、YF3 和ZrO2 的前驅物的恰當的序列,如下面的實例中所更詳細闡述的。
圖3A示出根據實施例的用於在半導體製程腔室部件上形成多組分塗層的方法300。該方法可任選地透過選擇用於多組分塗層的組成物而開始。組成物選擇和形成方法可由相同的實體執行或由多個實體執行。按照框305,該方法包括使用ALD製程沉積氧化釔或氟化釔的第一膜層到半導體製程腔室部件的表面上,其中第一膜層由至少兩種前驅物來生長。按照框310,該方法進一步包括使用ALD製程沉積額外氧化物或額外氟化物的第二膜層到該半導體製程腔室部件的該表面上,其中第二膜層由至少兩種額外前驅物來生長。注意,第一膜層可在第二膜層被沉積之前或之後被沉積。因此,第一膜層可被沉積在第二膜層上或者第二膜層可被沉積在第一膜層上。在一些實施例中,按照框315,該方法可任選地進一步包括使用ALD製程沉積至少一個額外膜層,該至少一個額外膜層包括鋁氧化物或鋯氧化物,其中該至少一個額外膜層由額外前驅物來生長。
在一些實施例中,當第一膜層包括氧化釔時,該層可透過以下製程順序來形成: 1)前驅物與基板表面的反應。前驅物可包括三(N,N-雙(三甲基甲矽烷基)醯胺)釔(III)、三(環戊二烯基)釔(III)、三(丁基環戊二烯基)釔(III)、或三(2,2,6,6-四甲基-3,5-庚二酮酸)釔(III)。 2)從ALD製程腔室清除非反應前驅物。 3)第二種前驅物與該表面的反應。第二種前驅物可包括H2 O、O2 、或O3 。 4)從ALD製程腔室清除第二非反應前驅物。 在一些實施例中,當第一膜層包括氟化釔時,所使用的兩種前驅物可以是三(2,2,6,6-四甲基-3,5-庚二酮酸)釔(III)和TiF4
在一些實施例中,當第二膜層包括氧化鋁時,一種前驅物可包括二乙基乙醇鋁、三(乙基甲基醯胺基)鋁、仲丁醇鋁、三溴化鋁、三氯化鋁、三乙基鋁、三異丁基鋁、三甲基鋁、或三(二乙基醯胺基)鋁。第二種前驅物可包括H2 O、O2 、或O3 。在一些實施例中,當第二膜層包括氧化鋯時,一種前驅物可包括溴化鋯(IV)、氯化鋯(IV)、叔丁醇鋯(IV)、四(二乙基醯胺基)鋯(IV)、四(二甲基醯胺基)鋯(IV)、或四(乙基甲基醯胺基)鋯(IV)。第二種前驅物可包括H2 O、O2 、或O3
每當使用ALD來生長氧化釔層、氟化釔層、氧化鋁層、或氧化鋯層時,可使用上面所列舉的前驅物或任何其他合適的前驅物,而不管其是第一層、還是第二層、或是第N層,其中第N層可代表在半導體製程腔室部件的表面上生長並基於目標保護塗層厚度和性質而選擇的有限數量的層。
按照框325,該方法最後包括形成該第一膜層、第二膜層和任何額外膜層的多組分組成物。在一些實施例中,按照框320,形成多組分組成物包括:使包括沉積到半導體製程腔室部件上的該第一膜層、第二膜層和任何額外膜層的該半導體製程腔室部件退火。在一些實施例中,退火可導致多組分組成物包括至少一個第一膜層和至少一個第二膜層以及至少一個額外膜層(若存在)中的任何額外膜層的互相擴散的固態相。退火可在範圍從約800 °C到約1800 °C、從約800 °C到約1500 °C、或從約800 °C到約1000 °C的溫度下執行。退火溫度可基於物件、表面和膜層的構成材料來選擇以便維持它們的完整性並且避免使這些部件中的任何一個或全部變形、分解或熔化。
圖3B示出根據實施例的用於在半導體製程腔室部件上形成多組分塗層的方法350。該方法可任選地透過選擇用於多組分塗層的組成物而開始。組成物選擇和形成方法可由相同的實體執行或由多個實體執行。
按照框355,該方法包括經由ALD沉積第一氧化物或第一氟化物的膜層到物件的表面上。按照框360,該方法進一步包括經由ALD沉積第二氧化物或第二氟化物的膜層到該物件的該表面上。注意,第一氧化物或第一氟化物的膜層可在第二氧化物或第二氟化物的膜層被沉積之前或之後被沉積。因此,在一些實施例中,第一氧化物或第一氟化物的膜層可被沉積在第二氧化物或第二氟化物的膜層上。在其他實施例中,第二氧化物或第二氟化物的膜層可被沉積在第一氧化物或第一氟化物的膜層上。在一些實施例中,按照框365,該方法可任選地進一步包括經由ALD沉積第三氧化物或第三氟化物的額外膜層到該物件的該表面上。
在一些實施例中,按照框370,該方法可進一步包括決定是否有額外層待增加。決定是否有額外層和/或有多少層待增加可被原位完成,或者在開始沉積之前(例如,在任選的多組分組成物選擇製程中)完成。若有額外層待增加,則可重複框355、360和任選地365。若沒有額外層待增加,則該方法繼續以形成包括沉積到該物件的該表面上的所有膜層的多組分組成物。
在一些實施例中,當該膜層中的任何膜層的第一氧化物、第二氧化物、或第三氧化物包括氧化釔時,用來透過ALD形成氧化釔的一種前驅物可選自三(N,N-雙(三甲基甲矽烷基)醯胺)釔(III)、三(環戊二烯基)釔(III)、三(丁基環戊二烯基)釔(III)、或三(2,2,6,6-四甲基-3,5-庚二酮酸)釔(III),而第二種前驅物可選自H2 O、O2 、或O3 。在一些實施例中,當該膜層中的任何膜層的第一氟化物、第二氟化物、或第三氟化物包括氟化釔時,用來形成這種膜的兩種前驅物可以是三(2,2,6,6-四甲基-3,5-庚二酮酸)釔(III)和TiF4
在一些實施例中,當該膜層中的任何膜層的第一氧化物、第二氧化物、或第三氧化物包括氧化鋁時,用來透過ALD形成氧化鋁的一種前驅物可選自二乙基乙醇鋁、三(乙基甲基醯胺基)鋁、仲丁醇鋁、三溴化鋁、三氯化鋁、三乙基鋁、三異丁基鋁、三甲基鋁、或三(二乙基醯胺基)鋁,而第二種前驅物可選自H2 O、O2 、或O3 。在一些實施例中,當該膜層中的任何膜層的第一氧化物、第二氧化物、或第三氧化物包括氧化鋯時,一種氧化鋯前驅物可選自溴化鋯(IV)、氯化鋯(IV)、叔丁醇鋯(IV)、四(二乙基醯胺基)鋯(IV)、四(二甲基醯胺基)鋯(IV)、或四(乙基甲基醯胺基)鋯(IV),而第二種前驅物可選自H2 O、O2 、或O3
每當使用ALD來生長氧化釔層、氟化釔層、氧化鋁層、或氧化鋯層時,可使用所列舉的前驅物或任何其他合適的前驅物,而不管其是第一膜層、還是第二膜層、或是第N膜層,其中第N膜層可代表在物件的表面上生長並基於目標保護塗層厚度和性質而選擇的有限數量的膜層。
在一些實施例中,該方法可繼續到任選框375,在框375,沉積到該物件的該表面上的所有膜層的多組分組成物可被退火。在一些實施例中,退火可導致多組分組成物包括沉積到物件的表面上的所有膜層的互相擴散的固態相。退火可在範圍從約800 °C到約1800 °C、從約800 °C到約1500 °C、或從約800 °C到約1000 °C的溫度下執行。退火溫度可基於物件、表面和膜層的構成材料來選擇以便維持它們的完整性並且避免使這些部件中的任何一個或全部變形、分解或熔化。
圖4A-4D描繪了根據不同實施例的多組分塗層組成物的變化。圖4A示出了根據實施例的用於物件410的表面405的多組分塗層組成物。表面405可以是各種物件410的表面。例如,物件410可包括各種半導體製程腔室部件,包括但不限於:基板支撐元件、靜電夾盤(ESC)、環(例如,製程套件環或單一環)、腔室壁、基座、氣體分配板、氣體管線、噴頭、噴嘴、蓋、襯墊、襯墊套件、防護罩、電漿遮罩件、流量等化器、冷卻基座、腔室觀察口、腔室蓋,等等。半導體製程腔室部件可由金屬(諸如鋁、不銹鋼)、陶瓷、金屬-陶瓷複合物、聚合物、聚合物陶瓷複合物、或其他合適的材料製成,並且可進一步包括諸如AlN、Si、SiC、Al2 O3 、SiO2 等材料。
在圖4A中,多組分塗層組成物包括:使用ALD製程塗佈到物件410的表面405上的氧化釔或氟化釔的至少一個第一膜層415以及使用ALD製程塗佈到物件410的表面405上的額外氧化物或額外氟化物的至少一個第二膜層425。
圖4A示出了一個實施例,在該實施例中,多組分塗層組成物包括第一層415和第二層425的交替層的疊層,其中該層是完整的(intact)且不互相擴散,其中有相等數量的每種層(四個415層和四個425層),以及其中所有層具有相等的均勻的厚度。在一些實施例中,第一膜層在第二膜層的沉積之前被沉積,且第二膜層被沉積在第一膜層上。在一些實施例中,該順序可被顛倒。
圖4B示出了一個實施例,在該實施例中,沉積在物件410(例如,如上所述的半導體製程腔室部件)的表面405上的多組分塗層組成物包括第一層415、第二層425和至少一個額外層435的交替層的疊層,其中該層是完整的且以預定順序被沉積和/或生長並且具有均勻的相等的厚度。但是,層的數量可以不是相等的且某些層相較其他層可更為普遍(例如,三個415層、三個425層、兩個435層)。
在一些實施例中,至少一個第一膜層包括第一連續單層,而至少一個第二膜層包括第二連續單層。在一些實施例中,至少一個額外層可包括至少一個額外單層。
在其他實施例中,至少一個第一膜層包括具有均勻厚度的第一厚層,該均勻厚度的範圍從兩個單層的厚度到約1微米,以及其中至少一個第二膜層包括具有第一膜層的均勻厚度的第二厚層。在又一其他實施例中,至少一個額外膜層可包括具有另外兩個厚層的均勻厚度的至少一個額外厚層。
在一些實施例中,多組分塗層組成物可包括至少一個第一膜層、至少一個第二膜層、以及任選地一個或多個額外膜層,其中該層的厚度可改變。例如,一些層可以是單層且一些層可以是厚層。
圖4C示出了一個實施例,在該實施例中,沉積在物件410的表面405上的多組分塗層包括沒有固定順序或固定厚度的完整的均勻的膜層的疊層。多組分塗層包括具有第一厚度的第一厚層420、具有與第一厚度不同的第二厚度的第二厚層430、以及具有與第一和第二厚度不同的第三厚度的至少一個額外厚層440。某些層相較其他層可以更為普遍以便實現多組分塗層的某些性質(諸如耐侵蝕/抗腐蝕性)(例如,兩個第一厚層420、一個第二厚層430、以及一個額外厚層440)。
在一些實施例中,圖4A至4C所示的各個膜層可具有相同的組成物。在其他實施例中,該層的組成物可以是不同的。在一些實施例中,各個膜層可具有類似的性質,諸如厚度、孔隙率、耐電漿性、CTE。在其他實施例中,每個膜層可具有不同的性質。要理解的是,儘管圖4A-4C描繪了某個數量的膜層,但附圖並不意欲限制,並且在某些實施例中,更多或更少的膜層可被沉積到表面上。在一些實施例中,半導體製程腔室部件的整個表面可被塗佈。在其他實施例中,半導體製程腔室部件的表面的至少一部分可被塗佈。
圖4D示出了一個實施例,在該實施例中,沉積在物件410的表面405上的多組分塗層組成物450包括至少一個第一膜層、至少一個第二膜層、以及任選地至少一個額外膜層的互相擴散的固態相。
在一些實施例中,多組分塗層組成物(無論是包括完整的層還是互相擴散的固態相)選自由YOx Fy 、Yx Aly O、Yx Zry O和Yx Zry Alz O組成的群組。下面在實例中闡述用於生成這些各種多組分塗層組成物的製程。
圖5A示出了噴頭500的底視圖。下面提供的噴頭示例僅僅是示例性腔室部件,其效能可透過本文的實施例中所闡述的多組分塗層的使用來改善。要理解的是,其他腔室部件在塗佈有本文所公開的多組分塗層時,其效能亦可得到改善。此處所描繪的噴頭500被選擇作為具有有著複雜幾何形狀的表面和有著大長徑比的孔的半導體製程腔室部件的實例。
下表面505的複雜的幾何形狀被配置成接收多組分塗層。噴頭500的下表面505限定氣體導管510,氣體導管510被佈置成均勻分佈的同心環。在其他實施例中,氣體導管510可被配置成替代的幾何構造,並且取決於所使用的製程和/或反應器類型,可按需具有或多或少的氣體導管。使用ALD技術在表面505上和氣體導管孔510中生長多組分塗層,從而在該表面上以及在氣體導管孔中實現相對較均勻厚度的共形塗層而不管複雜的幾何形狀和孔的大長徑比。
噴頭500可暴露於腐蝕性化學品,諸如氟,並且可因電漿與噴頭的相互作用而受到侵蝕。多組分塗層可減少此類電漿相互作用並且提高噴頭的耐用期限。利用ALD沉積的多組分塗層維持下表面505的以及氣體導管510的相對的形狀和幾何構造以便不干擾噴頭的功能。類似地,當施加到其他腔室部件時,多組分塗層可維持擬塗佈的表面的形狀和幾何構造以便不干擾部件的功能、提供耐電漿性、以及提高整個表面的耐侵蝕和/或抗腐蝕性。
在被塗佈部件的操作和對電漿的暴露的整個期間,塗層材料對電漿的抵抗性透過「蝕刻速率」(ER)來量測,ER的單位可以是埃/分鐘(Å/min)。耐電漿性還可透過侵蝕速率來量測,侵蝕速率的單位可以是奈米/射頻小時(nm/RFHr),其中一個RFHr代表在電漿處理條件中處理一個小時。可在不同處理時間後進行量測。例如,可在處理之前、在50個處理小時之後、在150個處理小時之後、在200個處理小時之後等進行量測。就多組分耐電漿塗層材料而言,低於約100 nm/RFHr的侵蝕速率是典型的。在噴頭上或在任何其他半導體製程腔室部件上生長的多組分塗層的組成物的變化可導致多種不同的耐電漿性或侵蝕速率值。另外,暴露於多種電漿的具有單一組成物的多組分塗層可具有多種不同的耐電漿性或侵蝕速率值。例如,耐電漿材料可具有與第一類型電漿相關聯的第一耐電漿性或侵蝕速率和與第二類型電漿相關聯的第二耐電漿性和侵蝕速率。
圖5B描繪了根據實施例塗佈的具有大長徑比的氣體導管510的放大視圖。氣體導管510可具有長度L和直徑D。氣體導管510可具有定義為L:D的大長徑比,其中長徑比的範圍可從約50:1到約100:1。在一些實施例中,長徑比可小於50:1或大於100:1。
氣體導管510可具有內表面555,內表面555可用多組分塗層來塗佈。多組分塗層可包括至少一個第一層560和至少一個第二層565以及任選地至少一個額外層(未圖示)。第一膜層可包括氧化釔或氟化釔。第二膜層可包括額外氧化物或額外氟化物。任選的至少一個額外層可包括氧化鋁或氧化鋯。所有層可使用ALD製程來塗佈。ALD製程可在氣體導管510的整個內表面上生長均勻厚度的共形塗層而不管其大長徑比,同時確保最終的多組分塗層還可以是足夠薄的以免塞住噴頭中的氣體導管。
在一些實施例中,多組分塗層可包括至少一個第一層、至少一個第二層和任選地至少一個額外層的完整的層。在一個實施例中,第一、第二、以及任何任選的額外層可以以預定順序交替。在另一實施例中,第一、第二、以及任何任選的額外層可以以任意順序存在。在一些實施例中,可以有相等數量的第一、第二、以及任何任選的額外層中的每一種。在其他實施例中,該層中的一些層相較其他層可以更為普遍以便實現多組分塗層的某些性質。某些性質可以是耐電漿性和耐侵蝕/抗腐蝕性,這些性質可改善被塗佈半導體製程腔室部件的耐久性。
在一些實施例中,完整的層可包括均勻厚度的單層。在其他實施例中,完整的層可包括均勻厚度的較厚層。每個較厚層可具有範圍從兩個單層的厚度到約1微米的厚度。在又一其他實施例中,完整的層可包括單層和厚層的組合。
在其他實施例中,多組分塗層可包括至少一個第一層、至少一個第二層、以及任選地至少一個額外層的互相擴散的固態相。在實施例中,各個層的互相擴散的固態相可透過退火來獲得。層的組成物、層的數量、每種層的頻率、以及層的厚度將全部對多組分塗層的最終性質有所貢獻。
以下示例被闡述來幫助理解本文所描述的實施例,並且不應當被解釋為具體地限制本文所描述並要求保護的實施例。會在本領域技術人員的視界內的此類改變(包括目前已知或以後開發的所有等同實施例的替代實施例)、以及配方的變化或實驗設計的小變化應當被視為落在本文所包含的實施例的範圍內。這些示例可透過執行上述的方法300或方法350來實現。示例 1 —— 由氧化釔和氟化釔單層形成 YOx Fy 塗層
第一層可以是使用ALD由選自三(N,N-雙(三甲基甲矽烷基)醯胺)釔(III)、三(環戊二烯基)釔(III)、三(丁基環戊二烯基)釔(III)、或三(2,2,6,6-四甲基-3,5-庚二酮酸)釔(III)的前驅物以及選自H2 O、O2 、或O3 的第二種前驅物生長的氧化釔單層。第二層可以是使用ALD由前驅物即三(2,2,6,6-四甲基-3,5-庚二酮酸)釔(III)和TiF4 的單一組合生長的氟化釔單層。得到的多組分塗層可包括YOx Fy ,其中x和y取決於第一和第二層的重複次數。示例 2 —— 由氧化釔和氧化鋁單層形成 Yx Aly O 塗層
第一層可以是使用ALD由選自三(N,N-雙(三甲基甲矽烷基)醯胺)釔(III)、三(環戊二烯基)釔(III)、三(丁基環戊二烯基)釔(III)、或三(2,2,6,6-四甲基-3,5-庚二酮酸)釔(III)的前驅物以及選自H2 O、O2 、或O3 的第二種前驅物生長的氧化釔單層。第二層可以是使用ALD由選自二乙基乙醇鋁、三(乙基甲基醯胺基)鋁、仲丁醇鋁、三溴化鋁、三氯化鋁、三乙基鋁、三異丁基鋁、三甲基鋁、或三(二乙基醯胺基)鋁的前驅物以及選自H2 O、O2 、或O3 的第二種前驅物生長的氧化鋁單層。得到的多組分塗層可包括Yx Aly O,其中x和y取決於第一和第二層的重複次數。
在一些實驗中,Y2 O3 和Al2 O3 的交替層的疊層經由ALD被沉積,其中每個層可具有5 nm的厚度。其他厚度亦可用於單獨的層,諸如從幾埃到約10 nm或更厚之間的任何厚度。在實驗中,總塗層厚度是約200 nm。樣本在500 ºC、750 ºC、800 ºC、900 ºC和/或1000 ºC的溫度下被退火持續12小時。透過掠入射x射線衍射來分析經退火且這樣塗佈的樣本以針對樣本中的每一個決定塗層的微結構。測試顯示,在800 ºC及以上的溫度下退火的結果是塗層從非晶結構轉變為主要由立方Y3 Al5 O12 或Y3 Al5 O13 (即,釔鋁石榴石)相組成的多半晶體結構。在500 ºC和750 ºC的溫度下,Y2 O3 的結晶化發生但釔鋁石榴石相不形成。例如,在500 ºC下退火之後,非晶相和晶體Y2 O3 相被形成。非晶相可包含一些尚未結晶化的Y2 O3 。下面的表1示出了在各個溫度下這樣沉積並退火的Al2 O3 /Y2 O3 的交替層膜的疊層的相識別。
Figure 108120576-A0304-0001
表1:在各個溫度下這樣沉積並退火的Al2 O3 /Y2 O3 交替層膜的相識別
6 是示出塗佈時605以及在1000 ºC的溫度下退火持續12小時後610的Al2 O3 和Y2 O3 的交替層的疊層的x射線衍射圖案的曲線圖。如圖所示,交替層的疊層擴散到彼此中並且在退火之後形成Y3 Al5 O12示例 3 —— 由氧化釔和氧化鋯單層形成 Yx Zry O 塗層
第一層可以是使用ALD由選自三(N,N-雙(三甲基甲矽烷基)醯胺)釔(III)、三(環戊二烯基)釔(III)、三(丁基環戊二烯基)釔(III)、或三(2,2,6,6-四甲基-3,5-庚二酮酸)釔(III)的前驅物以及選自H2 O、O2 、或O3 的第二種前驅物生長的氧化釔單層。第二層可以是使用ALD由選自溴化鋯(IV)、氯化鋯(IV)、叔丁醇鋯(IV)、四(二乙基醯胺基)鋯(IV)、四(二甲基醯胺基)鋯(IV)、或四(乙基甲基醯胺基)鋯(IV)的前驅物以及選自H2 O、O2 、或O3 的第二種前驅物生長的氧化鋯單層。得到的多組分塗層可包括Yx Zry O,其中x和y取決於第一和第二層的重複次數。示例 4 —— 由氧化釔、氧化鋯和氧化鋁單層形成 Yx Zry Alz O 塗層
第一層可以是使用ALD由選自三(N,N-雙(三甲基甲矽烷基)醯胺)釔(III)、三(環戊二烯基)釔(III)、三(丁基環戊二烯基)釔(III)、或三(2,2,6,6-四甲基-3,5-庚二酮酸)釔(III)的前驅物以及選自H2 O、O2 、或O3 的第二種前驅物生長的氧化釔單層。第二層可以是使用ALD由選自溴化鋯(IV)、氯化鋯(IV)、叔丁醇鋯(IV)、四(二乙基醯胺基)鋯(IV)、四(二甲基醯胺基)鋯(IV)、或四(乙基甲基醯胺基)鋯(IV)的前驅物以及選自H2 O、O2 、或O3 的第二種前驅物生長的氧化鋯單層。至少一個額外層可以是使用ALD由選自二乙基乙醇鋁、三(乙基甲基醯胺基)鋁、仲丁醇鋁、三溴化鋁、三氯化鋁、三乙基鋁、三異丁基鋁、三甲基鋁、或三(二乙基醯胺基)鋁的前驅物以及選自H2 O、O2 、或O3 的第二種前驅物生長的氧化鋁單層。得到的多組分塗層可包括Yx Zry Alz O,其中x、y和z取決於第一、第二和至少一個額外層的重複次數。示例 5 —— 由氧化釔和氟化釔厚層形成 YOx Fy 塗層
第一層可以是使用ALD由選自三(N,N-雙(三甲基甲矽烷基)醯胺)釔(III)、三(環戊二烯基)釔(III)、三(丁基環戊二烯基)釔(III)、或三(2,2,6,6-四甲基-3,5-庚二酮酸)釔(III)的至少一種前驅物以及選自H2 O、O2 、或O3 的至少一種前驅物生長的氧化釔厚層。第二層可以是使用ALD由前驅物即三(2,2,6,6-四甲基-3,5-庚二酮酸)釔(III)和TiF4 生長的氟化釔厚層。得到的多組分塗層可包括YOx Fy ,其中x和y取決於第一和第二層的重複次數。示例 6 —— 由氧化釔和氧化鋁厚層形成 Yx Aly O 塗層
第一層可以是使用ALD由選自三(N,N-雙(三甲基甲矽烷基)醯胺)釔(III)、三(環戊二烯基)釔(III)、三(丁基環戊二烯基)釔(III)、或三(2,2,6,6-四甲基-3,5-庚二酮酸)釔(III)的至少一種前驅物以及選自H2 O、O2 、或O3 的至少一種前驅物生長的氧化釔厚層。第二層可以是使用ALD由選自二乙基乙醇鋁、三(乙基甲基醯胺基)鋁、仲丁醇鋁、三溴化鋁、三氯化鋁、三乙基鋁、三異丁基鋁、三甲基鋁、或三(二乙基醯胺基)鋁的至少一種前驅物以及選自H2 O、O2 、或O3 的至少一種前驅物生長的氧化鋁厚層。得到的多組分塗層可包括Yx Aly O,其中x和y取決於第一和第二層的重複次數。示例 7 —— 由氧化釔和氧化鋯厚層形成 Yx Zry O 塗層
第一層可以是使用ALD由選自三(N,N-雙(三甲基甲矽烷基)醯胺)釔(III)、三(環戊二烯基)釔(III)、三(丁基環戊二烯基)釔(III)、或三(2,2,6,6-四甲基-3,5-庚二酮酸)釔(III)的至少一種前驅物以及選自H2 O、O2 、或O3 的至少一種前驅物生長的氧化釔厚層。第二層可以是使用ALD由選自溴化鋯(IV)、氯化鋯(IV)、叔丁醇鋯(IV)、四(二乙基醯胺基)鋯(IV)、四(二甲基醯胺基)鋯(IV)、或四(乙基甲基醯胺基)鋯(IV)的至少一種前驅物以及選自H2 O、O2 、或O3 的至少一種前驅物生長的氧化鋯厚層。得到的多組分塗層可包括Yx Zry O,其中x和y取決於第一和第二層的重複次數。示例 8 —— 由氧化釔、氧化鋯和氧化鋁厚層形成 Yx Zry Alz O 塗層
第一層可以是使用ALD由選自三(N,N-雙(三甲基甲矽烷基)醯胺)釔(III)、三(環戊二烯基)釔(III)、三(丁基環戊二烯基)釔(III)、或三(2,2,6,6-四甲基-3,5-庚二酮酸)釔(III)的至少一種前驅物以及選自H2 O、O2 、或O3 的至少一種前驅物生長的氧化釔厚層。第二層可以是使用ALD由選自溴化鋯(IV)、氯化鋯(IV)、叔丁醇鋯(IV)、四(二乙基醯胺基)鋯(IV)、四(二甲基醯胺基)鋯(IV)、或四(乙基甲基醯胺基)鋯(IV)的至少一種前驅物以及選自H2 O、O2 、或O3 的至少一種前驅物生長的氧化鋯厚層。至少一個額外層可以是使用ALD由選自二乙基乙醇鋁、三(乙基甲基醯胺基)鋁、仲丁醇鋁、三溴化鋁、三氯化鋁、三乙基鋁、三異丁基鋁、三甲基鋁、或三(二乙基醯胺基)鋁的至少一種前驅物以及選自H2 O、O2 、或O3 的至少一種前驅物生長的氧化鋁厚層。得到的多組分塗層可包括Yx Zry Alz O,其中x、y和z取決於第一、第二和至少一個額外層的重複次數。
前面的描述闡述了許多具體細節,諸如具體系統、部件、方法等的實例,以便提供對本發明的若干實施例的良好理解。然而,對本領域技術人員而言,將顯而易見的是,本發明的至少一些實施例可在沒有這些具體細節的情況下實踐。另一方面,公知的部件或方法沒有被詳細描述或者以簡單的框圖形式呈現以免不必要地模糊本發明。因此,所闡述的這些具體細節僅僅是示例性的。特定實現可從這些示例性細節變化而來並且仍被視為在本發明的範圍內。
縱觀本說明書,對「一個實施例」或「一實施例」的引用意味著聯繫該實施例而描述的特定特徵、結構、或特性被包括在至少一個實施例中。因此,縱觀本說明書,短語「在一個實施例中」或「在一實施例中」在多個位置的出現不一定全部指同一實施例。另外,術語「或」意欲表示包含性「或」而非排除性「或」。當本文使用術語「約」或「大約」時,意欲表示所呈現的標稱值精確在±10%內。
儘管本文以特定順序示出和描述方法的操作,但每種方法的操作的順序可被改變使得某些操作可以以相反的循序執行或者使得某個操作可與其他操作至少部分同時地執行。在另一實施例中,不同操作的指令或子操作可以按照間歇和/或交替的方式。
要理解的是,上面的描述意欲是說明性的,而非限制性的。對本領域技術人員而言,在閱讀和理解上面的描述後,許多其他實施例將是顯而易見的。因此,本發明的範圍應當參考所附請求項以及此類請求項的等同實施例的全部範圍來決定。
100‧‧‧處理腔室 102‧‧‧腔室主體 106‧‧‧內部容積 108‧‧‧側壁 110‧‧‧底部 116‧‧‧外襯墊 126‧‧‧排放口 128‧‧‧泵系統 130‧‧‧噴頭 132‧‧‧氣體輸送孔 144‧‧‧基板 148‧‧‧基板支撐元件 152‧‧‧多組分塗層 158‧‧‧氣體面板 205‧‧‧表面 210‧‧‧物件 214‧‧‧吸附層 215‧‧‧層 218‧‧‧第二吸附層 220‧‧‧第二層 225‧‧‧交替層 230‧‧‧交替層 235‧‧‧交替層 240‧‧‧交替層 245‧‧‧交替層 250‧‧‧交替層 260‧‧‧第一種前驅物 265‧‧‧第二種前驅物 270‧‧‧第三種前驅物 275‧‧‧另一種前驅物 300‧‧‧方法 305‧‧‧框 310‧‧‧框 315‧‧‧框 320‧‧‧框 325‧‧‧框 350‧‧‧方法 355‧‧‧框 360‧‧‧框 365‧‧‧框 370‧‧‧框 375‧‧‧框 405‧‧‧表面 410‧‧‧物件 415‧‧‧第一膜層 420‧‧‧第一厚層 425‧‧‧第二膜層 430‧‧‧第二厚層 435‧‧‧額外層 440‧‧‧額外厚層 450‧‧‧多組分塗層組成物 500‧‧‧噴頭 505‧‧‧下表面 510‧‧‧氣體導管 555‧‧‧內表面 560‧‧‧第一層 565‧‧‧第二層 605‧‧‧時間點 610‧‧‧時間點
在附圖中,本案揭露內容透過示例方式而不是透過限制方式來闡述,在該附圖中,類同的附圖標記指示類同的要素。應當注意,在本案揭露內容中,對「一」或「一個」實施例的不同參考未必是針對相同實施例,且此類參考意味著至少一個。
1 描繪了處理腔室的一個實施例的剖視圖。
2 描繪了根據多種原子層沉積技術的沉積製程。
3A 示出根據實施例的用於在半導體製程腔室部件上形成多組分塗層的方法。
3B 示出根據實施例的用於在半導體製程腔室部件上形成多組分塗層的方法。
4A-4D 描繪了根據不同實施例的多組分塗層組成物的變化。
5A 描繪了根據實施例的經塗佈的腔室部件(噴頭)。
5B 描繪了根據實施例塗佈的具有大長徑比的氣體導管的放大視圖。
6 是示出塗佈時以及退火後Al2 O3 和Y2 O3 的交替層的疊層的x射線衍射圖案的曲線圖。
國內寄存資訊 (請依寄存機構、日期、號碼順序註記) 無
國外寄存資訊 (請依寄存國家、機構、日期、號碼順序註記) 無
205‧‧‧表面
210‧‧‧物件
214‧‧‧吸附層
215‧‧‧層
218‧‧‧第二吸附層
220‧‧‧第二層
225‧‧‧交替層
230‧‧‧交替層
235‧‧‧交替層
240‧‧‧交替層
245‧‧‧交替層
250‧‧‧交替層
260‧‧‧第一種前驅物
265‧‧‧第二種前驅物
270‧‧‧第三種前驅物
275‧‧‧另一種前驅物

Claims (19)

  1. 一種形成一多組分塗層組成物的方法,包括:使用一第一重複次數的一原子層沉積處理將氧化釔的一第一膜層沉積到用於一處理腔室的一腔室部件的一表面上;使用一第二重複次數的該原子層沉積處理將氧化鋁的一第二膜層沉積到該腔室部件的該表面上;及形成一互相擴散的YxAlyO固態相塗層組成物於該處理腔室上,其中x和y具有基於用於沉積該第一膜層的該第一重複次數的該原子層沉積處理和用於沉積該第二膜層的該第二重複次數的該原子層沉積處理的值。
  2. 如請求項1所述之方法,其中形成該互相擴散的YxAlyO固態相塗層組成物包含以下步驟:在範圍從約800℃至約1800℃的一溫度,退火包含該第一膜層與該第二膜層的該腔室部件。
  3. 如請求項2所述之方法,其中在範圍從約800℃至約1500℃的一溫度,退火包含該第一膜層與該第二膜層的該腔室部件。
  4. 如請求項2所述之方法,其中在範圍從約800℃至約1000℃的一溫度,退火包含該第一膜層與該第二膜層的該腔室部件。
  5. 如請求項1所述之方法,其中該互相擴散的YxAlyO固態相塗層組成物包含晶體YxAlyO。
  6. 如請求項5所述之方法,其中該晶體YxAlyO為一立方相。
  7. 如請求項1所述之方法,其中該互相擴散的YxAlyO固態相塗層組成物為Y3Al5O12
  8. 如請求項1所述之方法,其中用於沉積該第一膜層的一前驅物包括三(N,N-雙(三甲基甲矽烷基)醯胺)釔(III)、三(環戊二烯基)釔(III)、三(丁基環戊二烯基)釔(III)、或三(2,2,6,6-四甲基-3,5-庚二酮酸)釔(III)中的至少一種,以及其中用於沉積該第一膜層的一反應物包括H2O、O2、或O3中的至少一種。
  9. 如請求項1所述之方法,其中用於沉積該第二膜層的一前驅物包括二乙基乙醇鋁、三(乙基甲基醯胺基)鋁、仲丁醇鋁、三溴化鋁、三氯化鋁、三乙基鋁、三異丁基鋁、三甲基鋁、或三(二乙基醯胺基)鋁中的至少一種,及其中用於沉積該第二膜層的一反應物包括H2O、O2、或O3中的至少一種。
  10. 如請求項1所述之方法,進一步包括以下步驟:交替地將氧化釔的一第一多個額外膜層中的一個沉 積到該腔室部件的該表面上和將氧化鋁的一第二多個額外膜層中的一個沉積到該腔室部件的該表面上,直至該第一膜層、該第二膜層、該第一多個膜層和該第二多個膜層的一組合厚度達到一目標厚度。
  11. 如請求項1所述之方法,其中該第一膜層具有與該第二膜層不同的一厚度。
  12. 如請求項1所述之方法,其中該互相擴散的YxAlyO固態相塗層組成物為同質的。
  13. 一種用於一處理腔室的腔室部件,一塗層已通過包括以下步驟的一處理而形成:使用一第一重複次數的一原子層沉積處理將氧化釔的一第一膜層沉積到該腔室部件的一表面上;使用一第二重複次數的該原子層沉積處理將氧化鋁的一第二膜層沉積到該腔室部件的該表面上;及形成一互相擴散的YxAlyO固態相塗層組成物於該處理腔室上,其中x和y具有基於用於沉積該第一膜層的該第一重複次數的該原子層沉積處理和用於沉積該第二膜層的該第二重複次數的該原子層沉積處理的值。
  14. 如請求項13所述之腔室部件,其中形成該互相擴散的YxAlyO固態相塗層組成物包含以下步驟:退火包含該第一膜層與該第二膜層的該腔室部件。
  15. 如請求項14所述之腔室部件,其中該退火步驟發生在範圍從約800℃至約1800℃的一溫度。
  16. 如請求項15所述之腔室部件,其中該退火步驟發生在範圍從約800℃至約1500℃的一溫度。
  17. 如請求項15所述之腔室部件,其中該退火步驟發生在範圍從約800℃至約1000℃的一溫度。
  18. 如請求項13所述之腔室部件,其中該互相擴散的YxAlyO固態相塗層組成物包含一晶體立方相的YxAlyO。
  19. 如請求項13所述之腔室部件,其中該互相擴散的YxAlyO固態相塗層組成物為同質的並由Y3Al5O12組成。
TW108120576A 2016-04-27 2017-04-27 用於半導體處理腔室部件之保護塗佈之原子層沉積 TWI734124B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201662328588P 2016-04-27 2016-04-27
US62/328,588 2016-04-27
US15/495,624 US11326253B2 (en) 2016-04-27 2017-04-24 Atomic layer deposition of protective coatings for semiconductor process chamber components
US15/495,624 2017-04-24

Publications (2)

Publication Number Publication Date
TW201947634A TW201947634A (zh) 2019-12-16
TWI734124B true TWI734124B (zh) 2021-07-21

Family

ID=60158184

Family Applications (4)

Application Number Title Priority Date Filing Date
TW106205903U TWM556402U (zh) 2016-04-27 2017-04-27 用於半導體製程腔室部件之保護塗層及經塗佈之半導體製程腔室部件
TW106146124A TWI723242B (zh) 2016-04-27 2017-04-27 用於半導體處理腔室部件之保護塗佈之原子層沉積
TW108120576A TWI734124B (zh) 2016-04-27 2017-04-27 用於半導體處理腔室部件之保護塗佈之原子層沉積
TW106114052A TWI727037B (zh) 2016-04-27 2017-04-27 用於半導體處理腔室部件之保護塗佈之原子層沉積

Family Applications Before (2)

Application Number Title Priority Date Filing Date
TW106205903U TWM556402U (zh) 2016-04-27 2017-04-27 用於半導體製程腔室部件之保護塗層及經塗佈之半導體製程腔室部件
TW106146124A TWI723242B (zh) 2016-04-27 2017-04-27 用於半導體處理腔室部件之保護塗佈之原子層沉積

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW106114052A TWI727037B (zh) 2016-04-27 2017-04-27 用於半導體處理腔室部件之保護塗佈之原子層沉積

Country Status (5)

Country Link
US (4) US11326253B2 (zh)
JP (4) JP7125251B2 (zh)
KR (3) KR20170122674A (zh)
CN (4) CN207193391U (zh)
TW (4) TWM556402U (zh)

Families Citing this family (237)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10861682B2 (en) 2014-07-31 2020-12-08 iSenseCloud, Inc. Test wafer with optical fiber with Bragg Grating sensors
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9828672B2 (en) 2015-03-26 2017-11-28 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11326253B2 (en) * 2016-04-27 2022-05-10 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
TWI742092B (zh) * 2016-06-13 2021-10-11 美商應用材料股份有限公司 用於ald、cvd與薄膜摻雜之鑭系、釔與鈧前驅物及使用方法
US9850573B1 (en) * 2016-06-23 2017-12-26 Applied Materials, Inc. Non-line of sight deposition of erbium based plasma resistant ceramic coating
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
WO2018132789A1 (en) * 2017-01-16 2018-07-19 Entegris, Inc. Articles coated with a fluoro-annealed film
US10186400B2 (en) * 2017-01-20 2019-01-22 Applied Materials, Inc. Multi-layer plasma resistant coating by atomic layer deposition
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10224224B2 (en) 2017-03-10 2019-03-05 Micromaterials, LLC High pressure wafer processing systems and related methods
US10975469B2 (en) * 2017-03-17 2021-04-13 Applied Materials, Inc. Plasma resistant coating of porous body by atomic layer deposition
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10755900B2 (en) * 2017-05-10 2020-08-25 Applied Materials, Inc. Multi-layer plasma erosion protection for chamber components
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US10847360B2 (en) 2017-05-25 2020-11-24 Applied Materials, Inc. High pressure treatment of silicon nitride film
JP7190450B2 (ja) 2017-06-02 2022-12-15 アプライド マテリアルズ インコーポレイテッド 炭化ホウ素ハードマスクのドライストリッピング
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
TWI794238B (zh) * 2017-07-13 2023-03-01 荷蘭商Asm智慧財產控股公司 於單一加工腔室中自半導體膜移除氧化物及碳之裝置及方法
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
CN111095513B (zh) 2017-08-18 2023-10-31 应用材料公司 高压高温退火腔室
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102659317B1 (ko) 2017-09-12 2024-04-18 어플라이드 머티어리얼스, 인코포레이티드 보호 배리어 층을 사용하여 반도체 구조들을 제조하기 위한 장치 및 방법들
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10643867B2 (en) 2017-11-03 2020-05-05 Applied Materials, Inc. Annealing system and method
KR102396319B1 (ko) 2017-11-11 2022-05-09 마이크로머티어리얼즈 엘엘씨 고압 프로세싱 챔버를 위한 가스 전달 시스템
CN111373519B (zh) 2017-11-16 2021-11-23 应用材料公司 高压蒸气退火处理设备
KR20200075892A (ko) 2017-11-17 2020-06-26 어플라이드 머티어리얼스, 인코포레이티드 고압 처리 시스템을 위한 컨덴서 시스템
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
WO2019113351A1 (en) 2017-12-07 2019-06-13 Lam Research Corporation Oxidation resistant protective layer in chamber conditioning
US10760158B2 (en) * 2017-12-15 2020-09-01 Lam Research Corporation Ex situ coating of chamber components for semiconductor processing
TWI748145B (zh) * 2017-12-18 2021-12-01 美商恩特葛瑞斯股份有限公司 藉由原子層沉積塗覆所得之耐化學性多層塗層
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
WO2019147400A1 (en) 2018-01-24 2019-08-01 Applied Materials, Inc. Seam healing using high pressure anneal
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11087961B2 (en) * 2018-03-02 2021-08-10 Lam Research Corporation Quartz component with protective coating
EP3762962A4 (en) 2018-03-09 2021-12-08 Applied Materials, Inc. HIGH PRESSURE ANNEALING PROCESS FOR METAL-BASED MATERIALS
EP3768874A4 (en) 2018-03-19 2022-03-30 Applied Materials, Inc. METHODS FOR DEPOSITING COATINGS ON AEROSPACE ELEMENTS
JP7090789B2 (ja) * 2018-03-26 2022-06-24 スーチョウ・ジェルナノ・カーボン・カンパニー・リミテッド カーボンナノチューブ製造システム
WO2019186637A1 (ja) * 2018-03-26 2019-10-03 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10714331B2 (en) 2018-04-04 2020-07-14 Applied Materials, Inc. Method to fabricate thermally stable low K-FinFET spacer
US10443126B1 (en) * 2018-04-06 2019-10-15 Applied Materials, Inc. Zone-controlled rare-earth oxide ALD and CVD coatings
CN108417620B (zh) * 2018-04-20 2021-06-15 华南理工大学 一种氧化物绝缘体薄膜及薄膜晶体管
EP3784815A4 (en) 2018-04-27 2021-11-03 Applied Materials, Inc. PROTECTION OF COMPONENTS AGAINST CORROSION
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US20190352781A1 (en) * 2018-05-17 2019-11-21 Hamilton Sundstrand Corporation Corrosion barrier
US10566188B2 (en) 2018-05-17 2020-02-18 Applied Materials, Inc. Method to improve film stability
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US10704141B2 (en) * 2018-06-01 2020-07-07 Applied Materials, Inc. In-situ CVD and ALD coating of chamber to control metal contamination
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US20200024735A1 (en) * 2018-07-18 2020-01-23 Applied Materials, Inc. Erosion resistant metal fluoride coatings deposited by atomic layer deposition
US11667575B2 (en) * 2018-07-18 2023-06-06 Applied Materials, Inc. Erosion resistant metal oxide coatings
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US10675581B2 (en) 2018-08-06 2020-06-09 Applied Materials, Inc. Gas abatement apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11009339B2 (en) 2018-08-23 2021-05-18 Applied Materials, Inc. Measurement of thickness of thermal barrier coatings using 3D imaging and surface subtraction methods for objects with complex geometries
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
JP7058575B2 (ja) * 2018-09-12 2022-04-22 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、基板処理装置、およびプログラム
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US20200131634A1 (en) * 2018-10-26 2020-04-30 Asm Ip Holding B.V. High temperature coatings for a preclean and etch apparatus and related methods
WO2020092002A1 (en) 2018-10-30 2020-05-07 Applied Materials, Inc. Methods for etching a structure for semiconductor applications
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
JP2022507390A (ja) 2018-11-16 2022-01-18 アプライド マテリアルズ インコーポレイテッド 強化拡散プロセスを使用する膜の堆積
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
CN111364027A (zh) * 2018-12-25 2020-07-03 广东聚华印刷显示技术有限公司 原子层沉积腔室部件及其制备方法、以及原子层沉积设备
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11390947B2 (en) * 2019-03-04 2022-07-19 Applied Materials, Inc. Method of forming a fluorinated metal film
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US10858741B2 (en) 2019-03-11 2020-12-08 Applied Materials, Inc. Plasma resistant multi-layer architecture for high aspect ratio parts
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
JP2022529243A (ja) * 2019-04-16 2022-06-20 ラム リサーチ コーポレーション 表面被覆処理
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
WO2020219332A1 (en) * 2019-04-26 2020-10-29 Applied Materials, Inc. Methods of protecting aerospace components against corrosion and oxidation
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
US11794382B2 (en) * 2019-05-16 2023-10-24 Applied Materials, Inc. Methods for depositing anti-coking protective coatings on aerospace components
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
US11697879B2 (en) 2019-06-14 2023-07-11 Applied Materials, Inc. Methods for depositing sacrificial coatings on aerospace components
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
KR20210006229A (ko) * 2019-07-08 2021-01-18 주성엔지니어링(주) 기판 처리 장치의 챔버 클리닝 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
US11631571B2 (en) 2019-08-12 2023-04-18 Kurt J. Lesker Company Ultra high purity conditions for atomic scale processing
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11466364B2 (en) * 2019-09-06 2022-10-11 Applied Materials, Inc. Methods for forming protective coatings containing crystallized aluminum oxide
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112553598B (zh) * 2019-09-25 2023-03-28 中微半导体设备(上海)股份有限公司 利用ald技术增强修复刻蚀设备部件阳极氧化涂层的方法
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210042810A (ko) * 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US20210123143A1 (en) * 2019-10-23 2021-04-29 Applied Materials, Inc. Hafnium aluminum oxide coatings deposited by atomic layer deposition
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20220113458A (ko) * 2019-12-09 2022-08-12 엔테그리스, 아이엔씨. 다중 장벽 재료로 제조된 확산 장벽, 그리고 관련 물품 및 방법
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11661650B2 (en) * 2020-04-10 2023-05-30 Applied Materials, Inc. Yttrium oxide based coating composition
US11658014B2 (en) 2020-04-11 2023-05-23 Applied Materials, Inc. Apparatuses and methods of protecting nickel and nickel containing components with thin films
WO2021211727A1 (en) * 2020-04-14 2021-10-21 Entegris, Inc. Yttrium fluoride films and methods of preparing and using yttrium fluoride films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
CN211972444U (zh) * 2020-04-23 2020-11-20 京东方科技集团股份有限公司 一种导流器及等离子化学气相沉积设备
JP2021172884A (ja) 2020-04-24 2021-11-01 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
US11519066B2 (en) 2020-05-21 2022-12-06 Applied Materials, Inc. Nitride protective coatings on aerospace components and methods for making the same
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
EP4175772A1 (en) 2020-07-03 2023-05-10 Applied Materials, Inc. Methods for refurbishing aerospace components
EP4179127A1 (en) * 2020-07-09 2023-05-17 Entegris, Inc. Coatings that contain fluorinated yttrium oxide and a metal oxide, and methods of preparing and using the coatings
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
KR20220033742A (ko) * 2020-09-10 2022-03-17 주식회사 테스 식각 물질로부터 장치를 보호하는 방법 및 산화막 형성 방법
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) * 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
FI130562B (en) * 2021-05-21 2023-11-21 Picosun Oy Plasma resistant coating, related manufacturing process and uses
KR20230012675A (ko) 2021-07-16 2023-01-26 (주)위지트 반도체 공정 챔버 부품들의 원자층 증착 방법
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US20230416913A1 (en) * 2022-06-28 2023-12-28 Entegris, Inc. Modules for delivery systems and related methods
KR102581079B1 (ko) 2022-10-21 2023-09-21 (주)위지트 반도체 공정 챔버 부품 원자층 증착 방법

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105088141A (zh) * 2014-05-23 2015-11-25 中微半导体设备(上海)有限公司 电感耦合型等离子体处理腔室及其抗腐蚀绝缘窗口及制造方法

Family Cites Families (111)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03115535A (ja) 1989-09-28 1991-05-16 Nippon Mining Co Ltd 希土類金属の酸素低減方法
US5805973A (en) 1991-03-25 1998-09-08 General Electric Company Coated articles and method for the prevention of fuel thermal degradation deposits
US5630314A (en) 1992-09-10 1997-05-20 Hitachi, Ltd. Thermal stress relaxation type ceramic coated heat-resistant element
JP3362113B2 (ja) 1997-07-15 2003-01-07 日本碍子株式会社 耐蝕性部材、ウエハー設置部材および耐蝕性部材の製造方法
JP3510993B2 (ja) 1999-12-10 2004-03-29 トーカロ株式会社 プラズマ処理容器内部材およびその製造方法
FI117979B (fi) * 2000-04-14 2007-05-15 Asm Int Menetelmä oksidiohutkalvojen valmistamiseksi
US6685991B2 (en) 2000-07-31 2004-02-03 Shin-Etsu Chemical Co., Ltd. Method for formation of thermal-spray coating layer of rare earth fluoride
US6660660B2 (en) 2000-10-10 2003-12-09 Asm International, Nv. Methods for making a dielectric stack in an integrated circuit
US7371633B2 (en) 2001-02-02 2008-05-13 Samsung Electronics Co., Ltd. Dielectric layer for semiconductor device and method of manufacturing the same
EP1239055B1 (en) 2001-03-08 2017-03-01 Shin-Etsu Chemical Co., Ltd. Thermal spray spherical particles, and sprayed components
JP2002356387A (ja) 2001-03-30 2002-12-13 Toshiba Ceramics Co Ltd 耐プラズマ性部材
US7670688B2 (en) 2001-06-25 2010-03-02 Applied Materials, Inc. Erosion-resistant components for plasma process chambers
JP4277973B2 (ja) 2001-07-19 2009-06-10 日本碍子株式会社 イットリア−アルミナ複合酸化物膜の製造方法、イットリア−アルミナ複合酸化物膜および耐蝕性部材
JP4921652B2 (ja) 2001-08-03 2012-04-25 エイエスエム インターナショナル エヌ.ヴェー. イットリウム酸化物およびランタン酸化物薄膜を堆積する方法
US20030029563A1 (en) * 2001-08-10 2003-02-13 Applied Materials, Inc. Corrosion resistant coating for semiconductor processing chamber
US6942929B2 (en) 2002-01-08 2005-09-13 Nianci Han Process chamber having component with yttrium-aluminum coating
US7371467B2 (en) 2002-01-08 2008-05-13 Applied Materials, Inc. Process chamber component having electroplated yttrium containing coating
US20080213496A1 (en) 2002-02-14 2008-09-04 Applied Materials, Inc. Method of coating semiconductor processing apparatus with protective yttrium-containing coatings
JP2003277051A (ja) 2002-03-22 2003-10-02 Ngk Insulators Ltd イットリア−アルミナ複合酸化物膜を有する積層体、イットリア−アルミナ複合酸化物膜、耐蝕性部材、耐蝕性膜およびイットリア−アルミナ複合酸化物膜の製造方法
CN100439561C (zh) * 2002-04-19 2008-12-03 马特森技术公司 使用低蒸气压气体前体向基材上沉积膜的系统
DE60319470T2 (de) 2002-08-02 2009-03-26 Fujikura Ltd. Herstellungsverfahren für einen polykristallinen Dünnfilm und Herstellungsverfahren für ein Oxidsupraleiter-Bauelement
US7780786B2 (en) 2002-11-28 2010-08-24 Tokyo Electron Limited Internal member of a plasma processing vessel
CN100495413C (zh) 2003-03-31 2009-06-03 东京毅力科创株式会社 用于邻接在处理元件上的相邻覆层的方法
US20050037536A1 (en) 2003-08-12 2005-02-17 Szu Yu Lai Semiconductor packaging structure and method for forming the same
KR100618630B1 (ko) 2003-10-24 2006-09-13 도시바세라믹스가부시키가이샤 내플라즈마 부재 및 그 제조방법 및 열분사막 형성방법
US7220497B2 (en) 2003-12-18 2007-05-22 Lam Research Corporation Yttria-coated ceramic components of semiconductor material processing apparatuses and methods of manufacturing the components
JP4606121B2 (ja) 2004-01-29 2011-01-05 京セラ株式会社 耐食膜積層耐食性部材およびその製造方法
JP4722501B2 (ja) 2004-01-29 2011-07-13 三星電子株式会社 半導体素子の多層誘電体構造物、半導体及びその製造方法
JP4483364B2 (ja) 2004-03-24 2010-06-16 ソニー株式会社 半導体装置の製造方法
US7119032B2 (en) 2004-08-23 2006-10-10 Air Products And Chemicals, Inc. Method to protect internal components of semiconductor processing equipment using layered superlattice materials
JP2006082474A (ja) 2004-09-17 2006-03-30 Tosoh Corp 樹脂部材
JP2006186306A (ja) 2004-09-30 2006-07-13 Toshiba Ceramics Co Ltd ガス拡散プレートおよびその製造方法
KR101226120B1 (ko) 2004-10-26 2013-01-24 쿄세라 코포레이션 내식성 부재 및 그 제조방법
KR20060098936A (ko) 2005-03-09 2006-09-19 삼성에스디아이 주식회사 플라즈마 디스플레이 패널
KR101322549B1 (ko) 2005-06-17 2013-10-25 고쿠리츠다이가쿠호진 도호쿠다이가쿠 금속 부재의 보호막 구조 및 보호막 구조를 이용한 금속부품 그리고 보호막 구조를 이용한 반도체 또는 평판디스플레이 제조 장치
CN101010448B (zh) * 2005-06-23 2010-09-29 东京毅力科创株式会社 半导体处理装置用的构成部件及其制造方法
JP5028755B2 (ja) 2005-06-23 2012-09-19 東京エレクトロン株式会社 半導体処理装置の表面処理方法
US7968205B2 (en) 2005-10-21 2011-06-28 Shin-Etsu Chemical Co., Ltd. Corrosion resistant multilayer member
JP2007217782A (ja) 2006-02-20 2007-08-30 Showa Denko Kk 希土類元素のフッ化物皮膜を有する耐食性皮膜およびその製造方法
US20070237697A1 (en) 2006-03-31 2007-10-11 Tokyo Electron Limited Method of forming mixed rare earth oxide and aluminate films by atomic layer deposition
US8440049B2 (en) * 2006-05-03 2013-05-14 Applied Materials, Inc. Apparatus for etching high aspect ratio features
JP4546447B2 (ja) 2006-12-22 2010-09-15 トーカロ株式会社 耐プラズマエロージョン性に優れる溶射皮膜被覆部材およびその製造方法
JP5252613B2 (ja) 2006-12-25 2013-07-31 国立大学法人東北大学 イオン注入装置およびイオン注入方法
TW200840880A (en) 2007-04-13 2008-10-16 Hsin-Chih Lin Method of forming protection layer on contour of workpiece
US7696117B2 (en) 2007-04-27 2010-04-13 Applied Materials, Inc. Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
US10622194B2 (en) 2007-04-27 2020-04-14 Applied Materials, Inc. Bulk sintered solid solution ceramic which exhibits fracture toughness and halogen plasma resistance
CN101418435A (zh) 2007-10-26 2009-04-29 林新智 在工件的轮廓上形成保护层的方法
US8206829B2 (en) * 2008-11-10 2012-06-26 Applied Materials, Inc. Plasma resistant coatings for plasma chamber components
US8858745B2 (en) 2008-11-12 2014-10-14 Applied Materials, Inc. Corrosion-resistant bonding agents for bonding ceramic components which are exposed to plasmas
US9017765B2 (en) 2008-11-12 2015-04-28 Applied Materials, Inc. Protective coatings resistant to reactive plasma processing
TW201100578A (en) 2009-06-19 2011-01-01 Saint Gobain Ceramics & Plastics Inc Sealed plasma coatings
JP5270476B2 (ja) * 2009-07-07 2013-08-21 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置
US20110117728A1 (en) 2009-08-27 2011-05-19 Applied Materials, Inc. Method of decontamination of process chamber after in-situ chamber clean
FI20095947A0 (fi) 2009-09-14 2009-09-14 Beneq Oy Monikerrospinnoite, menetelmä monikerrospinnoitteen valmistamiseksi, ja sen käyttötapoja
KR20110037282A (ko) 2009-10-06 2011-04-13 (주)티티에스 기판 처리 장치용 부재 및 이의 제조 방법 및 기판 처리 장치
US20110091700A1 (en) 2009-10-20 2011-04-21 Saint-Gobain Ceramics & Plastics, Inc. Microelectronic processing component having a corrosion-resistant layer, microelectronic workpiece processing apparatus incorporating same, and method of forming an article having the corrosion-resistant layer
DE102010015470A1 (de) 2010-04-16 2011-10-20 Forschungszentrum Jülich GmbH Verfahren zur Innenbeschichtung von Funktionsschichten mit einem Vergütungsmaterial
US8619406B2 (en) 2010-05-28 2013-12-31 Fm Industries, Inc. Substrate supports for semiconductor applications
JP2012059834A (ja) 2010-09-07 2012-03-22 Hitachi Kokusai Electric Inc 半導体装置の製造方法
US8916021B2 (en) 2010-10-27 2014-12-23 Applied Materials, Inc. Electrostatic chuck and showerhead with enhanced thermal properties and methods of making thereof
JP5761784B2 (ja) 2011-01-31 2015-08-12 ダイハツ工業株式会社 オイルシールの圧入方法
KR20130025025A (ko) 2011-09-01 2013-03-11 주식회사 코미코 정전척
US8288297B1 (en) 2011-09-01 2012-10-16 Intermolecular, Inc. Atomic layer deposition of metal oxide materials for memory applications
US20130064973A1 (en) 2011-09-09 2013-03-14 Taiwan Semiconductor Manufacturing Company, Ltd. Chamber Conditioning Method
US9212099B2 (en) 2012-02-22 2015-12-15 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
US9090046B2 (en) 2012-04-16 2015-07-28 Applied Materials, Inc. Ceramic coated article and process for applying ceramic coating
US9394615B2 (en) 2012-04-27 2016-07-19 Applied Materials, Inc. Plasma resistant ceramic coated conductive article
US9023427B2 (en) 2012-05-16 2015-05-05 Asm Ip Holding B.V. Methods for forming multi-component thin films
JP6117195B2 (ja) 2012-05-22 2017-04-19 株式会社東芝 プラズマ処理装置用部品およびプラズマ処理装置用部品の製造方法
US9343289B2 (en) 2012-07-27 2016-05-17 Applied Materials, Inc. Chemistry compatible coating material for advanced device on-wafer particle performance
CN103794458B (zh) 2012-10-29 2016-12-21 中微半导体设备(上海)有限公司 用于等离子体处理腔室内部的部件及制造方法
CN103794445B (zh) 2012-10-29 2016-03-16 中微半导体设备(上海)有限公司 用于等离子体处理腔室的静电夹盘组件及制造方法
CN103794460B (zh) 2012-10-29 2016-12-21 中微半导体设备(上海)有限公司 用于半导体装置性能改善的涂层
US9685356B2 (en) 2012-12-11 2017-06-20 Applied Materials, Inc. Substrate support assembly having metal bonded protective layer
CN103215535B (zh) 2013-04-16 2014-10-22 中国科学院金属研究所 一种等离子刻蚀腔体表面防护涂层的制备方法
US9865434B2 (en) 2013-06-05 2018-01-09 Applied Materials, Inc. Rare-earth oxide based erosion resistant coatings for semiconductor application
US9850568B2 (en) 2013-06-20 2017-12-26 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US9711334B2 (en) 2013-07-19 2017-07-18 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based thin film coatings on process rings
US9583369B2 (en) 2013-07-20 2017-02-28 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles
US9624593B2 (en) 2013-08-29 2017-04-18 Applied Materials, Inc. Anodization architecture for electro-plate adhesion
US9440886B2 (en) 2013-11-12 2016-09-13 Applied Materials, Inc. Rare-earth oxide based monolithic chamber material
US9725799B2 (en) 2013-12-06 2017-08-08 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
CN104715993B (zh) 2013-12-13 2017-02-22 中微半导体设备(上海)有限公司 等离子体处理腔室、气体喷淋头及其制造方法
US9975320B2 (en) 2014-01-13 2018-05-22 Applied Materials, Inc. Diffusion bonded plasma resisted chemical vapor deposition (CVD) chamber heater
WO2015120265A1 (en) 2014-02-07 2015-08-13 Entegris, Inc. Electrostatic chuck and method of making same
US20170022595A1 (en) 2014-03-31 2017-01-26 Kabushiki Kaisha Toshiba Plasma-Resistant Component, Method For Manufacturing The Plasma-Resistant Component, And Film Deposition Apparatus Used For Manufacturing The Plasma-Resistant Component
US9976211B2 (en) 2014-04-25 2018-05-22 Applied Materials, Inc. Plasma erosion resistant thin film coating for high temperature application
US20150311043A1 (en) 2014-04-25 2015-10-29 Applied Materials, Inc. Chamber component with fluorinated thin film coating
US9869013B2 (en) 2014-04-25 2018-01-16 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
US10730798B2 (en) 2014-05-07 2020-08-04 Applied Materials, Inc. Slurry plasma spray of plasma resistant ceramic coating
US10196728B2 (en) 2014-05-16 2019-02-05 Applied Materials, Inc. Plasma spray coating design using phase and stress control
US9551070B2 (en) 2014-05-30 2017-01-24 Applied Materials, Inc. In-situ corrosion resistant substrate support coating
CN105225997B (zh) 2014-06-12 2018-01-23 中微半导体设备(上海)有限公司 一种静电夹盘及静电夹盘的制造方法
US9790581B2 (en) 2014-06-25 2017-10-17 Fm Industries, Inc. Emissivity controlled coatings for semiconductor chamber components
KR20160030812A (ko) 2014-09-11 2016-03-21 삼성전자주식회사 플라즈마 처리 장치
CN105428195B (zh) 2014-09-17 2018-07-17 东京毅力科创株式会社 等离子体处理装置用的部件和部件的制造方法
US10141582B2 (en) 2014-12-22 2018-11-27 Sonata Scientific LLC SOFC interconnect barriers and methods of making same
SG11201706564UA (en) 2015-02-13 2017-09-28 Entegris Inc Coatings for enhancement of properties and performance of substrate articles and apparatus
US20160254125A1 (en) 2015-02-27 2016-09-01 Lam Research Corporation Method for coating surfaces
KR101891381B1 (ko) 2015-03-18 2018-08-24 엔테그리스, 아이엔씨. 플루오로-어닐링된 필름으로 코팅된 물품
US9828672B2 (en) 2015-03-26 2017-11-28 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
US9790582B2 (en) 2015-04-27 2017-10-17 Lam Research Corporation Long lifetime thermal spray coating for etching or deposition chamber application
US20160358749A1 (en) 2015-06-04 2016-12-08 Lam Research Corporation Plasma etching device with plasma etch resistant coating
US20160379806A1 (en) 2015-06-25 2016-12-29 Lam Research Corporation Use of plasma-resistant atomic layer deposition coatings to extend the lifetime of polymer components in etch chambers
US20160375515A1 (en) * 2015-06-29 2016-12-29 Lam Research Corporation Use of atomic layer deposition coatings to protect brazing line against corrosion, erosion, and arcing
US9842054B2 (en) 2015-07-08 2017-12-12 Hon Hai Precision Industry Co., Ltd. Computing device and method for processing data in cache memory of the computing device
KR101916872B1 (ko) 2015-10-15 2018-11-08 아이원스 주식회사 반도체 공정 장비 부품의 코팅층 재생 방법 및 이에 따른 반도체 공정 장비 부품
US9972520B2 (en) 2015-11-17 2018-05-15 National Chung-Shan Institute Of Science And Technology Aluminum nitride electrostatic chuck used in high temperature and high plasma power density semiconductor manufacturing process
US11326253B2 (en) 2016-04-27 2022-05-10 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
US9850573B1 (en) 2016-06-23 2017-12-26 Applied Materials, Inc. Non-line of sight deposition of erbium based plasma resistant ceramic coating
US10186400B2 (en) 2017-01-20 2019-01-22 Applied Materials, Inc. Multi-layer plasma resistant coating by atomic layer deposition

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105088141A (zh) * 2014-05-23 2015-11-25 中微半导体设备(上海)有限公司 电感耦合型等离子体处理腔室及其抗腐蚀绝缘窗口及制造方法

Also Published As

Publication number Publication date
TW201812846A (zh) 2018-04-01
JP2022176952A (ja) 2022-11-30
TWI727037B (zh) 2021-05-11
KR20180006990A (ko) 2018-01-19
KR102230128B1 (ko) 2021-03-18
CN107313027B (zh) 2020-12-22
US20220235458A1 (en) 2022-07-28
JP2017199907A (ja) 2017-11-02
US11198937B2 (en) 2021-12-14
US11198936B2 (en) 2021-12-14
TWI723242B (zh) 2021-04-01
US20190271076A1 (en) 2019-09-05
JP7454612B2 (ja) 2024-03-22
TWM556402U (zh) 2018-03-01
TW201812075A (zh) 2018-04-01
KR20170122674A (ko) 2017-11-06
JP2020097788A (ja) 2020-06-25
JP7125251B2 (ja) 2022-08-24
KR20190057252A (ko) 2019-05-28
TW201947634A (zh) 2019-12-16
CN110230038A (zh) 2019-09-13
US11326253B2 (en) 2022-05-10
CN108179401B (zh) 2020-11-13
CN107313027A (zh) 2017-11-03
US20180105932A1 (en) 2018-04-19
CN110230038B (zh) 2021-11-19
CN108179401A (zh) 2018-06-19
JP2018082201A (ja) 2018-05-24
JP6956212B2 (ja) 2021-11-02
CN207193391U (zh) 2018-04-06
KR102329708B1 (ko) 2021-11-19
US20170314125A1 (en) 2017-11-02

Similar Documents

Publication Publication Date Title
TWI734124B (zh) 用於半導體處理腔室部件之保護塗佈之原子層沉積
US10676819B2 (en) Non-line of sight deposition of erbium based plasma resistant ceramic coating
JP3224064U (ja) 原子層堆積法で堆積させた耐浸食性金属酸化物コーティング
JP3224084U (ja) 原子層堆積法で堆積させた耐浸食性金属フッ化物コーティング