US20190271076A1 - Atomic layer deposition of protective coatings for semiconductor process chamber components - Google Patents

Atomic layer deposition of protective coatings for semiconductor process chamber components Download PDF

Info

Publication number
US20190271076A1
US20190271076A1 US16/411,823 US201916411823A US2019271076A1 US 20190271076 A1 US20190271076 A1 US 20190271076A1 US 201916411823 A US201916411823 A US 201916411823A US 2019271076 A1 US2019271076 A1 US 2019271076A1
Authority
US
United States
Prior art keywords
film layer
yttrium
layer
tris
chamber component
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US16/411,823
Other versions
US11198937B2 (en
Inventor
David Fenwick
Jennifer Y. Sun
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to US16/411,823 priority Critical patent/US11198937B2/en
Assigned to APPLIED MATERIALS, INC. reassignment APPLIED MATERIALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: FENWICK, DAVID, SUN, JENNIFER Y.
Publication of US20190271076A1 publication Critical patent/US20190271076A1/en
Application granted granted Critical
Publication of US11198937B2 publication Critical patent/US11198937B2/en
Active legal-status Critical Current
Adjusted expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/403Oxides of aluminium, magnesium or beryllium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45529Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making a layer stack of alternating different compositions or gradient compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/04Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material
    • C23C28/042Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material including a refractory ceramic layer, e.g. refractory metal oxides, ZrO2, rare earth oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition

Definitions

  • Embodiments of the present disclosure relate to a method for preparing a protective coating for semiconductor process chamber components using atomic layer deposition (ALD), a multi-component protective coating, and a semiconductor process chamber component coated with a multi-component protective coating.
  • ALD atomic layer deposition
  • Protective coating films are typically deposited on chamber components by a variety of methods, such as thermal spray, sputtering, or evaporation techniques. In these techniques, the surfaces of the chamber components that are not directly exposed to the vapor source (e.g., are not in a line of sight of a material source) are coated with either a significantly thinner film than surfaces that are directly exposed to the vapor source, poor quality film, a low-density film, or not coated at all.
  • Some embodiments of the present invention cover a method for forming a multi-component coating composition on a semiconductor process chamber component.
  • the method includes depositing a first film layer of a yttrium oxide or a yttrium fluoride onto a surface of a semiconductor process chamber component, wherein the first film layer is grown from at least two precursors using an atomic layer deposition process.
  • the method further includes depositing a second film layer of an additional oxide or an additional fluoride onto the surface of the semiconductor process chamber component, wherein the second film layer is grown from at least two additional precursors using the atomic layer deposition process.
  • the method further includes forming a multi-component composition comprising the first film layer and the second film layer.
  • the method may further include depositing at least one additional film layer, the at least one additional film layer comprising aluminum oxide or zirconium oxide, wherein the at least one additional film layer is grown from at least two additional precursor using the atomic layer deposition process.
  • the present invention covers a coated semiconductor process chamber component.
  • the coated semiconductor process chamber component may comprise a semiconductor process chamber component having a surface and a multi-component coating coated on the surface.
  • the multi-component coating may comprise at least one first film layer of a yttrium oxide or a yttrium fluoride coated onto the surface using an atomic layer deposition process and at least one second film layer of an additional oxide or an additional fluoride coated onto the surface using an atomic layer deposition process.
  • the multi-component coating may further comprise at least one additional film layer comprising aluminum oxide or zirconium oxide coated onto the surface using an atomic layer deposition process.
  • the present invention covers a multi-component coating composition for a surface of a semiconductor process chamber component.
  • the multi-component coating composition may comprise at least one first film layer of a yttrium oxide or a yttrium fluoride coated onto the surface of the semiconductor process chamber component using an atomic layer deposition process and at least one second film layer of an additional oxide or an additional fluoride coated onto the surface of the semiconductor process chamber component using an atomic layer deposition process.
  • the multi-component coating composition may be selected from the group consisting of YO x F y , Y x Al y O, Y x Zr y O and Y x Zr y Al z O.
  • FIG. 1 depicts a sectional view of one embodiment of a processing chamber.
  • FIG. 2 depicts a deposition process in accordance with a variety of Atomic Layer Deposition techniques.
  • FIG. 3A illustrates a method for forming a multi-component coating on a semiconductor process chamber component according to an embodiment.
  • FIG. 3B illustrates a method for forming a multi-component coating on a semiconductor process chamber component according to an embodiment.
  • FIGS. 4A-4D depict variations of a multi-component coating composition according to different embodiments.
  • FIG. 5A depicts a coated chamber component (showerhead) according to an embodiment.
  • FIG. 5B depicts a blown up view of a gas conduit having a large aspect ratio coated according to an embodiment.
  • FIG. 6 is a graph showing x-ray diffraction patterns of a stack of alternating layers of Al 2 O 3 and Y 2 O 3 as coated and after annealing.
  • Embodiments are described herein with reference to a multi-component coating that includes multiple layers that have been deposited using atomic layer deposition (also referred to as atomic monolayer deposition or ALD). Each component may be a constituent material that is included in one or more layer of the coating.
  • atomic layer deposition also referred to as atomic monolayer deposition or ALD.
  • Each component may be a constituent material that is included in one or more layer of the coating.
  • a multi-component coating is a coating that includes a first component of yttrium and a second component of oxygen, such as yttria (Y 2 O 3 ).
  • a multi-component coating may include a first component of Y 2 O 3 and a second component of YF 3 . The first and second components may be arranged in different layers of the multi-component coating.
  • the multiple layers of the multi-component coating may interdiffuse to form a homogenous or approximately homogenous coating that includes the constituent materials of the different layers.
  • the multiple components from the different layers may form a solid state phase of a first film layer and a second film layer.
  • an alternating stack of Y 2 O 3 layers and YF 3 layers may interdiffuse to form a solid state phase of a yttrium oxy-fluoride.
  • the multi-component coating may be a coating having multiple different oxides, multiple different fluorides, or a combination of one or more oxides and one or more fluorides that have or have not interdiffused.
  • the multi-component coating may be a coating having a mixture of a metal and oxygen, a metal and fluorine, a metal and oxygen and fluorine, or multiple metals with one or more of oxygen and fluorine.
  • FIG. 1 is a sectional view of a semiconductor processing chamber 100 having one or more chamber components that are coated with a multi-component coating in accordance with embodiments of the present invention.
  • the processing chamber 100 may be used for processes in which a corrosive plasma environment having plasma processing conditions is provided.
  • the processing chamber 100 may be a chamber for a plasma etcher or plasma etch reactor, a plasma cleaner, and so forth.
  • chamber components that may include a multi-component coating include chamber components with complex shapes and holes having large aspect ratios.
  • Some example chamber components include a substrate support assembly 148 , an electrostatic chuck (ESC), a ring (e.g., a process kit ring or single ring), a chamber wall, a base, a gas distribution plate, a showerhead 130 , gas lines, a nozzle, a lid, a liner, a liner kit, a shield, a plasma screen, a flow equalizer, a cooling base, a chamber viewport, a chamber lid, and so on.
  • the multi-component coating which is described in greater detail below, is applied using an ALD process.
  • ALD which is described in greater detail with reference to FIG. 2 , allows for the application of a conformal coating of relatively uniform thickness on all types of components including components with complex shapes and holes with large aspect ratios.
  • the multi-component coating may be grown or deposited using ALD with various ceramics including oxide based ceramics, nitride based ceramics and carbide based ceramics.
  • oxide based ceramics include SiO 2 (quartz), Al 2 O 3 , Y 2 O 3 , Y 4 Al 2 O 9 , Y 2 O 3 —ZrO 2 and so on.
  • carbide based ceramics include SiC, Si—SiC, and so on.
  • nitride based ceramics include AlN, SiN, and so on.
  • the processing chamber 100 includes a chamber body 102 and a showerhead 130 that enclose an interior volume 106 .
  • the showerhead 130 may include a showerhead base and a showerhead gas distribution plate. Alternatively, the showerhead 130 may be replaced by a lid and a nozzle in some embodiments.
  • the chamber body 102 may be fabricated from aluminum, stainless steel or other suitable material.
  • the chamber body 102 generally includes sidewalls 108 and a bottom 110 . Any of the showerhead 130 (or lid and/or nozzle), sidewalls 108 and/or bottom 110 may include the multi-component coating.
  • An outer liner 116 may be disposed adjacent the sidewalls 108 to protect the chamber body 102 .
  • the outer liner 116 may be fabricated and/or coated with a multi-component coating.
  • the outer liner 116 is fabricated from aluminum oxide.
  • An exhaust port 126 may be defined in the chamber body 102 , and may couple the interior volume 106 to a pump system 128 .
  • the pump system 128 may include one or more pumps and throttle valves utilized to evacuate and regulate the pressure of the interior volume 106 of the processing chamber 100 .
  • the showerhead 130 may be supported on the sidewall 108 and/or top of the chamber body 102 .
  • the showerhead 130 (or lid) may be opened to allow access to the interior volume 106 of the processing chamber 100 in some embodiments, and may provide a seal for the processing chamber 100 while closed.
  • a gas panel 158 may be coupled to the processing chamber 100 to provide process and/or cleaning gases to the interior volume 106 through the showerhead 130 or lid and nozzle.
  • showerhead 130 is used for processing chambers used for dielectric etch (etching of dielectric materials).
  • the showerhead 130 may include a gas distribution plate (GDP) having multiple gas delivery holes 132 throughout the GDP.
  • the showerhead 130 may include the GDP bonded to an aluminum showerhead base or an anodized aluminum showerhead base.
  • the GDP 133 may be made from Si or SiC, or may be a ceramic such as Y 2 O 3 , Al 2 O 3 , YAG, and so forth.
  • showerhead 130 and delivery holes 132 may be coated with a multi-component coating as described in more detail below with respect to FIGS. 4A and 4B .
  • the showerhead 130 has a multi-component coating 152 both on a surface of the showerhead 130 (e.g., e.g., on a surface of a showerhead base and/or a surface of a GDP) and on walls of gas conduits (also referred to as holes) 132 in the showerhead (e.g., in the showerhead base and/or GDP), in accordance with one embodiment.
  • any of the other chamber components such as gas lines, electrostatic chucks, nozzles and others, may also be coated with a multi-component coating.
  • a lid may be used rather than a showerhead.
  • the lid may include a center nozzle that fits into a center hole of the lid.
  • the lid may be a ceramic such as Al 2 O 3 , Y 2 O 3 , YAG, or a ceramic compound comprising Y 4 Al 2 O 9 and a solid-solution of Y 2 O 3 —ZrO 2 .
  • the nozzle may also be a ceramic, such as Y 2 O 3 , YAG, or the ceramic compound comprising Y 4 Al 2 O 9 and a solid-solution of Y 2 O 3 —ZrO 2 .
  • the lid, showerhead 130 e.g., including showerhead base, GDP and/or gas delivery conduits/holes
  • nozzle may all be coated with a multi-component coating according to an embodiment.
  • processing gases that may be used to process substrates in the processing chamber 100 include halogen-containing gases, such as C 2 F 6 , SF 6 , SiCl 4 , HBr, NF 3 , CF 4 , CHF 3 , CH 2 F 3 , F, NF 3 , Cl 2 , CCl 4 , BCl 3 and SiF 4 , among others, and other gases such as O 2 , or N 2 O.
  • halogen-containing gases such as C 2 F 6 , SF 6 , SiCl 4 , HBr, NF 3 , CF 4 , CHF 3 , CH 2 F 3 , F, NF 3 , Cl 2 , CCl 4 , BCl 3 and SiF 4 , among others, and other gases such as O 2 , or N 2 O.
  • carrier gases include N 2 , He, Ar, and other gases inert to process gases (e.g., non-reactive gases).
  • the substrate support assembly 148 is disposed in the interior volume
  • An inner liner may be coated on the periphery of the substrate support assembly 148 .
  • the inner liner may be a halogen-containing gas resist material such as those discussed with reference to the outer liner 116 .
  • the inner liner 118 may be fabricated from the same materials of the outer liner 116 . Additionally, the inner liner 118 may also be coated with a multi-component coating.
  • FIG. 2 depicts a deposition process in accordance with a variety of ALD techniques.
  • ALD processes exist and the specific type may be selected based on several factors such as the surface to be coated, the coating material, chemical interaction between the surface and the coating material, etc.
  • the general principle for the various ALD processes comprises growing a thin film layer by repeatedly exposing the surface to be coated to sequential alternating pulses of gaseous chemical precursors that chemically react with the surface one at a time in a self-limiting manner.
  • FIG. 2 illustrates an article 210 having a surface 205 .
  • Article 210 may represent various semiconductor process chamber components including but not limited to substrate support assembly, an electrostatic chuck (ESC), a ring (e.g., a process kit ring or single ring), a chamber wall, a base, a gas distribution plate, gas lines, a showerhead, a nozzle, a lid, a liner, a liner kit, a shield, a plasma screen, a flow equalizer, a cooling base, a chamber viewport, a chamber lid, and so on.
  • ESC electrostatic chuck
  • the article 210 and surface 205 may be made from a metal (such as aluminum, stainless steel), a ceramic, a metal-ceramic composite, a polymer, a polymer ceramic composite, or other suitable materials, and may further comprise materials such as AlN, Si, SiC, Al 2 O 3 , SiO 2 , and so on.
  • Each individual chemical reaction between a precursor and the surface is known as a “half-reaction.”
  • a precursor is pulsed onto the surface for a period of time sufficient to allow the precursor to fully react with the surface.
  • the reaction is self-limiting as the precursor will only react with a finite number of available reactive sites on the surface, forming a uniform continuous adsorption layer on the surface. Any sites that have already reacted with a precursor will become unavailable for further reaction with the same precursor unless and/or until the reacted sites are subjected to a treatment that will form new reactive sites on the uniform continuous coating.
  • Exemplary treatments may be plasma treatment, treatment by exposing the uniform continuous adsorption layer to radicals, or introduction of a different precursor able to react with the most recent uniform continuous film layer adsorbed to the surface.
  • article 210 having surface 205 may be introduced to a first precursor 260 for a first duration until a first half reaction of the first precursor 260 with surface 205 partially forms layer 215 by forming an adsorption layer 214 .
  • article 210 may be introduced to a second precursor 265 (also referred to as a reactant) to cause a second half reaction to react with the adsorption layer 214 and fully form the layer 215 .
  • the first precursor 260 may be a precursor for aluminum or another metal, for example.
  • the second precursor 265 may be an oxygen precursor if the layer 215 is an oxide or a fluorine precursor if the layer 215 is a fluoride.
  • Layer 215 may be uniform, continuous and conformal.
  • the article 210 may alternately be exposed to the first precursor 260 and second precursor 265 up to x number of times to achieve a target thickness for the layer 215 .
  • X may be an integer from 1 to 100, for example.
  • article 210 having surface 205 and layer 215 may be introduced to a third precursor 270 that reacts with layer 215 to partially form a second layer 220 by forming a second adsorption layer 218 .
  • article 210 may be introduced to another precursor 275 (also referred to as a reactant) to cause a second half reaction to fully form the layer 220 .
  • the second film layer 220 may be uniform, continuous and conformal.
  • the article 210 may alternately be exposed to the third precursor 270 and fourth precursor 275 up to y number of times to achieve a target thickness for the layer 220 .
  • Y may be an integer from 1 to 100, for example.
  • N may be an integer from 1 to 100, for example.
  • a result of the sequence may be to grow additional alternating layers 225 , 230 , 235 , 240 , 245 , and 250 .
  • the number and thickness of the layers may be selected based on the targeted coating thickness and properties.
  • the various layers may remain intact or in some embodiments may be interdiffused.
  • the surface reactions are done sequentially.
  • the chamber in which the ALD process takes place may be purged with an inert carrier gas (such as nitrogen or air) to remove any unreacted precursor and/or surface-precursor reaction byproducts.
  • an inert carrier gas such as nitrogen or air
  • At least two precursors are used. In some embodiments, more than two precursors may be used to grow film layers having the same composition (e.g., to grow multiple layers of Y 2 O 3 on top of each other). In other embodiments, different precursors may be used to grow different film layers having different compositions.
  • ALD processes may be conducted at various temperatures depending on the type of ALD process.
  • the optimal temperature range for a particular ALD process is referred to as the “ALD temperature window.” Temperatures below the ALD temperature window may result in poor growth rates and non-ALD type deposition. Temperatures above the ALD temperature window may result in thermal decomposition of the article or rapid desorption of the precursor.
  • the ALD temperature window may range from about 20° C. to about 400° C. In some embodiments, the ALD temperature window is between about 150-350° C.
  • the ALD process allows for conformal film layers having uniform film thickness on articles and surfaces having complex geometric shapes, holes with large aspect ratios, and three-dimensional structures. Sufficient exposure time of the precursor to the surface enables the precursor to disperse and fully react with the surface in its entirety, including all of its three-dimensional complex features.
  • the exposure time utilized to obtain conformal ALD in high aspect ratio structures is proportionate to the square of the aspect ratio and can be predicted using modeling techniques. Additionally, the ALD technique is advantageous over other commonly used coating techniques because it allows in-situ on demand material synthesis of a particular composition or formulation without the need for a lengthy and difficult fabrication of source materials (such as powder feedstock and sintered targets).
  • multi-component films such as YO x F y , Y x Al y O, Y x Zr y O and Y x Zr y Al z O can be grown, for example, by proper sequencing of the precursors used to grow Y 2 O 3 , Al 2 O 3 , YF 3 , and ZrO 2 , as illustrated in more detail in the examples below.
  • FIG. 3A illustrates a method 300 for forming a multi-component coating on a semiconductor process chamber component according to an embodiment.
  • the method may optionally begin by selecting a composition for the multi-component coating.
  • the composition selection and method of forming may be performed by the same entity or by multiple entities.
  • the method comprises depositing a first film layer of a yttrium oxide or a yttrium fluoride onto a surface of a semiconductor process chamber component, wherein the first film layer is grown from at least two precursors using an ALD process.
  • the method further comprises depositing a second film layer of an additional oxide or an additional fluoride onto the surface of the semiconductor process chamber component, wherein the second film layer is grown from at least two additional precursors using the ALD process.
  • the first film may be deposited before or after the second film is deposited. Accordingly, the first film may be deposited over the second film or the second film may be deposited over the first film.
  • the method may optionally further comprise depositing at least one additional film layer, the at least one additional film layer comprising aluminum oxide or zirconium oxide, wherein the at least one additional film layer is grown from additional precursors using the ALD process.
  • the layer when the first film layer comprises yttrium oxide, the layer may be formed by the following process sequence:
  • the precursor may include tris(N,N-bis(trimethylsilyl)amide)yttrium(III), tris(cyclopentadienyl)yttrium(III), tris(butylcyclopentadienyl)yttrium(III), or tris(2,2,6,6-tetramethyl-3,5-heptanedionato)yttrium(III).
  • the precursor may include tris(N,N-bis(trimethylsilyl)amide)yttrium(III), tris(cyclopentadienyl)yttrium(III), tris(butylcyclopentadienyl)yttrium(III), or tris(2,2,6,6-tetramethyl-3,5-heptanedionato)yttrium(III).
  • the second precursor may include H 2 O, O 2 , or O 3 . 4) Purging of the second non-reacted precursor from
  • the two precursors used may be tris(2,2,6,6-tetramethyl-3,5-heptanedionato)yttrium(III) and TiF 4 .
  • one precursor when the second film layer comprises aluminum oxide, one precursor may include diethylaluminum ethoxide, tris(ethylmethylamido)aluminum, aluminum sec-butoxide, aluminum tribromide, aluminum trichloride, triethylaluminum, triisobutylaluminum, trimethylaluminum, or tris(diethylamido)aluminum.
  • the second precursor may include H 2 O, O 2 , or O 3 .
  • one precursor when the second film layer comprises zirconium oxide, one precursor may include zirconium (IV) bromide, zirconium (IV) chloride, zirconium (IV) tert-butoxide, tetrakis(diethylamido)zirconium (IV), tetrakis(dimethylamido)zirconium (IV), or tetrakis(ethylmethylamido)zirconium (IV).
  • the second precursor may include H 2 O, O 2 , or O 3 .
  • precursors listed above or any other suitable precursors may be used each time a yttrium oxide layer, a yttrium fluoride layer, an aluminum oxide layer, or a zirconium oxide layer is grown using ALD, regardless of whether it is the first, second, or Nth layer, where the Nth layer would represent the finite number of layers grown on the surface of the semiconductor process chamber component and selected based on targeted protective coating thickness and properties.
  • the method ultimately comprises forming a multi-component composition of the first film layer, the second film layer, and any additional film layers.
  • forming the multi-component composition comprises annealing the semiconductor process chamber component comprising the first film layer, the second film layer, and any additional film layers deposited onto the semiconductor process chamber component.
  • the annealing may result in a multi-component composition comprising an interdiffused solid state phase of the at least one first film layer and the at least one second film layer and any of at least one additional film layers (if present).
  • Annealing may be performed at a temperature ranging from about 800° C. to about 1800° C., from about 800° C.
  • the annealing temperature may be selected based on the material of construction of the article, surface, and film layers so as to maintain their integrity and refrain from deforming, decomposing, or melting any or all of these components.
  • FIG. 3B illustrates a method 350 for forming a multi-component coating on a semiconductor process chamber component according to an embodiment.
  • the method may optionally begin by selecting a composition for the multi-component coating.
  • the composition selection and method of forming may be performed by the same entity or by multiple entities.
  • the method comprises depositing a film layer of a first oxide or a first fluoride onto a surface of an article via ALD.
  • the method further comprises depositing a film layer of a second oxide or a second fluoride onto the surface of the article via ALD.
  • the film layer of the first oxide or first fluoride may be deposited before or after the film layer of the second oxide or the second fluoride is deposited. Accordingly, the film layer of the first oxide or first fluoride may be deposited over the film layer of the second oxide or the second fluoride in some embodiments.
  • the film layer of the second oxide or second fluoride may be deposited over the film layer of the first oxide or the first fluoride.
  • the method may optionally further comprise depositing an additional film layer of a third oxide or a third fluoride onto the surface of the article via ALD.
  • the method may further comprise determining whether additional layers are to be added. Determining whether additional layers and/or how many layers are to be added can be either done in-situ, or prior to initiating the depositions (e.g., in the optional multi-component composition selection process). If additional layers are to be added, blocks 355 , 360 , and optionally 365 may be repeated. If no additional layers are to be added, the method proceeds to form a multi-component composition comprising all film layers deposited onto the surface of the article.
  • one precursor used to form yttrium oxide by ALD may be selected from tris(N,N-bis(trimethylsilyl)amide)yttrium(III), tris(cyclopentadienyl)yttrium(III), tris(butylcyclopentadienyl)yttrium(III), or tris(2,2,6,6-tetramethyl-3,5-heptanedionato)yttrium(III), and the second precursor may be selected from H2O, O2, or O3.
  • the two precursors used to form this film may be tris(2,2,6,6-tetramethyl-3,5-heptanedionato)yttrium(III) and TiF 4 .
  • one precursor used to form aluminum oxide by ALD may be selected from diethylaluminum ethoxide, tris(ethylmethylamido)aluminum, aluminum sec-butoxide, aluminum tribromide, aluminum trichloride, triethylaluminum, triisobutylaluminum, trimethylaluminum, or tris(diethylamido)aluminum, and the second precursor may be selected from H 2 O, O 2 , or O 3 .
  • one zirconium oxide precursor may be selected from zirconium (IV) bromide, zirconium (IV) chloride, zirconium (IV) tert-butoxide, tetrakis(diethylamido)zirconium (IV), tetrakis(dimethylamido)zirconium (IV), or tetrakis(ethylmethylamido)zirconium (IV), and the second precursor may be selected from H 2 O, O 2 , or O 3 .
  • the listed precursors or any other suitable precursors may be used each time a yttrium oxide layer, a yttrium fluoride layer, an aluminum oxide layer, or a zirconium oxide layer is grown using ALD, regardless of whether it is the first, second, or Nth film layer, where the Nth film layer would represent a finite number of film layers grown on the surface of the article and selected based on targeted protective coating thickness and properties.
  • the method may proceed to optional block 375 where the multi-component composition of all film layers deposited onto the surface of the article may be annealed.
  • the annealing may result in a multi-component composition comprising an interdiffused solid state phase of all film layers deposited onto the surface of the article.
  • Annealing may be performed at a temperature ranging from about 800° C. to about 1800° C., from about 800° C. to about 1500° C., or from about 800° C. to about 1000° C.
  • the annealing temperature may be selected based on the material of construction of the article, surface, and film layers so as to maintain their integrity and refrain from deforming, decomposing, or melting any or all of these components.
  • FIGS. 4A-4D depict variations of a multi-component coating composition according to different embodiments.
  • FIG. 4A illustrates a multi-component coating composition for a surface 405 of an article 410 according to an embodiment.
  • Surface 405 may be the surface of various articles 410 .
  • articles 410 may include carious semiconductor process chamber components including but not limited to substrate support assembly, an electrostatic chuck (ESC), a ring (e.g., a process kit ring or single ring), a chamber wall, a base, a gas distribution plate, gas lines, a showerhead, a nozzle, a lid, a liner, a liner kit, a shield, a plasma screen, a flow equalizer, a cooling base, a chamber viewport, a chamber lid, and so on.
  • ESC electrostatic chuck
  • a ring e.g., a process kit ring or single ring
  • a chamber wall e.g., a chamber wall, a base, a gas distribution plate, gas lines, a showerhead, a nozzle, a lid, a liner, a liner kit, a shield, a plasma screen, a flow equalizer, a cooling base, a chamber viewport, a chamber lid, and so on.
  • a ring
  • the semiconductor process chamber component may be made from a metal (such as aluminum, stainless steel), a ceramic, a metal-ceramic composite, a polymer, a polymer ceramic composite, or other suitable materials, and may further comprise materials such as AlN, Si, SiC, Al 2 O 3 , SiO 2 , and so on.
  • the multi component coating composition comprises: at least one first film layer 415 of a yttrium oxide or a yttrium fluoride coated onto surface 405 of article 410 using an ALD process and at least one second film layer 425 of an additional oxide or an additional fluoride coated onto surface 405 of article 410 using an ALD process.
  • FIG. 4A illustrates an embodiment where the multi-component coating composition comprises a stack of alternating layers of the first layer 415 and the second layer 425 , where the layers are intact and not interdiffused, where there is an equal number of each of the layers (four 415 layers and four 425 layers), and where all layers are of equal uniform thickness.
  • the first film layer is deposited prior to the deposition of the second film layer, and the second film layer is deposited over the first film layer. In some embodiments, the order may be reversed.
  • FIG. 4B illustrates an embodiment where the multi-component coating composition, deposited on surface 405 of article 410 (e.g., a semiconductor process chamber component as described above), comprises a stack of alternating layers of the first layer 415 , second layer 425 , and at least one additional layer 435 , where the layers are intact and deposited and/or grown at a predetermined order and with a uniform equal thickness.
  • the number of layers may not be equal and certain layers may be more prevalent than others (e.g., three 415 layers, three 425 layers, two 435 layers).
  • the at least one first film layer comprises a first continuous monolayer
  • the at least one second film layer comprises a second continuous monolayer
  • at least one additional layer may comprise at least one additional monolayer.
  • the at least one first film layer comprises a first thick layer having a uniform thickness, the uniform thickness ranging from that of two monolayers to about 1 micrometer, and wherein the at least one second film layer comprises a second thick layer having the uniform thickness of the first film layer.
  • at least one additional film layer may comprise at least one additional thick layer having the uniform thickness of the other two thick layers.
  • the multi-component coating composition may comprise at least one first film layer, at least one second film layer, and optionally one or more additional film layers, wherein the layers may vary in thickness.
  • some layers may be monolayers and some layers may be thick layers.
  • FIG. 4C illustrates an embodiment where the multi-component coating, deposited on surface 405 of article 410 , comprises a stack of intact uniform film layers without a fixed order or fixed thickness.
  • the multi-component coating comprises a first thick layer 420 having a first thickness, a second thick layer 430 having a second thickness different from the first thickness, and at least one additional thick layer 440 having a third thickness different from the first and the second thickness.
  • Certain layers may be more prevalent than others so as to achieve certain properties (such as erosion/corrosion resistance) for the multi-component coating (e.g., two of the first thick layers 420 , one second thick layer 430 , one and one additional thick layer 440 layer).
  • the various film layers illustrated in FIGS. 4A through 4C may have the same composition. In other embodiments, the compositions of the layers may be different. In some embodiments, the various film layers may have similar properties such as thickness, porosity, plasma resistance, CTE. In other embodiments, each film layer may have different properties. It is to be understood that although FIGS. 4A-4C depict a certain number of film layers, the Figures are not intended to be limiting, and more or less film layers may be deposited onto the surface in certain embodiments. In some embodiments, the entire surface of semiconductor process chamber component may be coated. In other embodiments, at least a portion of the semiconductor process chamber components' surface may be coated.
  • FIG. 4D illustrates an embodiment where the multi-component coating composition 450 , deposited on surface 405 of article 410 , comprises an interdiffused solid state phase of the at least one first film layer, the at least one second film layer, and optionally the at least one additional film layer.
  • the multi-component coating composition is selected from the group consisting of YO x F y , Y x Al y O, Y x Zr y O and Y z Zr y Al z O.
  • FIG. 5A illustrates a bottom view of a showerhead 500 .
  • the showerhead example provided below is just an exemplary chamber component whose performance may be improved by the use of the multi-component coating as set forth in embodiments herein. It is to be understood that the performance of other chamber components may also be improved when coated with the multi-component coating disclosed herein.
  • the showerhead 500 as depicted here, was chosen as an illustration of a semiconductor process chamber component having a surface with complex geometry and holes with large aspect ratios.
  • the complex geometry of lower surface 505 is configured to receive a multi-component coating.
  • Lower surface 505 of showerhead 500 defines gas conduits 510 arranged in evenly distributed concentric rings.
  • gas conduits 510 may be configured in alternative geometric configurations and may have as many or as few gas conduits as needed depending on the type of reactor and/or process utilized.
  • the multi-component coating is grown on surface 505 and in gas conduit holes 510 using the ALD technique which enables a conformal coating of relatively uniform thickness on the surface as well as in the gas conduit holes despite the complex geometry and the large aspect ratios of the holes.
  • showerhead 500 may be exposed to corrosive chemistries such fluorine and may erode due to plasma interaction with the showerhead.
  • the multi-component coating layer may reduce such plasma interactions and improve the showerhead's durability.
  • the multi-component coating layer deposited with ALD maintains the relative shape and geometric configuration of the lower surface 505 and of the gas conduits 510 so as to not disturb the functionality of the showerhead.
  • the multi-component coating may maintain the shape and geometric configuration of the surface it is intended to coat so as to not disturb the component's functionality, provide plasma resistance, and improves erosion and/or corrosion resistance throughout the entire surface.
  • etch rate (ER)
  • A/min Angstrom/min
  • Plasma resistance may also be measured through an erosion rate having the units of nanometer/radio frequency hour (nm/RFHr), where one RFHr represents one hour of processing in plasma processing conditions. Measurements may be taken after different processing times. For example, measurements may be taken before processing, after 50 processing hours, after 150 processing hours, after 200 processing hours, and so on. An erosion rate lower than about 100 nm/RFHr is typical for a multi-component plasma resistant coating material.
  • Variations in the composition of the multi-component coating grown on the showerhead or on any other semiconductor process chamber component may result in multiple different plasma resistances or erosion rate values.
  • a multi-component coating with a single composition exposed to various plasmas could have multiple different plasma resistances or erosion rate values.
  • a plasma resistant material may have a first plasma resistance or erosion rate associated with a first type of plasma and a second plasma resistance or erosion rate associated with a second type of plasma.
  • FIG. 5B depicts a blown up view of a gas conduit 510 having a large aspect ratio coated according to an embodiment.
  • Gas conduit 510 may have a length L and a diameter D.
  • Gas conduit 510 may have a large aspect ratio defined as L:D, wherein the aspect ratio may range from about 50:1 to about 100:1. In some embodiments, the aspect ratio may be lower than 50:1 or greater than 100:1.
  • Gas conduit 510 may have an interior surface 555 which may be coated with a multi-component coating.
  • the multi-component coating may comprise at least one first layer 560 and at least one second layer 565 and optionally at least one additional layer (not shown).
  • the first film layer may comprise yttrium oxide or yttrium fluoride.
  • the second film layer may comprise an additional oxide or an additional fluoride.
  • the optional at least one additional layer may comprise aluminum oxide or zirconium oxide. All layers may be coated using an ALD process.
  • the ALD process may grow conformal coating layers of uniform thickness throughout the interior surface of gas conduit 510 despite its large aspect ratio while ensuring that the final multi-component coating may also be thin enough so as to not plug the gas conduits in the showerhead.
  • the multi-component coating may comprise intact layers of at least one first layer, at least one second layer, and optionally at least one additional layer.
  • the first, second, and any optional additional layers may alternate at a predetermined order.
  • the first, second, and any optional additional layers may be present in any order.
  • some of the layers may be more prevalent than other layers so as to achieve certain properties for the multi-component coating. Certain properties may be plasma resistance and erosion/corrosion resistance that would improve the durability of the coated semiconductor process chamber component.
  • the intact layers may comprise monolayers of uniform thickness. In other embodiments, the intact layers may comprise thicker layers of uniform thickness. Each thicker layer may have a thickness ranging from that of two monolayers to about 1 micrometer. In yet other embodiments, the intact layers may comprise a combination of monolayers and thick layers.
  • the multi-component coating may comprise interdiffused solid state phase of at least one first layer, at least one second layer, and optionally at least one additional layer.
  • an interdiffused solid state phase of the various layers may be obtained through annealing. The composition of the layers, number of layers, frequency of each layer, and thickness of the layers will all contribute to the final properties of the multi-component coating layer.
  • Example 1 Forming a YO x F y Coating from Yttrium Oxide and Yttrium Fluoride Monolayers
  • the first layer may be a yttrium oxide monolayer grown using ALD from a precursor selected from tris(N,N-bis(trimethylsilyl)amide)yttrium (III) tris(cyclopentadienyl)yttrium(III), tris(butylcyclopentadienyl)yttrium(III), or tris(2,2,6,6-tetramethyl-3,5-heptanedionato)yttrium(III), and a second precursor selected from H 2 O, O 2 or O 3 .
  • a precursor selected from tris(N,N-bis(trimethylsilyl)amide)yttrium (III) tris(cyclopentadienyl)yttrium(III), tris(butylcyclopentadienyl)yttrium(III), or tris(2,2,6,6-tetramethyl-3,5-heptanedionato)yttrium(III), and a second precursor selected from H 2 O
  • the second layer may be yttrium fluoride monolayer grown using ALD from a single combination of the precursors tris(2,2,6,6-tetramethyl-3,5-heptanedionato)yttrium(III) and TiF 4 .
  • the resulting multi-component coating may comprise YO x F y where X and Y depend on the number of repetitions of the first and the second layer.
  • Example 2 Forming a Y x Al y O Coating from Yttrium Oxide and Aluminum Oxide Monolayers
  • the first layer may be a yttrium oxide monolayer grown using ALD from a precursor selected from tris(N,N-bis(trimethylsilyl)amide)yttrium (III), tris(cyclopentadienyl)yttrium(III), tris(butylcyclopentadienyl)yttrium(III), or tris(2,2,6,6-tetramethyl-3,5-heptanedionato)yttrium(III), and a second precursor selected from H 2 O, O 2 , or O 3 .
  • a precursor selected from tris(N,N-bis(trimethylsilyl)amide)yttrium (III), tris(cyclopentadienyl)yttrium(III), tris(butylcyclopentadienyl)yttrium(III), or tris(2,2,6,6-tetramethyl-3,5-heptanedionato)yttrium(III), and a second precursor selected from H
  • the second layer may be aluminum oxide monolayer grown using ALD from a precursor selected from diethylaluminum ethoxide, tris(ethylmethylamido)aluminum, aluminum sec-butoxide, aluminum tribromide, aluminum trichloride, triethylaluminum, triisobutylaluminum, trimethylaluminum, or tris(diethylamido)aluminum, and a second precursor selected from H 2 O, O 2 , or O 3 .
  • the resulting multi component coating may comprise Y x Al y O where X and Y depend on the number of repetitions of the first and the second layer.
  • stacks of alternating layers of Y 2 O 3 and Al 2 O 3 were deposited via ALD, where each may layer had a thickness of 5 nm. Other thicknesses may also be used for the individual layers, such as anywhere from a few Angstroms to about 10 nm or thicker.
  • a total coating thickness in the experiments was about 200 nm. Samples were annealed at temperatures of 500° C., 750° C., 800° C., 900° C. and or 1000° C. for 12 hrs. The annealed and as-coated samples were analyzed by grazing incidence x-ray diffraction to determine a microstructure of the coating for each of the samples.
  • FIG. 6 is a graph showing x-ray diffraction patterns of a stack of alternating layers of Al 2 O 3 and Y 2 O 3 as coated 605 and after annealing 610 at a temperature of 1000° C. for 12 hours. As shown, the stack of alternating layers diffused into each other and formed a Y 3 Al 5 O 12 after annealing.
  • Example 3 Forming a Y x Zr y O Coating from Yttrium Oxide and Zirconium Oxide Monolayers
  • the first layer may be a yttrium oxide monolayer grown using ALD from a precursor selected from tris(N,N-bis(trimethylsilyl)amide)yttrium (III), tris(cyclopentadienyl)yttrium(III), tris(butylcyclopentadienyl)yttrium(III), or tris(2,2,6,6-tetramethyl-3,5-heptanedionato)yttrium(III), and a second precursor selected from H 2 O, O 2 , or O 3 .
  • a precursor selected from tris(N,N-bis(trimethylsilyl)amide)yttrium (III), tris(cyclopentadienyl)yttrium(III), tris(butylcyclopentadienyl)yttrium(III), or tris(2,2,6,6-tetramethyl-3,5-heptanedionato)yttrium(III), and a second precursor selected from H
  • the second layer may be zirconium oxide monolayer grown using ALD from a precursor selected from zirconium (IV) bromide, zirconium (IV) chloride, zirconium (IV) tert-butoxide, tetrakis(diethylamido)zirconium (IV), tetrakis(dimethylamido)zirconium (IV), or tetrakis(ethylmethylamido)zirconium (IV), and a second precursor selected from H 2 O, O 2 , or O 3 .
  • the resulting multi component coating may comprise Y x Zr y O where X and Y depend on the number of repetitions of the first and the second layer.
  • Example 4 Forming a Y x Zr y Al z O Coating from Yttrium Oxide, Zirconium Oxide, and Aluminum Oxide Monolayers
  • the first layer may be a yttrium oxide monolayer grown using ALD from a precursor selected from tris(N,N-bis(trimethylsilyl)amide)yttrium (III), tris(cyclopentadienyl)yttrium(III), tris(butylcyclopentadienyl)yttrium(III), or tris(2,2,6,6-tetramethyl-3,5-heptanedionato)yttrium(III), and a second precursor selected from H 2 O, O 2 , or O 3 .
  • a precursor selected from tris(N,N-bis(trimethylsilyl)amide)yttrium (III), tris(cyclopentadienyl)yttrium(III), tris(butylcyclopentadienyl)yttrium(III), or tris(2,2,6,6-tetramethyl-3,5-heptanedionato)yttrium(III), and a second precursor selected from H
  • the second layer may be zirconium oxide monolayer grown using ALD from a precursor selected from zirconium (IV) bromide, zirconium (IV) chloride, zirconium (IV) tert-butoxide, tetrakis(diethylamido)zirconium (IV), tetrakis(dimethylamido)zirconium (IV), or tetrakis(ethylmethylamido)zirconium (IV), and a second precursor selected from H 2 O, O 2 , or O 3 .
  • a precursor selected from zirconium (IV) bromide, zirconium (IV) chloride, zirconium (IV) tert-butoxide, tetrakis(diethylamido)zirconium (IV), tetrakis(dimethylamido)zirconium (IV), or tetrakis(ethylmethylamido)zirconium (IV), and
  • the at least one additional layer may be aluminum oxide monolayer grown using ALD from a precursor selected from diethylaluminum ethoxide, tris(ethylmethylamido)aluminum, aluminum sec-butoxide, aluminum tribromide, aluminum trichloride, triethylaluminum, triisobutylaluminum, trimethylaluminum, or tris(diethylamido)aluminum, and a second precursor selected from H 2 O, O 2 , or O 3 .
  • the resulting multi component coating may comprise Y x Zr y Al z O where X, Y, and Z depend on the number of repetitions of the first, second, and at least one additional layer.
  • Example 5 Forming a YO x F y Coating from Yttrium Oxide and Yttrium Fluoride Thick Layers
  • the first layer may be a yttrium oxide thick layer grown using ALD from at least one precursor selected from tris(N,N-bis(trimethylsilyl)amide)yttrium (III), tris(cyclopentadienyl)yttrium(III), tris(butylcyclopentadienyl)yttrium(III), or tris(2,2,6,6-tetramethyl-3,5-heptanedionato)yttrium(III), and at least one precursor selected from H 2 O, O 2 , or O 3 .
  • ALD yttrium oxide thick layer grown using ALD from at least one precursor selected from tris(N,N-bis(trimethylsilyl)amide)yttrium (III), tris(cyclopentadienyl)yttrium(III), tris(butylcyclopentadienyl)yttrium(III), or tris(2,2,6,6-tetramethyl-3,5-heptanedionat
  • the second layer may be yttrium fluoride thick layer grown using ALD from the precursors tris(2,2,6,6-tetramethyl-3,5-heptanedionato)yttrium(III) and TiF 4 .
  • the resulting multi component coating may comprise YO x F y where X and Y depend on the number of repetitions of the first and the second layer.
  • Example 6 Forming a Y x Al y O Coating from Yttrium Oxide and Aluminum Oxide Thick Layers
  • the first layer may be a yttrium oxide thick layer grown using ALD from at least one precursor selected from tris(N,N-bis(trimethylsilyl)amide)yttrium (III), tris(cyclopentadienyl)yttrium(III), tris(butylcyclopentadienyl)yttrium(III), or tris(2,2,6,6-tetramethyl-3,5-heptanedionato)yttrium(III), and at least one precursor selected from H 2 O, O 2 , or O 3 .
  • ALD yttrium oxide thick layer grown using ALD from at least one precursor selected from tris(N,N-bis(trimethylsilyl)amide)yttrium (III), tris(cyclopentadienyl)yttrium(III), tris(butylcyclopentadienyl)yttrium(III), or tris(2,2,6,6-tetramethyl-3,5-heptanedionat
  • the second layer may be aluminum oxide thick layer grown using ALD from at least one precursor selected from diethylaluminum ethoxide, tris(ethylmethylamido)aluminum, aluminum sec-butoxide, aluminum tribromide, aluminum trichloride, triethylaluminum, triisobutylaluminum, trimethylaluminum, or tris(diethylamido)aluminum, and at least one precursor selected from H 2 O, O 2 , or O 3 .
  • the resulting multi component coating may comprise Y x Al y O where X and Y depend on the number of repetitions of the first and the second layer.
  • Example 7 Forming a Y x Zr y O Coating from Yttrium Oxide and Zirconium Oxide Thick Layers
  • the first layer may be a yttrium oxide thick layer grown using ALD from at least one precursor selected from tris(N,N-bis(trimethylsilyl)amide)yttrium (III), tris(cyclopentadienyl)yttrium(III), tris(butylcyclopentadienyl)yttrium(III), or tris(2,2,6,6-tetramethyl-3,5-heptanedionato)yttrium(III), and at least one precursor selected from H 2 O, O 2 , or O 3 .
  • ALD yttrium oxide thick layer grown using ALD from at least one precursor selected from tris(N,N-bis(trimethylsilyl)amide)yttrium (III), tris(cyclopentadienyl)yttrium(III), tris(butylcyclopentadienyl)yttrium(III), or tris(2,2,6,6-tetramethyl-3,5-heptanedionat
  • the second layer may be zirconium oxide thick layer grown using ALD from at least one precursor selected from zirconium (IV) bromide, zirconium (IV) chloride, zirconium (IV) tert-butoxide, tetrakis(diethyl amido)zirconium (IV), tetrakis(dimethylamido)zirconium (IV), or tetrakis(ethylmethylamido)zirconium (IV), and at least one precursor selected from H 2 O, O 2 , or O 3 .
  • the resulting multi component coating may comprise Y x Zr y O where X and Y depend on the number of repetitions of the first and the second layer.
  • Example 8 Forming a Y x Zr y Al z O Coating from Yttrium Oxide, Zirconium Oxide, and Aluminum Oxide Thick Layers
  • the first layer may be a yttrium oxide thick layer grown using ALD from at least one precursor selected from tris(N,N-bis(trimethylsilyl)amide)yttrium (III), tris(cyclopentadienyl)yttrium(III), tris(butylcyclopentadienyl)yttrium(III), or tris(2,2,6,6-tetramethyl-3,5-heptanedionato)yttrium(III), and at least one precursor selected from H 2 O, O 2 , or O 3 .
  • ALD yttrium oxide thick layer grown using ALD from at least one precursor selected from tris(N,N-bis(trimethylsilyl)amide)yttrium (III), tris(cyclopentadienyl)yttrium(III), tris(butylcyclopentadienyl)yttrium(III), or tris(2,2,6,6-tetramethyl-3,5-heptanedionat
  • the second layer may be zirconium oxide thick layer grown using ALD from at least one precursor selected from zirconium (IV) bromide, zirconium (IV) chloride, zirconium (IV) tert-butoxide, tetrakis(diethyl amido)zirconium (IV), tetrakis(dimethylamido)zirconium (IV), or tetrakis(ethylmethylamido)zirconium (IV), and at least one precursor selected from H 2 O, O 2 , or O 3 .
  • the at least one additional layer may be aluminum oxide thick layer grown using ALD from at least one precursor selected from diethylaluminum ethoxide, tris(ethylmethylamido)aluminum, aluminum sec-butoxide, aluminum tribromide, aluminum trichloride, triethylaluminum, triisobutylaluminum, trimethylaluminum, or tris(diethylamido)aluminum, and at least one precursor selected from H 2 O, O 2 , or O 3 .
  • the resulting multi component coating may comprise Y x Zr y Al z O where X, Y, and Z depend on the number of repetitions of the first, second, and at least one additional layer.

Abstract

A multi-component coating composition for a surface of a semiconductor process chamber component comprising at least one first film layer of a yttrium oxide or a yttrium fluoride coated onto the surface of the semiconductor process chamber component using an atomic layer deposition process and at least one second film layer of an additional oxide or an additional fluoride coated onto the surface of the semiconductor process chamber component using an atomic layer deposition process, wherein the multi-component coating composition is selected from the group consisting of YOxFy, YxAlyO, YxZryO and YxZryAlzO.

Description

    RELATED APPLICATIONS
  • This application is a continuation of pending U.S. patent application Ser. No. 15/847,251, filed on Dec. 19, 2017, which is a continuation of pending U.S. patent application Ser. No. 15/495,624, filed on Apr. 24, 2017, which claims priority to expired U.S. Provisional Patent Application 62/328,588, filed Apr. 27, 2016, all of which are herein incorporated by reference in their entirety.
  • TECHNICAL FIELD
  • Embodiments of the present disclosure relate to a method for preparing a protective coating for semiconductor process chamber components using atomic layer deposition (ALD), a multi-component protective coating, and a semiconductor process chamber component coated with a multi-component protective coating.
  • BACKGROUND
  • Various manufacturing processes expose semiconductor process chamber components to high temperatures, high energy plasma, a mixture of corrosive gases, high stress, and combinations thereof. These extreme conditions may erode the chamber components, corrode the chamber components, and increase the chamber components' susceptibility to defects. It is desirable to reduce these defects and improve the components' erosion and/or corrosion resistance in such extreme environments. Coating semiconductor process chamber components with protective coatings is an effective way to reduce defects and extend their durability.
  • Protective coating films are typically deposited on chamber components by a variety of methods, such as thermal spray, sputtering, or evaporation techniques. In these techniques, the surfaces of the chamber components that are not directly exposed to the vapor source (e.g., are not in a line of sight of a material source) are coated with either a significantly thinner film than surfaces that are directly exposed to the vapor source, poor quality film, a low-density film, or not coated at all.
  • SUMMARY
  • Some embodiments of the present invention cover a method for forming a multi-component coating composition on a semiconductor process chamber component. The method includes depositing a first film layer of a yttrium oxide or a yttrium fluoride onto a surface of a semiconductor process chamber component, wherein the first film layer is grown from at least two precursors using an atomic layer deposition process. The method further includes depositing a second film layer of an additional oxide or an additional fluoride onto the surface of the semiconductor process chamber component, wherein the second film layer is grown from at least two additional precursors using the atomic layer deposition process. The method further includes forming a multi-component composition comprising the first film layer and the second film layer. In some embodiments, the method may further include depositing at least one additional film layer, the at least one additional film layer comprising aluminum oxide or zirconium oxide, wherein the at least one additional film layer is grown from at least two additional precursor using the atomic layer deposition process.
  • In some embodiments, the present invention covers a coated semiconductor process chamber component. The coated semiconductor process chamber component may comprise a semiconductor process chamber component having a surface and a multi-component coating coated on the surface. In certain embodiments, the multi-component coating may comprise at least one first film layer of a yttrium oxide or a yttrium fluoride coated onto the surface using an atomic layer deposition process and at least one second film layer of an additional oxide or an additional fluoride coated onto the surface using an atomic layer deposition process. In some embodiments, the multi-component coating may further comprise at least one additional film layer comprising aluminum oxide or zirconium oxide coated onto the surface using an atomic layer deposition process.
  • In some embodiments, the present invention covers a multi-component coating composition for a surface of a semiconductor process chamber component. The multi-component coating composition may comprise at least one first film layer of a yttrium oxide or a yttrium fluoride coated onto the surface of the semiconductor process chamber component using an atomic layer deposition process and at least one second film layer of an additional oxide or an additional fluoride coated onto the surface of the semiconductor process chamber component using an atomic layer deposition process. The multi-component coating composition may be selected from the group consisting of YOxFy, YxAlyO, YxZryO and YxZryAlzO.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The present disclosure is illustrated by way of example, and not by way of limitation, in the figures of the accompanying drawings in which like references indicate similar elements. It should be noted that different references to “an” or “one” embodiment in this disclosure are not necessarily to the same embodiment, and such references mean at least one.
  • FIG. 1 depicts a sectional view of one embodiment of a processing chamber.
  • FIG. 2 depicts a deposition process in accordance with a variety of Atomic Layer Deposition techniques.
  • FIG. 3A illustrates a method for forming a multi-component coating on a semiconductor process chamber component according to an embodiment.
  • FIG. 3B illustrates a method for forming a multi-component coating on a semiconductor process chamber component according to an embodiment.
  • FIGS. 4A-4D depict variations of a multi-component coating composition according to different embodiments.
  • FIG. 5A depicts a coated chamber component (showerhead) according to an embodiment.
  • FIG. 5B depicts a blown up view of a gas conduit having a large aspect ratio coated according to an embodiment.
  • FIG. 6 is a graph showing x-ray diffraction patterns of a stack of alternating layers of Al2O3 and Y2O3 as coated and after annealing.
  • DETAILED DESCRIPTION OF EMBODIMENTS
  • Embodiments are described herein with reference to a multi-component coating that includes multiple layers that have been deposited using atomic layer deposition (also referred to as atomic monolayer deposition or ALD). Each component may be a constituent material that is included in one or more layer of the coating. One example of a multi-component coating is a coating that includes a first component of yttrium and a second component of oxygen, such as yttria (Y2O3). In another example, a multi-component coating may include a first component of Y2O3 and a second component of YF3. The first and second components may be arranged in different layers of the multi-component coating. In some embodiments, after processing such as annealing the multiple layers of the multi-component coating may interdiffuse to form a homogenous or approximately homogenous coating that includes the constituent materials of the different layers. For example, the multiple components from the different layers may form a solid state phase of a first film layer and a second film layer. In a further example, an alternating stack of Y2O3 layers and YF3 layers may interdiffuse to form a solid state phase of a yttrium oxy-fluoride. The multi-component coating may be a coating having multiple different oxides, multiple different fluorides, or a combination of one or more oxides and one or more fluorides that have or have not interdiffused. Alternatively, or additionally, the multi-component coating may be a coating having a mixture of a metal and oxygen, a metal and fluorine, a metal and oxygen and fluorine, or multiple metals with one or more of oxygen and fluorine.
  • FIG. 1 is a sectional view of a semiconductor processing chamber 100 having one or more chamber components that are coated with a multi-component coating in accordance with embodiments of the present invention. The processing chamber 100 may be used for processes in which a corrosive plasma environment having plasma processing conditions is provided. For example, the processing chamber 100 may be a chamber for a plasma etcher or plasma etch reactor, a plasma cleaner, and so forth. Examples of chamber components that may include a multi-component coating include chamber components with complex shapes and holes having large aspect ratios. Some example chamber components include a substrate support assembly 148, an electrostatic chuck (ESC), a ring (e.g., a process kit ring or single ring), a chamber wall, a base, a gas distribution plate, a showerhead 130, gas lines, a nozzle, a lid, a liner, a liner kit, a shield, a plasma screen, a flow equalizer, a cooling base, a chamber viewport, a chamber lid, and so on. The multi-component coating, which is described in greater detail below, is applied using an ALD process. ALD, which is described in greater detail with reference to FIG. 2, allows for the application of a conformal coating of relatively uniform thickness on all types of components including components with complex shapes and holes with large aspect ratios.
  • The multi-component coating may be grown or deposited using ALD with various ceramics including oxide based ceramics, nitride based ceramics and carbide based ceramics. Examples of oxide based ceramics include SiO2 (quartz), Al2O3, Y2O3, Y4Al2O9, Y2O3—ZrO2 and so on. Examples of carbide based ceramics include SiC, Si—SiC, and so on. Examples of nitride based ceramics include AlN, SiN, and so on.
  • In one embodiment, the processing chamber 100 includes a chamber body 102 and a showerhead 130 that enclose an interior volume 106. The showerhead 130 may include a showerhead base and a showerhead gas distribution plate. Alternatively, the showerhead 130 may be replaced by a lid and a nozzle in some embodiments. The chamber body 102 may be fabricated from aluminum, stainless steel or other suitable material. The chamber body 102 generally includes sidewalls 108 and a bottom 110. Any of the showerhead 130 (or lid and/or nozzle), sidewalls 108 and/or bottom 110 may include the multi-component coating.
  • An outer liner 116 may be disposed adjacent the sidewalls 108 to protect the chamber body 102. The outer liner 116 may be fabricated and/or coated with a multi-component coating. In one embodiment, the outer liner 116 is fabricated from aluminum oxide.
  • An exhaust port 126 may be defined in the chamber body 102, and may couple the interior volume 106 to a pump system 128. The pump system 128 may include one or more pumps and throttle valves utilized to evacuate and regulate the pressure of the interior volume 106 of the processing chamber 100.
  • The showerhead 130 may be supported on the sidewall 108 and/or top of the chamber body 102. The showerhead 130 (or lid) may be opened to allow access to the interior volume 106 of the processing chamber 100 in some embodiments, and may provide a seal for the processing chamber 100 while closed. A gas panel 158 may be coupled to the processing chamber 100 to provide process and/or cleaning gases to the interior volume 106 through the showerhead 130 or lid and nozzle. Showerhead 130 is used for processing chambers used for dielectric etch (etching of dielectric materials). The showerhead 130 may include a gas distribution plate (GDP) having multiple gas delivery holes 132 throughout the GDP. The showerhead 130 may include the GDP bonded to an aluminum showerhead base or an anodized aluminum showerhead base. The GDP 133 may be made from Si or SiC, or may be a ceramic such as Y2O3, Al2O3, YAG, and so forth. Showerhead 130 and delivery holes 132 may be coated with a multi-component coating as described in more detail below with respect to FIGS. 4A and 4B. As illustrated, the showerhead 130 has a multi-component coating 152 both on a surface of the showerhead 130 (e.g., e.g., on a surface of a showerhead base and/or a surface of a GDP) and on walls of gas conduits (also referred to as holes) 132 in the showerhead (e.g., in the showerhead base and/or GDP), in accordance with one embodiment. However, it should be understood that any of the other chamber components, such as gas lines, electrostatic chucks, nozzles and others, may also be coated with a multi-component coating.
  • For processing chambers used for conductor etch (etching of conductive materials), a lid may be used rather than a showerhead. The lid may include a center nozzle that fits into a center hole of the lid. The lid may be a ceramic such as Al2O3, Y2O3, YAG, or a ceramic compound comprising Y4Al2O9 and a solid-solution of Y2O3—ZrO2. The nozzle may also be a ceramic, such as Y2O3, YAG, or the ceramic compound comprising Y4Al2O9 and a solid-solution of Y2O3—ZrO2. The lid, showerhead 130 (e.g., including showerhead base, GDP and/or gas delivery conduits/holes) and/or nozzle may all be coated with a multi-component coating according to an embodiment.
  • Examples of processing gases that may be used to process substrates in the processing chamber 100 include halogen-containing gases, such as C2F6, SF6, SiCl4, HBr, NF3, CF4, CHF3, CH2F3, F, NF3, Cl2, CCl4, BCl3 and SiF4, among others, and other gases such as O2, or N2O. Examples of carrier gases include N2, He, Ar, and other gases inert to process gases (e.g., non-reactive gases). The substrate support assembly 148 is disposed in the interior volume 106 of the processing chamber 100 below the showerhead 130 or lid. The substrate support assembly 148 holds the substrate 144 during processing and may include an electrostatic chuck bonded to a cooling plate.
  • An inner liner may be coated on the periphery of the substrate support assembly 148. The inner liner may be a halogen-containing gas resist material such as those discussed with reference to the outer liner 116. In one embodiment, the inner liner 118 may be fabricated from the same materials of the outer liner 116. Additionally, the inner liner 118 may also be coated with a multi-component coating.
  • FIG. 2 depicts a deposition process in accordance with a variety of ALD techniques. Various types of ALD processes exist and the specific type may be selected based on several factors such as the surface to be coated, the coating material, chemical interaction between the surface and the coating material, etc. The general principle for the various ALD processes comprises growing a thin film layer by repeatedly exposing the surface to be coated to sequential alternating pulses of gaseous chemical precursors that chemically react with the surface one at a time in a self-limiting manner.
  • FIG. 2 illustrates an article 210 having a surface 205. Article 210 may represent various semiconductor process chamber components including but not limited to substrate support assembly, an electrostatic chuck (ESC), a ring (e.g., a process kit ring or single ring), a chamber wall, a base, a gas distribution plate, gas lines, a showerhead, a nozzle, a lid, a liner, a liner kit, a shield, a plasma screen, a flow equalizer, a cooling base, a chamber viewport, a chamber lid, and so on. The article 210 and surface 205 may be made from a metal (such as aluminum, stainless steel), a ceramic, a metal-ceramic composite, a polymer, a polymer ceramic composite, or other suitable materials, and may further comprise materials such as AlN, Si, SiC, Al2O3, SiO2, and so on.
  • Each individual chemical reaction between a precursor and the surface is known as a “half-reaction.” During each half reaction, a precursor is pulsed onto the surface for a period of time sufficient to allow the precursor to fully react with the surface. The reaction is self-limiting as the precursor will only react with a finite number of available reactive sites on the surface, forming a uniform continuous adsorption layer on the surface. Any sites that have already reacted with a precursor will become unavailable for further reaction with the same precursor unless and/or until the reacted sites are subjected to a treatment that will form new reactive sites on the uniform continuous coating. Exemplary treatments may be plasma treatment, treatment by exposing the uniform continuous adsorption layer to radicals, or introduction of a different precursor able to react with the most recent uniform continuous film layer adsorbed to the surface.
  • In FIG. 2, article 210 having surface 205 may be introduced to a first precursor 260 for a first duration until a first half reaction of the first precursor 260 with surface 205 partially forms layer 215 by forming an adsorption layer 214. Subsequently, article 210 may be introduced to a second precursor 265 (also referred to as a reactant) to cause a second half reaction to react with the adsorption layer 214 and fully form the layer 215. The first precursor 260 may be a precursor for aluminum or another metal, for example. The second precursor 265 may be an oxygen precursor if the layer 215 is an oxide or a fluorine precursor if the layer 215 is a fluoride. Layer 215 may be uniform, continuous and conformal. The article 210 may alternately be exposed to the first precursor 260 and second precursor 265 up to x number of times to achieve a target thickness for the layer 215. X may be an integer from 1 to 100, for example.
  • Subsequently, article 210 having surface 205 and layer 215 may be introduced to a third precursor 270 that reacts with layer 215 to partially form a second layer 220 by forming a second adsorption layer 218. Subsequently, article 210 may be introduced to another precursor 275 (also referred to as a reactant) to cause a second half reaction to fully form the layer 220. The second film layer 220 may be uniform, continuous and conformal. The article 210 may alternately be exposed to the third precursor 270 and fourth precursor 275 up to y number of times to achieve a target thickness for the layer 220. Y may be an integer from 1 to 100, for example.
  • Thereafter, the sequence of introducing the article 210 to precursors 260 and 265 x number of times and then to precursors 270 and 275 y number of times may be repeated and performed n number of times. N may be an integer from 1 to 100, for example. A result of the sequence may be to grow additional alternating layers 225, 230, 235, 240, 245, and 250. The number and thickness of the layers may be selected based on the targeted coating thickness and properties. The various layers may remain intact or in some embodiments may be interdiffused.
  • The surface reactions (e.g., half-reactions) are done sequentially. Prior to introduction of a new precursor, the chamber in which the ALD process takes place may be purged with an inert carrier gas (such as nitrogen or air) to remove any unreacted precursor and/or surface-precursor reaction byproducts. At least two precursors are used. In some embodiments, more than two precursors may be used to grow film layers having the same composition (e.g., to grow multiple layers of Y2O3 on top of each other). In other embodiments, different precursors may be used to grow different film layers having different compositions.
  • ALD processes may be conducted at various temperatures depending on the type of ALD process. The optimal temperature range for a particular ALD process is referred to as the “ALD temperature window.” Temperatures below the ALD temperature window may result in poor growth rates and non-ALD type deposition. Temperatures above the ALD temperature window may result in thermal decomposition of the article or rapid desorption of the precursor. The ALD temperature window may range from about 20° C. to about 400° C. In some embodiments, the ALD temperature window is between about 150-350° C.
  • The ALD process allows for conformal film layers having uniform film thickness on articles and surfaces having complex geometric shapes, holes with large aspect ratios, and three-dimensional structures. Sufficient exposure time of the precursor to the surface enables the precursor to disperse and fully react with the surface in its entirety, including all of its three-dimensional complex features. The exposure time utilized to obtain conformal ALD in high aspect ratio structures is proportionate to the square of the aspect ratio and can be predicted using modeling techniques. Additionally, the ALD technique is advantageous over other commonly used coating techniques because it allows in-situ on demand material synthesis of a particular composition or formulation without the need for a lengthy and difficult fabrication of source materials (such as powder feedstock and sintered targets).
  • With the ALD technique, multi-component films such as YOxFy, YxAlyO, YxZryO and YxZryAlzO can be grown, for example, by proper sequencing of the precursors used to grow Y2O3, Al2O3, YF3, and ZrO2, as illustrated in more detail in the examples below.
  • FIG. 3A illustrates a method 300 for forming a multi-component coating on a semiconductor process chamber component according to an embodiment. The method may optionally begin by selecting a composition for the multi-component coating. The composition selection and method of forming may be performed by the same entity or by multiple entities. Pursuant to block 305, the method comprises depositing a first film layer of a yttrium oxide or a yttrium fluoride onto a surface of a semiconductor process chamber component, wherein the first film layer is grown from at least two precursors using an ALD process. Pursuant to block 310, the method further comprises depositing a second film layer of an additional oxide or an additional fluoride onto the surface of the semiconductor process chamber component, wherein the second film layer is grown from at least two additional precursors using the ALD process. Note that the first film may be deposited before or after the second film is deposited. Accordingly, the first film may be deposited over the second film or the second film may be deposited over the first film. In some embodiments, pursuant to block 315, the method may optionally further comprise depositing at least one additional film layer, the at least one additional film layer comprising aluminum oxide or zirconium oxide, wherein the at least one additional film layer is grown from additional precursors using the ALD process.
  • In some embodiments, when the first film layer comprises yttrium oxide, the layer may be formed by the following process sequence:
  • 1) Reaction of a precursor with the substrate surface. The precursor may include tris(N,N-bis(trimethylsilyl)amide)yttrium(III), tris(cyclopentadienyl)yttrium(III), tris(butylcyclopentadienyl)yttrium(III), or tris(2,2,6,6-tetramethyl-3,5-heptanedionato)yttrium(III).
    2) Purging of non-reacted precursor from the ALD process chamber.
    3) Reaction of a second precursor with the surface. The second precursor may include H2O, O2, or O3.
    4) Purging of the second non-reacted precursor from the ALD process chamber.
    In some embodiments, when the first film layer comprises yttrium fluoride, the two precursors used may be tris(2,2,6,6-tetramethyl-3,5-heptanedionato)yttrium(III) and TiF4.
  • In some embodiments, when the second film layer comprises aluminum oxide, one precursor may include diethylaluminum ethoxide, tris(ethylmethylamido)aluminum, aluminum sec-butoxide, aluminum tribromide, aluminum trichloride, triethylaluminum, triisobutylaluminum, trimethylaluminum, or tris(diethylamido)aluminum. The second precursor may include H2O, O2, or O3. In some embodiments, when the second film layer comprises zirconium oxide, one precursor may include zirconium (IV) bromide, zirconium (IV) chloride, zirconium (IV) tert-butoxide, tetrakis(diethylamido)zirconium (IV), tetrakis(dimethylamido)zirconium (IV), or tetrakis(ethylmethylamido)zirconium (IV). The second precursor may include H2O, O2, or O3.
  • The precursors listed above or any other suitable precursors may be used each time a yttrium oxide layer, a yttrium fluoride layer, an aluminum oxide layer, or a zirconium oxide layer is grown using ALD, regardless of whether it is the first, second, or Nth layer, where the Nth layer would represent the finite number of layers grown on the surface of the semiconductor process chamber component and selected based on targeted protective coating thickness and properties.
  • Pursuant to block 325, the method ultimately comprises forming a multi-component composition of the first film layer, the second film layer, and any additional film layers. In some embodiments, pursuant to block 320, forming the multi-component composition comprises annealing the semiconductor process chamber component comprising the first film layer, the second film layer, and any additional film layers deposited onto the semiconductor process chamber component. In some embodiments, the annealing may result in a multi-component composition comprising an interdiffused solid state phase of the at least one first film layer and the at least one second film layer and any of at least one additional film layers (if present). Annealing may be performed at a temperature ranging from about 800° C. to about 1800° C., from about 800° C. to about 1500° C., or from about 800° C. to about 1000° C. The annealing temperature may be selected based on the material of construction of the article, surface, and film layers so as to maintain their integrity and refrain from deforming, decomposing, or melting any or all of these components.
  • FIG. 3B illustrates a method 350 for forming a multi-component coating on a semiconductor process chamber component according to an embodiment. The method may optionally begin by selecting a composition for the multi-component coating. The composition selection and method of forming may be performed by the same entity or by multiple entities.
  • Pursuant to block 355, the method comprises depositing a film layer of a first oxide or a first fluoride onto a surface of an article via ALD. Pursuant to block 360, the method further comprises depositing a film layer of a second oxide or a second fluoride onto the surface of the article via ALD. Note that the film layer of the first oxide or first fluoride may be deposited before or after the film layer of the second oxide or the second fluoride is deposited. Accordingly, the film layer of the first oxide or first fluoride may be deposited over the film layer of the second oxide or the second fluoride in some embodiments. In other embodiments, the film layer of the second oxide or second fluoride may be deposited over the film layer of the first oxide or the first fluoride. In some embodiments, pursuant to block 365, the method may optionally further comprise depositing an additional film layer of a third oxide or a third fluoride onto the surface of the article via ALD.
  • In some embodiments, pursuant to block 370, the method may further comprise determining whether additional layers are to be added. Determining whether additional layers and/or how many layers are to be added can be either done in-situ, or prior to initiating the depositions (e.g., in the optional multi-component composition selection process). If additional layers are to be added, blocks 355, 360, and optionally 365 may be repeated. If no additional layers are to be added, the method proceeds to form a multi-component composition comprising all film layers deposited onto the surface of the article.
  • In some embodiments, when the first oxide, second oxide, or third oxide of any of the film layers comprises yttrium oxide, one precursor used to form yttrium oxide by ALD may be selected from tris(N,N-bis(trimethylsilyl)amide)yttrium(III), tris(cyclopentadienyl)yttrium(III), tris(butylcyclopentadienyl)yttrium(III), or tris(2,2,6,6-tetramethyl-3,5-heptanedionato)yttrium(III), and the second precursor may be selected from H2O, O2, or O3. In some embodiments, when the first fluoride, second fluoride, or third fluoride of any of the film layers comprises yttrium fluoride, the two precursors used to form this film may be tris(2,2,6,6-tetramethyl-3,5-heptanedionato)yttrium(III) and TiF4.
  • In some embodiments, when the first oxide, second oxide, or third oxide of any of the film layers comprises aluminum oxide, one precursor used to form aluminum oxide by ALD may be selected from diethylaluminum ethoxide, tris(ethylmethylamido)aluminum, aluminum sec-butoxide, aluminum tribromide, aluminum trichloride, triethylaluminum, triisobutylaluminum, trimethylaluminum, or tris(diethylamido)aluminum, and the second precursor may be selected from H2O, O2, or O3. In some embodiments, when the first oxide, second oxide, or third oxide of any of the film layers comprises zirconium oxide, one zirconium oxide precursor may be selected from zirconium (IV) bromide, zirconium (IV) chloride, zirconium (IV) tert-butoxide, tetrakis(diethylamido)zirconium (IV), tetrakis(dimethylamido)zirconium (IV), or tetrakis(ethylmethylamido)zirconium (IV), and the second precursor may be selected from H2O, O2, or O3.
  • The listed precursors or any other suitable precursors may be used each time a yttrium oxide layer, a yttrium fluoride layer, an aluminum oxide layer, or a zirconium oxide layer is grown using ALD, regardless of whether it is the first, second, or Nth film layer, where the Nth film layer would represent a finite number of film layers grown on the surface of the article and selected based on targeted protective coating thickness and properties.
  • In some embodiments, the method may proceed to optional block 375 where the multi-component composition of all film layers deposited onto the surface of the article may be annealed. In some embodiments, the annealing may result in a multi-component composition comprising an interdiffused solid state phase of all film layers deposited onto the surface of the article. Annealing may be performed at a temperature ranging from about 800° C. to about 1800° C., from about 800° C. to about 1500° C., or from about 800° C. to about 1000° C. The annealing temperature may be selected based on the material of construction of the article, surface, and film layers so as to maintain their integrity and refrain from deforming, decomposing, or melting any or all of these components.
  • FIGS. 4A-4D depict variations of a multi-component coating composition according to different embodiments. FIG. 4A illustrates a multi-component coating composition for a surface 405 of an article 410 according to an embodiment. Surface 405 may be the surface of various articles 410. For example, articles 410 may include carious semiconductor process chamber components including but not limited to substrate support assembly, an electrostatic chuck (ESC), a ring (e.g., a process kit ring or single ring), a chamber wall, a base, a gas distribution plate, gas lines, a showerhead, a nozzle, a lid, a liner, a liner kit, a shield, a plasma screen, a flow equalizer, a cooling base, a chamber viewport, a chamber lid, and so on. The semiconductor process chamber component may be made from a metal (such as aluminum, stainless steel), a ceramic, a metal-ceramic composite, a polymer, a polymer ceramic composite, or other suitable materials, and may further comprise materials such as AlN, Si, SiC, Al2O3, SiO2, and so on.
  • In FIG. 4A, the multi component coating composition comprises: at least one first film layer 415 of a yttrium oxide or a yttrium fluoride coated onto surface 405 of article 410 using an ALD process and at least one second film layer 425 of an additional oxide or an additional fluoride coated onto surface 405 of article 410 using an ALD process.
  • FIG. 4A illustrates an embodiment where the multi-component coating composition comprises a stack of alternating layers of the first layer 415 and the second layer 425, where the layers are intact and not interdiffused, where there is an equal number of each of the layers (four 415 layers and four 425 layers), and where all layers are of equal uniform thickness. In some embodiments, the first film layer is deposited prior to the deposition of the second film layer, and the second film layer is deposited over the first film layer. In some embodiments, the order may be reversed.
  • FIG. 4B illustrates an embodiment where the multi-component coating composition, deposited on surface 405 of article 410 (e.g., a semiconductor process chamber component as described above), comprises a stack of alternating layers of the first layer 415, second layer 425, and at least one additional layer 435, where the layers are intact and deposited and/or grown at a predetermined order and with a uniform equal thickness. However, the number of layers may not be equal and certain layers may be more prevalent than others (e.g., three 415 layers, three 425 layers, two 435 layers).
  • In some embodiments, the at least one first film layer comprises a first continuous monolayer, and the at least one second film layer comprises a second continuous monolayer. In some embodiments, at least one additional layer may comprise at least one additional monolayer.
  • In other embodiments, the at least one first film layer comprises a first thick layer having a uniform thickness, the uniform thickness ranging from that of two monolayers to about 1 micrometer, and wherein the at least one second film layer comprises a second thick layer having the uniform thickness of the first film layer. In yet other embodiments, at least one additional film layer may comprise at least one additional thick layer having the uniform thickness of the other two thick layers.
  • In some embodiments, the multi-component coating composition may comprise at least one first film layer, at least one second film layer, and optionally one or more additional film layers, wherein the layers may vary in thickness. For example, some layers may be monolayers and some layers may be thick layers.
  • FIG. 4C illustrates an embodiment where the multi-component coating, deposited on surface 405 of article 410, comprises a stack of intact uniform film layers without a fixed order or fixed thickness. The multi-component coating comprises a first thick layer 420 having a first thickness, a second thick layer 430 having a second thickness different from the first thickness, and at least one additional thick layer 440 having a third thickness different from the first and the second thickness. Certain layers may be more prevalent than others so as to achieve certain properties (such as erosion/corrosion resistance) for the multi-component coating (e.g., two of the first thick layers 420, one second thick layer 430, one and one additional thick layer 440 layer).
  • In some embodiments, the various film layers illustrated in FIGS. 4A through 4C may have the same composition. In other embodiments, the compositions of the layers may be different. In some embodiments, the various film layers may have similar properties such as thickness, porosity, plasma resistance, CTE. In other embodiments, each film layer may have different properties. It is to be understood that although FIGS. 4A-4C depict a certain number of film layers, the Figures are not intended to be limiting, and more or less film layers may be deposited onto the surface in certain embodiments. In some embodiments, the entire surface of semiconductor process chamber component may be coated. In other embodiments, at least a portion of the semiconductor process chamber components' surface may be coated.
  • FIG. 4D illustrates an embodiment where the multi-component coating composition 450, deposited on surface 405 of article 410, comprises an interdiffused solid state phase of the at least one first film layer, the at least one second film layer, and optionally the at least one additional film layer.
  • In some embodiments, the multi-component coating composition, whether comprising intact layers or an interdiffused solid state phase, is selected from the group consisting of YOxFy, YxAlyO, YxZryO and YzZryAlzO. The processes for creating these various multi-component coating compositions are illustrated in the examples below.
  • FIG. 5A illustrates a bottom view of a showerhead 500. The showerhead example provided below is just an exemplary chamber component whose performance may be improved by the use of the multi-component coating as set forth in embodiments herein. It is to be understood that the performance of other chamber components may also be improved when coated with the multi-component coating disclosed herein. The showerhead 500, as depicted here, was chosen as an illustration of a semiconductor process chamber component having a surface with complex geometry and holes with large aspect ratios.
  • The complex geometry of lower surface 505 is configured to receive a multi-component coating. Lower surface 505 of showerhead 500 defines gas conduits 510 arranged in evenly distributed concentric rings. In other embodiments, gas conduits 510 may be configured in alternative geometric configurations and may have as many or as few gas conduits as needed depending on the type of reactor and/or process utilized. The multi-component coating is grown on surface 505 and in gas conduit holes 510 using the ALD technique which enables a conformal coating of relatively uniform thickness on the surface as well as in the gas conduit holes despite the complex geometry and the large aspect ratios of the holes.
  • Showerhead 500 may be exposed to corrosive chemistries such fluorine and may erode due to plasma interaction with the showerhead. The multi-component coating layer may reduce such plasma interactions and improve the showerhead's durability. The multi-component coating layer deposited with ALD maintains the relative shape and geometric configuration of the lower surface 505 and of the gas conduits 510 so as to not disturb the functionality of the showerhead. Similarly, when applied to other chamber components, the multi-component coating may maintain the shape and geometric configuration of the surface it is intended to coat so as to not disturb the component's functionality, provide plasma resistance, and improves erosion and/or corrosion resistance throughout the entire surface.
  • The resistance of the coating material to plasma is measured through “etch rate” (ER), which may have units of Angstrom/min (A/min), throughout the duration of the coated components' operation and exposure to plasma. Plasma resistance may also be measured through an erosion rate having the units of nanometer/radio frequency hour (nm/RFHr), where one RFHr represents one hour of processing in plasma processing conditions. Measurements may be taken after different processing times. For example, measurements may be taken before processing, after 50 processing hours, after 150 processing hours, after 200 processing hours, and so on. An erosion rate lower than about 100 nm/RFHr is typical for a multi-component plasma resistant coating material. Variations in the composition of the multi-component coating grown on the showerhead or on any other semiconductor process chamber component may result in multiple different plasma resistances or erosion rate values. Additionally, a multi-component coating with a single composition exposed to various plasmas could have multiple different plasma resistances or erosion rate values. For example, a plasma resistant material may have a first plasma resistance or erosion rate associated with a first type of plasma and a second plasma resistance or erosion rate associated with a second type of plasma.
  • FIG. 5B depicts a blown up view of a gas conduit 510 having a large aspect ratio coated according to an embodiment. Gas conduit 510 may have a length L and a diameter D. Gas conduit 510 may have a large aspect ratio defined as L:D, wherein the aspect ratio may range from about 50:1 to about 100:1. In some embodiments, the aspect ratio may be lower than 50:1 or greater than 100:1.
  • Gas conduit 510 may have an interior surface 555 which may be coated with a multi-component coating. The multi-component coating may comprise at least one first layer 560 and at least one second layer 565 and optionally at least one additional layer (not shown). The first film layer may comprise yttrium oxide or yttrium fluoride. The second film layer may comprise an additional oxide or an additional fluoride. The optional at least one additional layer may comprise aluminum oxide or zirconium oxide. All layers may be coated using an ALD process. The ALD process may grow conformal coating layers of uniform thickness throughout the interior surface of gas conduit 510 despite its large aspect ratio while ensuring that the final multi-component coating may also be thin enough so as to not plug the gas conduits in the showerhead.
  • In some embodiments, the multi-component coating may comprise intact layers of at least one first layer, at least one second layer, and optionally at least one additional layer. In an embodiment, the first, second, and any optional additional layers may alternate at a predetermined order. In another embodiment, the first, second, and any optional additional layers may be present in any order. In some embodiments, there may be an equal number of each one of the first, of the second, and of any optional additional layer. In other embodiments, some of the layers may be more prevalent than other layers so as to achieve certain properties for the multi-component coating. Certain properties may be plasma resistance and erosion/corrosion resistance that would improve the durability of the coated semiconductor process chamber component.
  • In some embodiments, the intact layers may comprise monolayers of uniform thickness. In other embodiments, the intact layers may comprise thicker layers of uniform thickness. Each thicker layer may have a thickness ranging from that of two monolayers to about 1 micrometer. In yet other embodiments, the intact layers may comprise a combination of monolayers and thick layers.
  • In other embodiments, the multi-component coating may comprise interdiffused solid state phase of at least one first layer, at least one second layer, and optionally at least one additional layer. In an embodiment, an interdiffused solid state phase of the various layers may be obtained through annealing. The composition of the layers, number of layers, frequency of each layer, and thickness of the layers will all contribute to the final properties of the multi-component coating layer.
  • The following examples are set forth to assist in understanding the embodiments described herein and should not be construed as specifically limiting the embodiments described and claimed herein. Such variations, including the substitution of all equivalents now known or later developed, which would be within the purview of those skilled in the art, and changes in formulation or minor changes in experimental design, are to be considered to fall within the scope of the embodiments incorporated herein. These examples may be achieved by performing method 300 or method 350 described above.
  • Example 1—Forming a YOxFy Coating from Yttrium Oxide and Yttrium Fluoride Monolayers
  • The first layer may be a yttrium oxide monolayer grown using ALD from a precursor selected from tris(N,N-bis(trimethylsilyl)amide)yttrium (III) tris(cyclopentadienyl)yttrium(III), tris(butylcyclopentadienyl)yttrium(III), or tris(2,2,6,6-tetramethyl-3,5-heptanedionato)yttrium(III), and a second precursor selected from H2O, O2 or O3. The second layer may be yttrium fluoride monolayer grown using ALD from a single combination of the precursors tris(2,2,6,6-tetramethyl-3,5-heptanedionato)yttrium(III) and TiF4. The resulting multi-component coating may comprise YOxFy where X and Y depend on the number of repetitions of the first and the second layer.
  • Example 2—Forming a YxAlyO Coating from Yttrium Oxide and Aluminum Oxide Monolayers
  • The first layer may be a yttrium oxide monolayer grown using ALD from a precursor selected from tris(N,N-bis(trimethylsilyl)amide)yttrium (III), tris(cyclopentadienyl)yttrium(III), tris(butylcyclopentadienyl)yttrium(III), or tris(2,2,6,6-tetramethyl-3,5-heptanedionato)yttrium(III), and a second precursor selected from H2O, O2, or O3. The second layer may be aluminum oxide monolayer grown using ALD from a precursor selected from diethylaluminum ethoxide, tris(ethylmethylamido)aluminum, aluminum sec-butoxide, aluminum tribromide, aluminum trichloride, triethylaluminum, triisobutylaluminum, trimethylaluminum, or tris(diethylamido)aluminum, and a second precursor selected from H2O, O2, or O3. The resulting multi component coating may comprise YxAlyO where X and Y depend on the number of repetitions of the first and the second layer.
  • In some experiments stacks of alternating layers of Y2O3 and Al2O3 were deposited via ALD, where each may layer had a thickness of 5 nm. Other thicknesses may also be used for the individual layers, such as anywhere from a few Angstroms to about 10 nm or thicker. A total coating thickness in the experiments was about 200 nm. Samples were annealed at temperatures of 500° C., 750° C., 800° C., 900° C. and or 1000° C. for 12 hrs. The annealed and as-coated samples were analyzed by grazing incidence x-ray diffraction to determine a microstructure of the coating for each of the samples. Testing showed that the coating transformed from an amorphous structure to a mostly crystalline structure consisting primarily of the cubic Y3Al5O12 or Y3Al5O13 (i.e., yttrium aluminum garnet) phase as a result of annealing at temperatures of 800° C. and above. At temperatures of 500° C. and ° 750 C, crystallization of Y2O3 occurred but the yttrium aluminum garnet phase did not form. For example, after annealing at 500° C. an amorphous phase and a crystalline Y2O3 phase were formed. The amorphous phase may contain some Y2O3 that has not crystalized. Table 1 below shows the phase identification of a stack of alternating layer films of Al2O3/Y2O3, as-deposited and annealted at various temperatures.
  • TABLE 1
    Phase Identification of Al2O3/Y2O3 Alternating Layer Films,
    As-Deposited and Annealed at Various Temperatures
    Annealing Temperature Phase Identified
    No annealing Amorphous
    500° C. Amorphous
    Y2O3 - Cubic, SG: Fm-3m (225)
    750° C. Amorphous
    Y2O3 - Cubic, SG: Fm-3m (225)
    800° C. Y3Al5O13 - Cubic, SG: Ia-3d (230)
    Minor/trace phase:
    YAlO3 - Hexagonal, SG: P63/mmc (194)
    900° C. Y3Al5O13 - Cubic, SG: Ia-3d (230)
    Minor/Trace Phases:
    Y2O3 - Cubic, SG: ia-3 (206)
    Al2.66O4 (Aluminum Oxide (gamma)) - Cubic,
    SG: Fd-3m (227)
    1000° C.  Y3Al5O12 - Cubic, SG: Ia-3d (230)
    Minor/Trace Phases:
    Y4Si4O14 - Triclinic, SG: P-1 (2)
    Amorphous
  • FIG. 6 is a graph showing x-ray diffraction patterns of a stack of alternating layers of Al2O3 and Y2O3 as coated 605 and after annealing 610 at a temperature of 1000° C. for 12 hours. As shown, the stack of alternating layers diffused into each other and formed a Y3Al5O12 after annealing.
  • Example 3—Forming a YxZryO Coating from Yttrium Oxide and Zirconium Oxide Monolayers
  • The first layer may be a yttrium oxide monolayer grown using ALD from a precursor selected from tris(N,N-bis(trimethylsilyl)amide)yttrium (III), tris(cyclopentadienyl)yttrium(III), tris(butylcyclopentadienyl)yttrium(III), or tris(2,2,6,6-tetramethyl-3,5-heptanedionato)yttrium(III), and a second precursor selected from H2O, O2, or O3. The second layer may be zirconium oxide monolayer grown using ALD from a precursor selected from zirconium (IV) bromide, zirconium (IV) chloride, zirconium (IV) tert-butoxide, tetrakis(diethylamido)zirconium (IV), tetrakis(dimethylamido)zirconium (IV), or tetrakis(ethylmethylamido)zirconium (IV), and a second precursor selected from H2O, O2, or O3. The resulting multi component coating may comprise YxZryO where X and Y depend on the number of repetitions of the first and the second layer.
  • Example 4—Forming a YxZryAlzO Coating from Yttrium Oxide, Zirconium Oxide, and Aluminum Oxide Monolayers
  • The first layer may be a yttrium oxide monolayer grown using ALD from a precursor selected from tris(N,N-bis(trimethylsilyl)amide)yttrium (III), tris(cyclopentadienyl)yttrium(III), tris(butylcyclopentadienyl)yttrium(III), or tris(2,2,6,6-tetramethyl-3,5-heptanedionato)yttrium(III), and a second precursor selected from H2O, O2, or O3. The second layer may be zirconium oxide monolayer grown using ALD from a precursor selected from zirconium (IV) bromide, zirconium (IV) chloride, zirconium (IV) tert-butoxide, tetrakis(diethylamido)zirconium (IV), tetrakis(dimethylamido)zirconium (IV), or tetrakis(ethylmethylamido)zirconium (IV), and a second precursor selected from H2O, O2, or O3. The at least one additional layer may be aluminum oxide monolayer grown using ALD from a precursor selected from diethylaluminum ethoxide, tris(ethylmethylamido)aluminum, aluminum sec-butoxide, aluminum tribromide, aluminum trichloride, triethylaluminum, triisobutylaluminum, trimethylaluminum, or tris(diethylamido)aluminum, and a second precursor selected from H2O, O2, or O3. The resulting multi component coating may comprise YxZryAlzO where X, Y, and Z depend on the number of repetitions of the first, second, and at least one additional layer.
  • Example 5—Forming a YOxFy Coating from Yttrium Oxide and Yttrium Fluoride Thick Layers
  • The first layer may be a yttrium oxide thick layer grown using ALD from at least one precursor selected from tris(N,N-bis(trimethylsilyl)amide)yttrium (III), tris(cyclopentadienyl)yttrium(III), tris(butylcyclopentadienyl)yttrium(III), or tris(2,2,6,6-tetramethyl-3,5-heptanedionato)yttrium(III), and at least one precursor selected from H2O, O2, or O3. The second layer may be yttrium fluoride thick layer grown using ALD from the precursors tris(2,2,6,6-tetramethyl-3,5-heptanedionato)yttrium(III) and TiF4. The resulting multi component coating may comprise YOxFy where X and Y depend on the number of repetitions of the first and the second layer.
  • Example 6—Forming a YxAlyO Coating from Yttrium Oxide and Aluminum Oxide Thick Layers
  • The first layer may be a yttrium oxide thick layer grown using ALD from at least one precursor selected from tris(N,N-bis(trimethylsilyl)amide)yttrium (III), tris(cyclopentadienyl)yttrium(III), tris(butylcyclopentadienyl)yttrium(III), or tris(2,2,6,6-tetramethyl-3,5-heptanedionato)yttrium(III), and at least one precursor selected from H2O, O2, or O3. The second layer may be aluminum oxide thick layer grown using ALD from at least one precursor selected from diethylaluminum ethoxide, tris(ethylmethylamido)aluminum, aluminum sec-butoxide, aluminum tribromide, aluminum trichloride, triethylaluminum, triisobutylaluminum, trimethylaluminum, or tris(diethylamido)aluminum, and at least one precursor selected from H2O, O2, or O3. The resulting multi component coating may comprise YxAlyO where X and Y depend on the number of repetitions of the first and the second layer.
  • Example 7—Forming a YxZryO Coating from Yttrium Oxide and Zirconium Oxide Thick Layers
  • The first layer may be a yttrium oxide thick layer grown using ALD from at least one precursor selected from tris(N,N-bis(trimethylsilyl)amide)yttrium (III), tris(cyclopentadienyl)yttrium(III), tris(butylcyclopentadienyl)yttrium(III), or tris(2,2,6,6-tetramethyl-3,5-heptanedionato)yttrium(III), and at least one precursor selected from H2O, O2, or O3. The second layer may be zirconium oxide thick layer grown using ALD from at least one precursor selected from zirconium (IV) bromide, zirconium (IV) chloride, zirconium (IV) tert-butoxide, tetrakis(diethyl amido)zirconium (IV), tetrakis(dimethylamido)zirconium (IV), or tetrakis(ethylmethylamido)zirconium (IV), and at least one precursor selected from H2O, O2, or O3. The resulting multi component coating may comprise YxZryO where X and Y depend on the number of repetitions of the first and the second layer.
  • Example 8—Forming a YxZryAlzO Coating from Yttrium Oxide, Zirconium Oxide, and Aluminum Oxide Thick Layers
  • The first layer may be a yttrium oxide thick layer grown using ALD from at least one precursor selected from tris(N,N-bis(trimethylsilyl)amide)yttrium (III), tris(cyclopentadienyl)yttrium(III), tris(butylcyclopentadienyl)yttrium(III), or tris(2,2,6,6-tetramethyl-3,5-heptanedionato)yttrium(III), and at least one precursor selected from H2O, O2, or O3. The second layer may be zirconium oxide thick layer grown using ALD from at least one precursor selected from zirconium (IV) bromide, zirconium (IV) chloride, zirconium (IV) tert-butoxide, tetrakis(diethyl amido)zirconium (IV), tetrakis(dimethylamido)zirconium (IV), or tetrakis(ethylmethylamido)zirconium (IV), and at least one precursor selected from H2O, O2, or O3. The at least one additional layer may be aluminum oxide thick layer grown using ALD from at least one precursor selected from diethylaluminum ethoxide, tris(ethylmethylamido)aluminum, aluminum sec-butoxide, aluminum tribromide, aluminum trichloride, triethylaluminum, triisobutylaluminum, trimethylaluminum, or tris(diethylamido)aluminum, and at least one precursor selected from H2O, O2, or O3. The resulting multi component coating may comprise YxZryAlzO where X, Y, and Z depend on the number of repetitions of the first, second, and at least one additional layer.
  • The preceding description sets forth numerous specific details such as examples of specific systems, components, methods, and so forth, in order to provide a good understanding of several embodiments of the present invention. It will be apparent to one skilled in the art, however, that at least some embodiments of the present invention may be practiced without these specific details. In other instances, well-known components or methods are not described in detail or are presented in simple block diagram format in order to avoid unnecessarily obscuring the present invention. Thus, the specific details set forth are merely exemplary. Particular implementations may vary from these exemplary details and still be contemplated to be within the scope of the present invention.
  • Reference throughout this specification to “one embodiment” or “an embodiment” means that a particular feature, structure, or characteristic described in connection with the embodiment is included in at least one embodiment. Thus, the appearances of the phrase “in one embodiment” or “in an embodiment” in various places throughout this specification are not necessarily all referring to the same embodiment. In addition, the term “or” is intended to mean an inclusive “or” rather than an exclusive “or.” When the term “about” or “approximately” is used herein, this is intended to mean that the nominal value presented is precise within +10%.
  • Although the operations of the methods herein are shown and described in a particular order, the order of the operations of each method may be altered so that certain operations may be performed in an inverse order or so that certain operation may be performed, at least in part, concurrently with other operations. In another embodiment, instructions or sub-operations of distinct operations may be in an intermittent and/or alternating manner.
  • It is to be understood that the above description is intended to be illustrative, and not restrictive. Many other embodiments will be apparent to those of skill in the art upon reading and understanding the above description. The scope of the invention should, therefore, be determined with reference to the appended claims, along with the full scope of equivalents to which such claims are entitled.

Claims (20)

What is claimed is:
1. A method comprising:
depositing a first film layer of a yttrium oxide onto a surface of a chamber component for a processing chamber using a first number of repetitions of an atomic layer deposition process;
depositing a second film layer of aluminum oxide onto the surface of the chamber component using a second number of repetitions of the atomic layer deposition process; and
forming an interdiffused YxAlyO solid state phase coating composition on the processing chamber,
wherein x and y have values that are based on the first number of repetitions of the atomic layer deposition process that are used to deposit the first film layer and the second number of repetitions of the atomic layer deposition process that are used to deposit the second film layer.
2. The method of claim 1, wherein forming the interdiffused YxAlyO solid state coating composition comprises annealing the chamber component comprising the first film layer and the second film layer.
3. The method of claim 2, wherein the annealing occurs at a temperature ranging from about 800° C. to about 1800° C., from about 800° C. to about 1500° C., or from about 800° C. to about 1000° C.
4. The method of claim 1, wherein the interdiffused YxAlyO solid state coating composition comprises crystalline YxAlyO.
5. The method of claim 4, wherein the crystalline YxAlyO is in a cubic phase.
6. The method of claim 1, wherein the interdiffused YxAlyO solid state phase coating composition is Y3Al5O12.
7. The method of claim 1, wherein a precursor used to deposit the first film layer comprises at least one of tris(N,N-bis(trimethylsilyl)amide)yttrium (III), tris(cyclopentadienyl)yttrium(III), tris(butylcyclopentadienyl)yttrium(III), or tris(2,2,6,6-tetramethyl-3,5-heptanedionato)yttrium(III), and wherein a reactant used to deposit the first film layer comprises at least one of H2O, O2, or O3.
8. The method of claim 1, wherein a precursor used to deposit the second film layer comprises at least one of diethylaluminum ethoxide, tris(ethylmethylamido)aluminum, aluminum sec-butoxide, aluminum tribromide, aluminum trichloride, triethylaluminum, triisobutylaluminum, trimethylaluminum, or tris(diethylamido)aluminum, and wherein a reactant used to deposit the second film layer comprises at least one of H2O, O2, or O3.
9. The method of claim 1, further comprising:
alternately depositing one of a first plurality of additional film layers of yttrium oxide onto the surface of the chamber component and one of a second plurality of additional film layers of aluminum oxide onto the surface of the chamber component until a combined thickness of the first film layer, the second film layer, the first plurality of film layers and the second plurality of film layers reaches a target thickness.
10. The method of claim 1, wherein the first film layer has a different thickness than the second film layer.
11. The method of claim 1, wherein the first film layer has a first uniform thickness ranging from about two monolayers to about 1 micrometer.
12. The method of claim 11, wherein the second film layer has the first uniform thickness.
13. The method of claim 11, wherein the second film layer has a second uniform thickness that is different from the first uniform thickness.
14. The method of claim 1, wherein the interdiffused YxAlyO solid state phase coating composition is homogenous.
15. A chamber component for a processing chamber, the coating having been formed by a process comprising:
depositing a first film layer of a yttrium oxide onto a surface of the chamber component using a first number of repetitions of an atomic layer deposition process;
depositing a second film layer of aluminum oxide onto the surface of the chamber component using a second number of repetitions of the atomic layer deposition process; and
forming an interdiffused YxAlyO solid state phase coating composition on the processing chamber,
wherein x and y have values that are based on the first number of repetitions of the atomic layer deposition process that are used to deposit the first film layer and the second number of repetitions of the atomic layer deposition process that are used to deposit the second film layer.
16. The chamber component of claim 15, wherein forming the interdiffused YxAlyO solid state coating composition comprises annealing the chamber component comprising the first film layer and the second film layer.
17. The chamber component of claim 15, wherein the annealing occurs at a temperature ranging from about 800° C. to about 1800° C., from about 800° C. to about 1500° C., or from about 800° C. to about 1000° C.
18. The chamber component of claim 15, wherein the interdiffused YxAlyO solid state coating composition comprises crystalline YxAlyO.
19. The chamber component of claim 18, wherein the crystalline YxAlyO is in a cubic phase.
20. The chamber component of claim 15, wherein the interdiffused YxAlyO solid state phase coating composition is homogenous and consists of Y3Al5O12.
US16/411,823 2016-04-27 2019-05-14 Atomic layer deposition of protective coatings for semiconductor process chamber components Active 2037-05-06 US11198937B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US16/411,823 US11198937B2 (en) 2016-04-27 2019-05-14 Atomic layer deposition of protective coatings for semiconductor process chamber components

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201662328588P 2016-04-27 2016-04-27
US15/495,624 US11326253B2 (en) 2016-04-27 2017-04-24 Atomic layer deposition of protective coatings for semiconductor process chamber components
US15/847,251 US11198936B2 (en) 2016-04-27 2017-12-19 Atomic layer deposition of protective coatings for semiconductor process chamber components
US16/411,823 US11198937B2 (en) 2016-04-27 2019-05-14 Atomic layer deposition of protective coatings for semiconductor process chamber components

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US15/847,251 Continuation US11198936B2 (en) 2016-04-27 2017-12-19 Atomic layer deposition of protective coatings for semiconductor process chamber components

Publications (2)

Publication Number Publication Date
US20190271076A1 true US20190271076A1 (en) 2019-09-05
US11198937B2 US11198937B2 (en) 2021-12-14

Family

ID=60158184

Family Applications (4)

Application Number Title Priority Date Filing Date
US15/495,624 Active US11326253B2 (en) 2016-04-27 2017-04-24 Atomic layer deposition of protective coatings for semiconductor process chamber components
US15/847,251 Active 2039-09-04 US11198936B2 (en) 2016-04-27 2017-12-19 Atomic layer deposition of protective coatings for semiconductor process chamber components
US16/411,823 Active 2037-05-06 US11198937B2 (en) 2016-04-27 2019-05-14 Atomic layer deposition of protective coatings for semiconductor process chamber components
US17/718,205 Pending US20220235458A1 (en) 2016-04-27 2022-04-11 Atomic layer deposition of protective coatings for semiconductor process chamber components

Family Applications Before (2)

Application Number Title Priority Date Filing Date
US15/495,624 Active US11326253B2 (en) 2016-04-27 2017-04-24 Atomic layer deposition of protective coatings for semiconductor process chamber components
US15/847,251 Active 2039-09-04 US11198936B2 (en) 2016-04-27 2017-12-19 Atomic layer deposition of protective coatings for semiconductor process chamber components

Family Applications After (1)

Application Number Title Priority Date Filing Date
US17/718,205 Pending US20220235458A1 (en) 2016-04-27 2022-04-11 Atomic layer deposition of protective coatings for semiconductor process chamber components

Country Status (5)

Country Link
US (4) US11326253B2 (en)
JP (4) JP7125251B2 (en)
KR (3) KR20170122674A (en)
CN (4) CN107313027B (en)
TW (4) TWM556402U (en)

Cited By (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20180094348A1 (en) * 2016-06-23 2018-04-05 Applied Materials, Inc. Non-Line of Sight Deposition of Erbium Based Plasma Resistant Ceramic Coating
WO2021081219A1 (en) * 2019-10-23 2021-04-29 Applied Materials, Inc. Hafnium aluminum oxide coatings deposited by atomic layer deposition
US11009339B2 (en) 2018-08-23 2021-05-18 Applied Materials, Inc. Measurement of thickness of thermal barrier coatings using 3D imaging and surface subtraction methods for objects with complex geometries
US11015252B2 (en) 2018-04-27 2021-05-25 Applied Materials, Inc. Protection of components from corrosion
US11028480B2 (en) 2018-03-19 2021-06-08 Applied Materials, Inc. Methods of protecting metallic components against corrosion using chromium-containing thin films
CN113913783A (en) * 2020-07-09 2022-01-11 恩特格里斯公司 Coatings containing fluorinated yttria and metal oxides and methods of making and using the same
WO2022055124A1 (en) * 2020-09-10 2022-03-17 주식회사 테스 Method for protecting apparatus from etching substances and method for forming oxide film
US11365479B2 (en) * 2017-12-15 2022-06-21 Lam Research Corporation Ex situ coating of chamber components for semiconductor processing
US11466364B2 (en) 2019-09-06 2022-10-11 Applied Materials, Inc. Methods for forming protective coatings containing crystallized aluminum oxide
US11519066B2 (en) 2020-05-21 2022-12-06 Applied Materials, Inc. Nitride protective coatings on aerospace components and methods for making the same
US11661650B2 (en) * 2020-04-10 2023-05-30 Applied Materials, Inc. Yttrium oxide based coating composition
US11694912B2 (en) 2017-08-18 2023-07-04 Applied Materials, Inc. High pressure and high temperature anneal chamber
US11697879B2 (en) 2019-06-14 2023-07-11 Applied Materials, Inc. Methods for depositing sacrificial coatings on aerospace components
US11732353B2 (en) 2019-04-26 2023-08-22 Applied Materials, Inc. Methods of protecting aerospace components against corrosion and oxidation
US11739429B2 (en) 2020-07-03 2023-08-29 Applied Materials, Inc. Methods for refurbishing aerospace components
US11761079B2 (en) 2017-12-07 2023-09-19 Lam Research Corporation Oxidation resistant protective layer in chamber conditioning
US11794382B2 (en) 2019-05-16 2023-10-24 Applied Materials, Inc. Methods for depositing anti-coking protective coatings on aerospace components
WO2024006393A1 (en) * 2022-06-28 2024-01-04 Entegris, Inc. Modules for delivery systems and related methods
US11920239B2 (en) 2015-03-26 2024-03-05 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma

Families Citing this family (215)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10861682B2 (en) 2014-07-31 2020-12-08 iSenseCloud, Inc. Test wafer with optical fiber with Bragg Grating sensors
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11326253B2 (en) * 2016-04-27 2022-05-10 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
TWI742092B (en) * 2016-06-13 2021-10-11 美商應用材料股份有限公司 Lanthanide, yttrium and scandium precursors for ald, cvd and thin film doping and methods of use
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
JP7282678B2 (en) * 2017-01-16 2023-05-29 インテグリス・インコーポレーテッド Article coated with fluoroannealing film
US10186400B2 (en) * 2017-01-20 2019-01-22 Applied Materials, Inc. Multi-layer plasma resistant coating by atomic layer deposition
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10224224B2 (en) 2017-03-10 2019-03-05 Micromaterials, LLC High pressure wafer processing systems and related methods
US10975469B2 (en) * 2017-03-17 2021-04-13 Applied Materials, Inc. Plasma resistant coating of porous body by atomic layer deposition
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10755900B2 (en) * 2017-05-10 2020-08-25 Applied Materials, Inc. Multi-layer plasma erosion protection for chamber components
US10847360B2 (en) 2017-05-25 2020-11-24 Applied Materials, Inc. High pressure treatment of silicon nitride film
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
WO2018222771A1 (en) 2017-06-02 2018-12-06 Applied Materials, Inc. Dry stripping of boron carbide hardmask
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
TWI794238B (en) * 2017-07-13 2023-03-01 荷蘭商Asm智慧財產控股公司 Apparatus and method for removal of oxide and carbon from semiconductor films in a single processing chamber
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
KR102405723B1 (en) 2017-08-18 2022-06-07 어플라이드 머티어리얼스, 인코포레이티드 High pressure and high temperature annealing chamber
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
CN111095524B (en) 2017-09-12 2023-10-03 应用材料公司 Apparatus and method for fabricating semiconductor structures using protective barrier layers
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10643867B2 (en) 2017-11-03 2020-05-05 Applied Materials, Inc. Annealing system and method
KR102396319B1 (en) 2017-11-11 2022-05-09 마이크로머티어리얼즈 엘엘씨 Gas Delivery Systems for High Pressure Processing Chambers
WO2019099125A1 (en) 2017-11-16 2019-05-23 Applied Materials, Inc. High pressure steam anneal processing apparatus
JP2021503714A (en) 2017-11-17 2021-02-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Capacitor system for high pressure processing system
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
JP7214724B2 (en) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. Storage device for storing wafer cassettes used in batch furnaces
EP3728692A4 (en) 2017-12-18 2021-09-15 Entegris, Inc. Chemical resistant multi-layer coatings applied by atomic layer deposition
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (en) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 Deposition method
CN111699549A (en) 2018-01-24 2020-09-22 应用材料公司 Seam closure using high pressure annealing
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (en) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 Method for depositing ruthenium-containing films on substrates by cyclical deposition processes
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11087961B2 (en) * 2018-03-02 2021-08-10 Lam Research Corporation Quartz component with protective coating
KR20230079236A (en) 2018-03-09 2023-06-05 어플라이드 머티어리얼스, 인코포레이티드 High pressure annealing process for metal containing materials
EP3778475A4 (en) * 2018-03-26 2021-11-24 Suzhou Jernano Carbon Co., Ltd. Carbon nanotube preparation system
WO2019186637A1 (en) * 2018-03-26 2019-10-03 株式会社Kokusai Electric Method for producing semiconductor device, substrate processing apparatus, and program
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10714331B2 (en) 2018-04-04 2020-07-14 Applied Materials, Inc. Method to fabricate thermally stable low K-FinFET spacer
US10443126B1 (en) * 2018-04-06 2019-10-15 Applied Materials, Inc. Zone-controlled rare-earth oxide ALD and CVD coatings
CN108417620B (en) * 2018-04-20 2021-06-15 华南理工大学 Oxide insulator thin film and thin film transistor
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US10566188B2 (en) 2018-05-17 2020-02-18 Applied Materials, Inc. Method to improve film stability
US20190352781A1 (en) * 2018-05-17 2019-11-21 Hamilton Sundstrand Corporation Corrosion barrier
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US10704141B2 (en) 2018-06-01 2020-07-07 Applied Materials, Inc. In-situ CVD and ALD coating of chamber to control metal contamination
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
CN112292478A (en) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 Cyclic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
KR20210027265A (en) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. Periodic deposition method for forming metal-containing material and film and structure comprising metal-containing material
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11667575B2 (en) 2018-07-18 2023-06-06 Applied Materials, Inc. Erosion resistant metal oxide coatings
US20200024735A1 (en) * 2018-07-18 2020-01-23 Applied Materials, Inc. Erosion resistant metal fluoride coatings deposited by atomic layer deposition
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US10675581B2 (en) 2018-08-06 2020-06-09 Applied Materials, Inc. Gas abatement apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
JP7058575B2 (en) * 2018-09-12 2022-04-22 株式会社Kokusai Electric Semiconductor device manufacturing methods, substrate processing methods, substrate processing equipment, and programs
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
US20200131634A1 (en) * 2018-10-26 2020-04-30 Asm Ip Holding B.V. High temperature coatings for a preclean and etch apparatus and related methods
WO2020092002A1 (en) 2018-10-30 2020-05-07 Applied Materials, Inc. Methods for etching a structure for semiconductor applications
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
JP2022507390A (en) 2018-11-16 2022-01-18 アプライド マテリアルズ インコーポレイテッド Membrane deposition using enhanced diffusion process
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (en) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 Method of forming device structure, structure formed by the method and system for performing the method
CN111364027A (en) * 2018-12-25 2020-07-03 广东聚华印刷显示技术有限公司 Atomic layer deposition chamber component, preparation method thereof and atomic layer deposition equipment
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
TW202104632A (en) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102638425B1 (en) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. Method and apparatus for filling a recess formed within a substrate surface
TW202100794A (en) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus and method for processing substrate
US11390947B2 (en) * 2019-03-04 2022-07-19 Applied Materials, Inc. Method of forming a fluorinated metal film
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
KR20200108248A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. STRUCTURE INCLUDING SiOCN LAYER AND METHOD OF FORMING SAME
US10858741B2 (en) 2019-03-11 2020-12-08 Applied Materials, Inc. Plasma resistant multi-layer architecture for high aspect ratio parts
JP2020167398A (en) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
WO2020214536A1 (en) * 2019-04-16 2020-10-22 Lam Research Corporation Surface coating treatment
KR20200123380A (en) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
KR20200141002A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Method of using a gas-phase reactor system including analyzing exhausted gas
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
KR20210006229A (en) * 2019-07-08 2021-01-18 주성엔지니어링(주) The chamber cleaning method of the substrate processing apparatus
JP2021015791A (en) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. Plasma device and substrate processing method using coaxial waveguide
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202113936A (en) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (en) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. Liquid level sensor for a chemical source vessel
FI4013905T3 (en) 2019-08-12 2023-05-19 Kurt J Lesker Company Ultra high purity conditions for atomic scale processing
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112553598B (en) * 2019-09-25 2023-03-28 中微半导体设备(上海)股份有限公司 Method for enhancing repair of anodic oxidation coating of etching equipment component by using ALD (atomic layer deposition) technology
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
TW202129060A (en) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 Substrate processing device, and substrate processing method
KR20210042810A (en) * 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. Reactor system including a gas distribution assembly for use with activated species and method of using same
TW202115273A (en) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 Method of forming a photoresist underlayer and structure including same
KR20210045930A (en) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. Method of Topology-Selective Film Formation of Silicon Oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
WO2021119000A1 (en) * 2019-12-09 2021-06-17 Entegris, Inc. Diffusion barriers made from multiple barrier materials, and related articles and methods
CN112992667A (en) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 Method of forming vanadium nitride layer and structure including vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (en) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
KR20210100010A (en) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (en) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method for growing phosphorous-doped silicon layer and system of the same
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
KR20210116249A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. lockout tagout assembly and system and method of using same
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
CN113394086A (en) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 Method for producing a layer structure having a target topological profile
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11658014B2 (en) * 2020-04-11 2023-05-23 Applied Materials, Inc. Apparatuses and methods of protecting nickel and nickel containing components with thin films
EP4136272A1 (en) * 2020-04-14 2023-02-22 Entegris, Inc. Yttrium fluoride films and methods of preparing and using yttrium fluoride films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
CN211972444U (en) * 2020-04-23 2020-11-20 京东方科技集团股份有限公司 Flow guider and plasma chemical vapor deposition equipment
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
KR20210132576A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Method of forming vanadium nitride-containing layer and structure comprising the same
TW202146831A (en) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Vertical batch furnace assembly, and method for cooling vertical batch furnace
KR20210134226A (en) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. Solid source precursor vessel
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
KR20210143653A (en) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
KR20220010438A (en) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) * 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
TW202217037A (en) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
TW202235675A (en) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 Injector, and substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
FI130562B (en) * 2021-05-21 2023-11-21 Picosun Oy Plasma resistant coating, related production method and uses
KR20230012675A (en) 2021-07-16 2023-01-26 (주)위지트 Atomic Layer Deposition Methods for Semiconductor Process Chamber Components
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
KR102581079B1 (en) 2022-10-21 2023-09-21 (주)위지트 Atomic Layer Deposition Methods for Semiconductor Process Chamber Component

Family Cites Families (112)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03115535A (en) 1989-09-28 1991-05-16 Nippon Mining Co Ltd Method for decreasing oxygen in rare earth metal
US5805973A (en) 1991-03-25 1998-09-08 General Electric Company Coated articles and method for the prevention of fuel thermal degradation deposits
US5630314A (en) 1992-09-10 1997-05-20 Hitachi, Ltd. Thermal stress relaxation type ceramic coated heat-resistant element
JP3362113B2 (en) 1997-07-15 2003-01-07 日本碍子株式会社 Corrosion-resistant member, wafer mounting member, and method of manufacturing corrosion-resistant member
JP3510993B2 (en) 1999-12-10 2004-03-29 トーカロ株式会社 Plasma processing container inner member and method for manufacturing the same
FI117979B (en) 2000-04-14 2007-05-15 Asm Int Process for making oxide thin films
US6685991B2 (en) 2000-07-31 2004-02-03 Shin-Etsu Chemical Co., Ltd. Method for formation of thermal-spray coating layer of rare earth fluoride
US6660660B2 (en) 2000-10-10 2003-12-09 Asm International, Nv. Methods for making a dielectric stack in an integrated circuit
US7371633B2 (en) 2001-02-02 2008-05-13 Samsung Electronics Co., Ltd. Dielectric layer for semiconductor device and method of manufacturing the same
EP1239055B1 (en) 2001-03-08 2017-03-01 Shin-Etsu Chemical Co., Ltd. Thermal spray spherical particles, and sprayed components
JP2002356387A (en) 2001-03-30 2002-12-13 Toshiba Ceramics Co Ltd Plasma proof member
US7670688B2 (en) 2001-06-25 2010-03-02 Applied Materials, Inc. Erosion-resistant components for plasma process chambers
JP4277973B2 (en) 2001-07-19 2009-06-10 日本碍子株式会社 Yttria-alumina composite oxide film production method, yttria-alumina composite oxide film, and corrosion-resistant member
JP4921652B2 (en) 2001-08-03 2012-04-25 エイエスエム インターナショナル エヌ.ヴェー. Method for depositing yttrium oxide and lanthanum oxide thin films
US20030029563A1 (en) * 2001-08-10 2003-02-13 Applied Materials, Inc. Corrosion resistant coating for semiconductor processing chamber
US7371467B2 (en) * 2002-01-08 2008-05-13 Applied Materials, Inc. Process chamber component having electroplated yttrium containing coating
US6942929B2 (en) 2002-01-08 2005-09-13 Nianci Han Process chamber having component with yttrium-aluminum coating
US20080213496A1 (en) 2002-02-14 2008-09-04 Applied Materials, Inc. Method of coating semiconductor processing apparatus with protective yttrium-containing coatings
JP2003277051A (en) 2002-03-22 2003-10-02 Ngk Insulators Ltd Multilayer body having yttria - alumina compound oxide film, yttria - alumina compound oxide film, corrosion- resistant member, corrosion-resistant film and method for manufacturing yttria - alumina compound oxide film
WO2003089682A1 (en) 2002-04-19 2003-10-30 Mattson Technology, Inc. System for depositing a film onto a substrate using a low vapor pressure gas precursor
EP1386979B1 (en) 2002-08-02 2008-03-05 Fujikura Ltd. Method of producing polycrystalline thin film and method of producing an oxide superconducting element
CN1249789C (en) * 2002-11-28 2006-04-05 东京毅力科创株式会社 Plasma processing container internal parts
CN100495413C (en) 2003-03-31 2009-06-03 东京毅力科创株式会社 A method for adjoining adjacent coatings on a processing element
US20050037536A1 (en) 2003-08-12 2005-02-17 Szu Yu Lai Semiconductor packaging structure and method for forming the same
CN1288108C (en) 2003-10-24 2006-12-06 东芝陶瓷股份有限会社 Anti-plasma member,its producing method and method for forming heat spraying coating
US7220497B2 (en) 2003-12-18 2007-05-22 Lam Research Corporation Yttria-coated ceramic components of semiconductor material processing apparatuses and methods of manufacturing the components
JP4606121B2 (en) 2004-01-29 2011-01-05 京セラ株式会社 Corrosion-resistant film laminated corrosion-resistant member and manufacturing method thereof
JP4722501B2 (en) 2004-01-29 2011-07-13 三星電子株式会社 Multilayer dielectric structure for semiconductor device, semiconductor, and manufacturing method thereof
JP4483364B2 (en) 2004-03-24 2010-06-16 ソニー株式会社 Manufacturing method of semiconductor device
US7119032B2 (en) 2004-08-23 2006-10-10 Air Products And Chemicals, Inc. Method to protect internal components of semiconductor processing equipment using layered superlattice materials
JP2006082474A (en) 2004-09-17 2006-03-30 Tosoh Corp Resin member
JP2006186306A (en) 2004-09-30 2006-07-13 Toshiba Ceramics Co Ltd Gas diffusion plate and manufacturing method thereof
KR101226120B1 (en) 2004-10-26 2013-01-24 쿄세라 코포레이션 Corrosion resistance member, and method for manufacturing the same
KR20060098936A (en) 2005-03-09 2006-09-19 삼성에스디아이 주식회사 Plasma display panel
US8124240B2 (en) 2005-06-17 2012-02-28 Tohoku University Protective film structure of metal member, metal component employing protective film structure, and equipment for producing semiconductor or flat-plate display employing protective film structure
JP5028755B2 (en) 2005-06-23 2012-09-19 東京エレクトロン株式会社 Surface treatment method for semiconductor processing equipment
US20090194233A1 (en) * 2005-06-23 2009-08-06 Tokyo Electron Limited Component for semicondutor processing apparatus and manufacturing method thereof
US7968205B2 (en) 2005-10-21 2011-06-28 Shin-Etsu Chemical Co., Ltd. Corrosion resistant multilayer member
JP2007217782A (en) 2006-02-20 2007-08-30 Showa Denko Kk Corrosion-resistant film having fluoride film of rare earth element, and its manufacturing method
US20070237697A1 (en) 2006-03-31 2007-10-11 Tokyo Electron Limited Method of forming mixed rare earth oxide and aluminate films by atomic layer deposition
US8440049B2 (en) * 2006-05-03 2013-05-14 Applied Materials, Inc. Apparatus for etching high aspect ratio features
JP4546447B2 (en) 2006-12-22 2010-09-15 トーカロ株式会社 Thermal spray coating coated member having excellent plasma erosion resistance and method for producing the same
JP5252613B2 (en) 2006-12-25 2013-07-31 国立大学法人東北大学 Ion implantation apparatus and ion implantation method
TW200840880A (en) 2007-04-13 2008-10-16 Hsin-Chih Lin Method of forming protection layer on contour of workpiece
US7696117B2 (en) 2007-04-27 2010-04-13 Applied Materials, Inc. Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
US10622194B2 (en) 2007-04-27 2020-04-14 Applied Materials, Inc. Bulk sintered solid solution ceramic which exhibits fracture toughness and halogen plasma resistance
CN101418435A (en) 2007-10-26 2009-04-29 林新智 Method for forming protective layer on contour of work piece
US8206829B2 (en) * 2008-11-10 2012-06-26 Applied Materials, Inc. Plasma resistant coatings for plasma chamber components
US8858745B2 (en) 2008-11-12 2014-10-14 Applied Materials, Inc. Corrosion-resistant bonding agents for bonding ceramic components which are exposed to plasmas
US9017765B2 (en) 2008-11-12 2015-04-28 Applied Materials, Inc. Protective coatings resistant to reactive plasma processing
TW201100578A (en) 2009-06-19 2011-01-01 Saint Gobain Ceramics & Plastics Inc Sealed plasma coatings
JP5270476B2 (en) * 2009-07-07 2013-08-21 株式会社日立国際電気 Semiconductor device manufacturing method and substrate processing apparatus
CN102414801A (en) 2009-08-27 2012-04-11 应用材料公司 Method of decontamination of process chamber after in-situ chamber clean
FI20095947A0 (en) 2009-09-14 2009-09-14 Beneq Oy Multilayer Coating, Process for Manufacturing a Multilayer Coating, and Uses for the Same
KR20110037282A (en) 2009-10-06 2011-04-13 (주)티티에스 Member for substrate processing apparatus and mehtod for manufactruing the same and substrate processing apparatus
TW201129719A (en) 2009-10-20 2011-09-01 Saint Gobain Ceramics Microelectronic processing component having corrosion-resistant layer, microelectronic workpiece processing apparatus incorporating same, and method of forming an article having the corrosion-resistant layer
DE102010015470A1 (en) 2010-04-16 2011-10-20 Forschungszentrum Jülich GmbH Process for the internal coating of functional layers with a tempering material
TW201209957A (en) 2010-05-28 2012-03-01 Praxair Technology Inc Substrate supports for semiconductor applications
JP2012059834A (en) 2010-09-07 2012-03-22 Hitachi Kokusai Electric Inc Method for manufacturing semiconductor device
US8916021B2 (en) 2010-10-27 2014-12-23 Applied Materials, Inc. Electrostatic chuck and showerhead with enhanced thermal properties and methods of making thereof
JP5761784B2 (en) 2011-01-31 2015-08-12 ダイハツ工業株式会社 Oil seal press-fitting method
KR20130025025A (en) 2011-09-01 2013-03-11 주식회사 코미코 Electrostatic chuck
US8288297B1 (en) 2011-09-01 2012-10-16 Intermolecular, Inc. Atomic layer deposition of metal oxide materials for memory applications
US20130064973A1 (en) 2011-09-09 2013-03-14 Taiwan Semiconductor Manufacturing Company, Ltd. Chamber Conditioning Method
US9212099B2 (en) 2012-02-22 2015-12-15 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
US9090046B2 (en) 2012-04-16 2015-07-28 Applied Materials, Inc. Ceramic coated article and process for applying ceramic coating
US9394615B2 (en) 2012-04-27 2016-07-19 Applied Materials, Inc. Plasma resistant ceramic coated conductive article
US9023427B2 (en) 2012-05-16 2015-05-05 Asm Ip Holding B.V. Methods for forming multi-component thin films
KR101637801B1 (en) 2012-05-22 2016-07-07 가부시끼가이샤 도시바 Component for plasma processing apparatus, and method for manufacturing component for plasma processing apparatus
US9343289B2 (en) 2012-07-27 2016-05-17 Applied Materials, Inc. Chemistry compatible coating material for advanced device on-wafer particle performance
CN103794460B (en) 2012-10-29 2016-12-21 中微半导体设备(上海)有限公司 The coating improved for performance of semiconductor devices
CN103794445B (en) 2012-10-29 2016-03-16 中微半导体设备(上海)有限公司 For electrostatic chuck assembly and the manufacture method of plasma process chamber
CN103794458B (en) 2012-10-29 2016-12-21 中微半导体设备(上海)有限公司 For the parts within plasma process chamber and manufacture method
US9685356B2 (en) 2012-12-11 2017-06-20 Applied Materials, Inc. Substrate support assembly having metal bonded protective layer
CN103215535B (en) 2013-04-16 2014-10-22 中国科学院金属研究所 Method for preparing protective coating layer for surface of plasma etched cavity
US9865434B2 (en) 2013-06-05 2018-01-09 Applied Materials, Inc. Rare-earth oxide based erosion resistant coatings for semiconductor application
US9850568B2 (en) 2013-06-20 2017-12-26 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US9711334B2 (en) 2013-07-19 2017-07-18 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based thin film coatings on process rings
US9583369B2 (en) 2013-07-20 2017-02-28 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles
US9624593B2 (en) 2013-08-29 2017-04-18 Applied Materials, Inc. Anodization architecture for electro-plate adhesion
US9440886B2 (en) 2013-11-12 2016-09-13 Applied Materials, Inc. Rare-earth oxide based monolithic chamber material
US9725799B2 (en) 2013-12-06 2017-08-08 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
CN104715993B (en) 2013-12-13 2017-02-22 中微半导体设备(上海)有限公司 Plasma processing cavity, gas spraying head and manufacturing method thereof
US9975320B2 (en) 2014-01-13 2018-05-22 Applied Materials, Inc. Diffusion bonded plasma resisted chemical vapor deposition (CVD) chamber heater
TWI663681B (en) 2014-02-07 2019-06-21 美商恩特葛瑞斯股份有限公司 Electrostatic chuck and method of making same
WO2015151857A1 (en) 2014-03-31 2015-10-08 株式会社東芝 Plasma-resistant component, method for manufacturing plasma-resistant component, and film deposition device used to manufacture plasma-resistant component
US20150311043A1 (en) 2014-04-25 2015-10-29 Applied Materials, Inc. Chamber component with fluorinated thin film coating
US9976211B2 (en) 2014-04-25 2018-05-22 Applied Materials, Inc. Plasma erosion resistant thin film coating for high temperature application
US9869013B2 (en) 2014-04-25 2018-01-16 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
US10730798B2 (en) 2014-05-07 2020-08-04 Applied Materials, Inc. Slurry plasma spray of plasma resistant ceramic coating
US10196728B2 (en) 2014-05-16 2019-02-05 Applied Materials, Inc. Plasma spray coating design using phase and stress control
CN105088141A (en) 2014-05-23 2015-11-25 中微半导体设备(上海)有限公司 Inductive coupling type plasma processing chamber, anti-corrosion insulation window of inductive coupling type plasma processing chamber and manufacturing method of anti-corrosion insulation window
US9551070B2 (en) 2014-05-30 2017-01-24 Applied Materials, Inc. In-situ corrosion resistant substrate support coating
CN105225997B (en) 2014-06-12 2018-01-23 中微半导体设备(上海)有限公司 A kind of manufacture method of electrostatic chuck and electrostatic chuck
US9790581B2 (en) 2014-06-25 2017-10-17 Fm Industries, Inc. Emissivity controlled coatings for semiconductor chamber components
KR20160030812A (en) 2014-09-11 2016-03-21 삼성전자주식회사 plasma processing equipment
CN105428195B (en) 2014-09-17 2018-07-17 东京毅力科创株式会社 The component of plasma processing apparatus and the manufacturing method of component
US10141582B2 (en) 2014-12-22 2018-11-27 Sonata Scientific LLC SOFC interconnect barriers and methods of making same
CN111593324A (en) 2015-02-13 2020-08-28 恩特格里斯公司 Porous matrix filter and method of making same
US20160254125A1 (en) 2015-02-27 2016-09-01 Lam Research Corporation Method for coating surfaces
WO2016148739A1 (en) 2015-03-18 2016-09-22 Entegris, Inc. Articles coated with fluoro-annealed films
US9828672B2 (en) 2015-03-26 2017-11-28 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
US9790582B2 (en) 2015-04-27 2017-10-17 Lam Research Corporation Long lifetime thermal spray coating for etching or deposition chamber application
US20160358749A1 (en) 2015-06-04 2016-12-08 Lam Research Corporation Plasma etching device with plasma etch resistant coating
US20160379806A1 (en) 2015-06-25 2016-12-29 Lam Research Corporation Use of plasma-resistant atomic layer deposition coatings to extend the lifetime of polymer components in etch chambers
US20160375515A1 (en) 2015-06-29 2016-12-29 Lam Research Corporation Use of atomic layer deposition coatings to protect brazing line against corrosion, erosion, and arcing
US9842054B2 (en) 2015-07-08 2017-12-12 Hon Hai Precision Industry Co., Ltd. Computing device and method for processing data in cache memory of the computing device
KR101916872B1 (en) 2015-10-15 2018-11-08 아이원스 주식회사 Method for restoring coating layer of semiconductor process equipment component and semiconductor process equipment component thereof
US9972520B2 (en) 2015-11-17 2018-05-15 National Chung-Shan Institute Of Science And Technology Aluminum nitride electrostatic chuck used in high temperature and high plasma power density semiconductor manufacturing process
US11326253B2 (en) 2016-04-27 2022-05-10 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
US9850573B1 (en) 2016-06-23 2017-12-26 Applied Materials, Inc. Non-line of sight deposition of erbium based plasma resistant ceramic coating
US10186400B2 (en) 2017-01-20 2019-01-22 Applied Materials, Inc. Multi-layer plasma resistant coating by atomic layer deposition

Cited By (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11920239B2 (en) 2015-03-26 2024-03-05 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
US10676819B2 (en) * 2016-06-23 2020-06-09 Applied Materials, Inc. Non-line of sight deposition of erbium based plasma resistant ceramic coating
US20180094348A1 (en) * 2016-06-23 2018-04-05 Applied Materials, Inc. Non-Line of Sight Deposition of Erbium Based Plasma Resistant Ceramic Coating
US11694912B2 (en) 2017-08-18 2023-07-04 Applied Materials, Inc. High pressure and high temperature anneal chamber
US11761079B2 (en) 2017-12-07 2023-09-19 Lam Research Corporation Oxidation resistant protective layer in chamber conditioning
US11365479B2 (en) * 2017-12-15 2022-06-21 Lam Research Corporation Ex situ coating of chamber components for semiconductor processing
US11384648B2 (en) 2018-03-19 2022-07-12 Applied Materials, Inc. Methods for depositing coatings on aerospace components
US11028480B2 (en) 2018-03-19 2021-06-08 Applied Materials, Inc. Methods of protecting metallic components against corrosion using chromium-containing thin films
US11603767B2 (en) 2018-03-19 2023-03-14 Applied Materials, Inc. Methods of protecting metallic components against corrosion using chromium-containing thin films
US11560804B2 (en) 2018-03-19 2023-01-24 Applied Materials, Inc. Methods for depositing coatings on aerospace components
US11753727B2 (en) 2018-04-27 2023-09-12 Applied Materials, Inc. Protection of components from corrosion
US11753726B2 (en) 2018-04-27 2023-09-12 Applied Materials, Inc. Protection of components from corrosion
US11761094B2 (en) 2018-04-27 2023-09-19 Applied Materials, Inc. Protection of components from corrosion
US11015252B2 (en) 2018-04-27 2021-05-25 Applied Materials, Inc. Protection of components from corrosion
US11009339B2 (en) 2018-08-23 2021-05-18 Applied Materials, Inc. Measurement of thickness of thermal barrier coatings using 3D imaging and surface subtraction methods for objects with complex geometries
US11732353B2 (en) 2019-04-26 2023-08-22 Applied Materials, Inc. Methods of protecting aerospace components against corrosion and oxidation
US11794382B2 (en) 2019-05-16 2023-10-24 Applied Materials, Inc. Methods for depositing anti-coking protective coatings on aerospace components
US11697879B2 (en) 2019-06-14 2023-07-11 Applied Materials, Inc. Methods for depositing sacrificial coatings on aerospace components
US11466364B2 (en) 2019-09-06 2022-10-11 Applied Materials, Inc. Methods for forming protective coatings containing crystallized aluminum oxide
WO2021081219A1 (en) * 2019-10-23 2021-04-29 Applied Materials, Inc. Hafnium aluminum oxide coatings deposited by atomic layer deposition
US11661650B2 (en) * 2020-04-10 2023-05-30 Applied Materials, Inc. Yttrium oxide based coating composition
US11920234B2 (en) 2020-04-10 2024-03-05 Applied Materials, Inc. Yttrium oxide based coating composition
US11519066B2 (en) 2020-05-21 2022-12-06 Applied Materials, Inc. Nitride protective coatings on aerospace components and methods for making the same
US11739429B2 (en) 2020-07-03 2023-08-29 Applied Materials, Inc. Methods for refurbishing aerospace components
CN113913783A (en) * 2020-07-09 2022-01-11 恩特格里斯公司 Coatings containing fluorinated yttria and metal oxides and methods of making and using the same
WO2022011165A1 (en) * 2020-07-09 2022-01-13 Entegris, Inc. Coatings that contain fluorinated yttrium oxide and a metal oxide, and methods of preparing and using the coatings
WO2022055124A1 (en) * 2020-09-10 2022-03-17 주식회사 테스 Method for protecting apparatus from etching substances and method for forming oxide film
WO2024006393A1 (en) * 2022-06-28 2024-01-04 Entegris, Inc. Modules for delivery systems and related methods

Also Published As

Publication number Publication date
JP2020097788A (en) 2020-06-25
JP6956212B2 (en) 2021-11-02
CN110230038B (en) 2021-11-19
TWI723242B (en) 2021-04-01
TW201812846A (en) 2018-04-01
KR102329708B1 (en) 2021-11-19
TW201812075A (en) 2018-04-01
KR20180006990A (en) 2018-01-19
JP2022176952A (en) 2022-11-30
CN108179401A (en) 2018-06-19
TWM556402U (en) 2018-03-01
US11326253B2 (en) 2022-05-10
JP7125251B2 (en) 2022-08-24
CN110230038A (en) 2019-09-13
KR20170122674A (en) 2017-11-06
KR20190057252A (en) 2019-05-28
KR102230128B1 (en) 2021-03-18
CN108179401B (en) 2020-11-13
JP2017199907A (en) 2017-11-02
JP2018082201A (en) 2018-05-24
US20170314125A1 (en) 2017-11-02
US11198937B2 (en) 2021-12-14
CN207193391U (en) 2018-04-06
TWI727037B (en) 2021-05-11
CN107313027B (en) 2020-12-22
TW201947634A (en) 2019-12-16
TWI734124B (en) 2021-07-21
US11198936B2 (en) 2021-12-14
JP7454612B2 (en) 2024-03-22
US20180105932A1 (en) 2018-04-19
US20220235458A1 (en) 2022-07-28
CN107313027A (en) 2017-11-03

Similar Documents

Publication Publication Date Title
US11198937B2 (en) Atomic layer deposition of protective coatings for semiconductor process chamber components
US10676819B2 (en) Non-line of sight deposition of erbium based plasma resistant ceramic coating
US20180112311A1 (en) Multi-layer coating with diffusion barrier layer and erosion resistant layer
CN110735128B (en) Erosion resistant metal fluoride coatings deposited by atomic layer deposition
JP3224064U (en) Erosion-resistant metal oxide coatings deposited by atomic layer deposition
JP3224084U (en) Erosion resistant metal fluoride coatings deposited by atomic layer deposition

Legal Events

Date Code Title Description
FEPP Fee payment procedure

Free format text: ENTITY STATUS SET TO UNDISCOUNTED (ORIGINAL EVENT CODE: BIG.); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

STPP Information on status: patent application and granting procedure in general

Free format text: DOCKETED NEW CASE - READY FOR EXAMINATION

AS Assignment

Owner name: APPLIED MATERIALS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:FENWICK, DAVID;SUN, JENNIFER Y.;REEL/FRAME:050052/0577

Effective date: 20170320

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: FINAL REJECTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE AFTER FINAL ACTION FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: ADVISORY ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: DOCKETED NEW CASE - READY FOR EXAMINATION

STPP Information on status: patent application and granting procedure in general

Free format text: NOTICE OF ALLOWANCE MAILED -- APPLICATION RECEIVED IN OFFICE OF PUBLICATIONS

STPP Information on status: patent application and granting procedure in general

Free format text: DOCKETED NEW CASE - READY FOR EXAMINATION

STPP Information on status: patent application and granting procedure in general

Free format text: NOTICE OF ALLOWANCE MAILED -- APPLICATION RECEIVED IN OFFICE OF PUBLICATIONS

STPP Information on status: patent application and granting procedure in general

Free format text: PUBLICATIONS -- ISSUE FEE PAYMENT VERIFIED

STCF Information on status: patent grant

Free format text: PATENTED CASE