JP7454612B2 - 半導体処理チャンバコンポーネント用の保護コーティングの原子層堆積 - Google Patents

半導体処理チャンバコンポーネント用の保護コーティングの原子層堆積 Download PDF

Info

Publication number
JP7454612B2
JP7454612B2 JP2022128697A JP2022128697A JP7454612B2 JP 7454612 B2 JP7454612 B2 JP 7454612B2 JP 2022128697 A JP2022128697 A JP 2022128697A JP 2022128697 A JP2022128697 A JP 2022128697A JP 7454612 B2 JP7454612 B2 JP 7454612B2
Authority
JP
Japan
Prior art keywords
layer
yttrium
zirconium
tris
chamber component
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2022128697A
Other languages
English (en)
Other versions
JP2022176952A (ja
Inventor
フェンウィック デイビット
ワイ サン ジェニファー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2022176952A publication Critical patent/JP2022176952A/ja
Application granted granted Critical
Publication of JP7454612B2 publication Critical patent/JP7454612B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/403Oxides of aluminium, magnesium or beryllium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45529Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making a layer stack of alternating different compositions or gradient compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/04Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material
    • C23C28/042Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material including a refractory ceramic layer, e.g. refractory metal oxides, ZrO2, rare earth oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Other Surface Treatments For Metallic Materials (AREA)

Description

関連出願
本出願は、2016年4月27日に出願された係属中の米国仮特許出願第62/328
,588号に基づく優先権を主張し、参照により本明細書に援用される。
本開示の実施形態は、原子層堆積(ALD)を使用して半導体処理チャンバコンポーネ
ント用の保護コーティングを調製するための方法、多成分保護コーティング、及び多成分
保護コーティングでコーティングされた半導体処理チャンバコンポーネントに関する。
背景
様々な製造プロセスは、高温、高エネルギープラズマ、腐食性ガスの混合物、高ストレ
ス、及びそれらの組み合わせに半導体処理チャンバコンポーネントを曝露させる。これら
の極端な条件は、チャンバコンポーネントを腐食させ、チャンバコンポーネントを浸食さ
せ、チャンバコンポーネントの欠陥に対する感受性を増加させる可能性がある。このよう
な極端な環境において、これらの欠陥を低減し、コンポーネントの耐腐食性及び/又は耐
浸食性を改善することが望ましい。保護コーティングで半導体処理チャンバコンポーネン
トをコーティングすることは、欠陥を低減し、それらの耐久性を延ばすのに有効な方法で
ある。
保護コーティング膜は、典型的には、様々な方法(例えば、熱溶射、スパッタリング、
又は蒸発技術)によってチャンバコンポーネント上に堆積される。これらの技術では、蒸
発源に直接曝露されない(例えば、材料源の視線内にない)チャンバコンポーネントの表
面は、蒸発源に直接曝露される面よりも著しく薄い膜、低品質膜、低密度膜のいずれかで
コーティングされるか、又は全くコーティングされない。
概要
本発明のいくつかの実施形態は、半導体処理チャンバコンポーネント上に多成分コーテ
ィング組成物を形成する方法を網羅する。本方法は、半導体処理チャンバコンポーネント
の表面上に、酸化イットリウム又はフッ化イットリウムの第1の膜層を堆積させる工程を
含み、第1の膜層は、原子層堆積プロセスを用いて少なくとも2つの前駆体から成長され
る。本方法は、追加の酸化物又は追加のフッ化物の第2の膜層を半導体処理チャンバコン
ポーネントの表面上に堆積させる工程を更に含み、第2の膜層は、原子層堆積プロセスを
用いて少なくとも2つの追加の前駆体から成長される。本方法は、第1の膜層及び第2の
膜層を含む多成分組成物を形成する工程を更に含む。いくつかの実施形態では、本方法は
、酸化アルミニウム又は酸化ジルコニウムを含む少なくとも1つの追加の膜層を堆積させ
る工程を更に含むことができ、少なくとも1つの追加の膜層は、原子層堆積プロセスを用
いて少なくとも2つの追加の前駆体から成長される。
いくつかの実施形態では、本発明は、コーティングされた半導体処理チャンバコンポー
ネントを網羅する。コーティングされた半導体処理チャンバコンポーネントは、表面を有
する半導体処理チャンバコンポーネントと、表面上にコーティングされた多成分コーティ
ングとを含むことができる。特定の実施形態では、多成分コーティングは、原子層堆積プ
ロセスを用いて表面上にコーティングされた酸化イットリウム又はフッ化イットリウムの
少なくとも1つの第1の膜層と、原子層堆積プロセスを用いて表面上にコーティングされ
た追加の酸化物又は追加のフッ化物の少なくとも1つの第2の膜層とを含むことができる
。いくつかの実施形態では、多成分コーティングは、原子層堆積プロセスを用いて表面上
にコーティングされた酸化アルミニウム又は酸化ジルコニウムを含む少なくとも1つの追
加の膜層を更に含むことができる。
いくつかの実施形態では、本発明は、半導体処理チャンバコンポーネントの表面用の多
成分コーティング組成物を網羅する。多成分コーティング組成物は、原子層堆積プロセス
を用いて半導体処理チャンバコンポーネントの表面上にコーティングされた酸化イットリ
ウム又はフッ化イットリウムの少なくとも1つの第1の膜層と、原子層堆積プロセスを用
いて半導体処理チャンバコンポーネントの表面上にコーティングされた追加の酸化物又は
追加のフッ化物の少なくとも1つの第2の膜層とを含むことができる。多成分コーティン
グ組成物は、YO、YAl、YZr、及びYZrAlからな
る群から選択することができる。
本開示は、同じ参照符号が同様の要素を示す添付図面の図において、限定としてではな
く例として示されている。本開示における「1つの」又は「一」実施形態への異なる参照
は、必ずしも同じ実施形態への参照ではなく、そのような参照は少なくとも1つを意味す
ることが留意されるべきである。
処理チャンバの一実施形態の断面図を示す。 様々な原子層堆積技術に係る堆積プロセスを示す。 一実施形態に係る、半導体処理チャンバコンポーネント上に多成分コーティングを形成する方法を示す。 一実施形態に係る、半導体処理チャンバコンポーネント上に多成分コーティングを形成する方法を示す。 異なる実施形態に係る多成分コーティング組成物の変形を示す。 一実施形態に係るコーティングされたチャンバコンポーネント(シャワーヘッド)を示す。 一実施形態に従ってコーティングされた大きなアスペクト比を有するガス導管の拡大図を示す。 コーティングされたまま及びアニーリング後のAlとYの交互層のスタックのX線回折パターンを示すグラフである。
実施形態の詳細な説明
実施形態は、原子層堆積(単原子層堆積又はALDとも呼ばれる)を使用して堆積され
た複数の層を含む多成分コーティングを参照して本明細書に記載される。各成分は、コー
ティングの1以上の層に含まれる構成材料とすることができる。多成分コーティングの一
例は、イットリウムの第1成分と酸素の第2成分とを含むコーティング(例えば、イット
リア(Y))である。別の一例では、多成分コーティングは、Yの第1成分
及びYFの第2成分を含むことができる。第1及び第2成分は、多成分コーティングの
異なる層に配置されてもよい。いくつかの実施形態では、処理(例えば、アニーリング)
の後、多成分コーティングの複数の層が相互拡散して、異なる層の構成材料を含む均質な
又はほぼ均質なコーティングを形成することができる。例えば、異なる層からの複数の成
分は、第1の膜層及び第2の膜層の固体状態相を形成することができる。更なる一例では
、Y層とYF層の交互スタックが相互拡散して、酸フッ化イットリウムの固体状
態相を形成することができる。多成分コーティングは、複数の異なる酸化物、複数の異な
るフッ化物、又は相互拡散している又は相互拡散していない1以上の酸化物と1以上のフ
ッ化物との組み合わせを有するコーティングとすることができる。代替的に又は追加的に
、多成分コーティングは、金属と酸素、金属とフッ素、金属と酸素とフッ素、又は酸素及
びフッ素のうちの1以上を有する複数の金属の混合物を有するコーティングとすることが
できる。
図1は、本発明の実施形態に係る多成分コーティングでコーティングされた1以上のチ
ャンバコンポーネントを有する半導体処理チャンバ100の断面図である。処理チャンバ
100は、プラズマ処理条件を有する腐食性プラズマ環境が提供される処理に対して使用
することができる。例えば、処理チャンバ100は、プラズマエッチング装置又はプラズ
マエッチング反応器、プラズマ洗浄装置などのためのチャンバとすることができる。多成
分コーティングを含むことができるチャンバコンポーネントの例には、複雑な形状及び大
きなアスペクト比を有する孔を有するチャンバコンポーネントが含まれる。いくつかの例
示的なチャンバコンポーネントは、基板支持アセンブリ148、静電チャック(ESC)
、リング(例えば、プロセスキットリング又は単一リング)、チャンバ壁、ベース、ガス
分配板、シャワーヘッド130、ガスライン、ノズル、蓋、ライナー、ライナーキット、
シールド、プラズマスクリーン、フローイコライザー、冷却ベース、チャンバビューポー
ト、チャンバ蓋などを含む。以下でより詳細に説明される多成分コーティングは、ALD
プロセスを用いて施される。図2を参照してより詳細に説明されるALDは、複雑な形状
及び大きなアスペクト比を有する孔を有するコンポーネントを含む全てのタイプのコンポ
ーネントに比較的均一な厚さのコンフォーマルなコーティングを施すことができる。
多成分コーティングは、酸化物系セラミックス、窒化物系セラミックス、及び炭化物系
セラミックスを含む様々なセラミックスでALDを使用して成長又は堆積させることがで
きる。酸化物系セラミックスの例は、SiO(石英)、Al、Y、Y
、Y-ZrO等を含む。炭化物系セラミックスの例は、SiC、Si-
SiC等を含む。窒化物系セラミックスの例は、AlN、SiN等を含む。
一実施形態では、処理チャンバ100は、チャンバ本体102と、内部容積106を囲
むシャワーヘッド130とを含む。シャワーヘッド130は、シャワーヘッドベース及び
シャワーヘッドガス分配板を含むことができる。あるいはまた、いくつかの実施形態では
、シャワーヘッド130は、蓋及びノズルで置き換えてもよい。チャンバ本体102は、
アルミニウム、ステンレス鋼、又は他の適切な材料から製造することができる。チャンバ
本体102は、一般的に、側壁108及び底部110を含む。シャワーヘッド130(又
は蓋及び/又はノズル)、側壁108、及び/又は底部110のいずれも、多成分コーテ
ィングを含むことができる。
外側ライナー116は、側壁108に隣接して配置され、チャンバ本体102を保護す
ることができる。外側ライナー116は、多成分コーティングで製造及び/又はコーティ
ングすることができる。一実施形態では、外側ライナー116は、酸化アルミニウムから
製造される。
排気ポート126は、チャンバ本体102内に画定されることができ、内部容積106
をポンプシステム128に結合することができる。ポンプシステム128は、排気して処
理チャンバ100の内部容積106の圧力を調節するために使用される1以上のポンプ及
びスロットルバルブを含むことができる。
シャワーヘッド130は、側壁108及び/又はチャンバ本体102の上部に支持され
ることができる。いくつかの実施形態では、シャワーヘッド130(又は蓋)は、処理チ
ャンバ100の内部容積106にアクセスできるように開けることができ、閉じた状態で
処理チャンバ100に対してシールを提供することができる。ガスパネル158は、処理
チャンバ100に結合され、シャワーヘッド130又は蓋及びノズルを介して内部容積1
06に処理ガス及び/又は洗浄ガスを提供することができる。シャワーヘッド130は、
誘電体エッチング(誘電体材料のエッチング)用に使用される処理チャンバに対して使用
される。シャワーヘッド130は、GDP全体にわたって複数のガス供給孔132を有す
るガス分配板(GDP)を含むことができる。シャワーヘッド130は、アルミニウムシ
ャワーヘッドベース又は陽極酸化アルミニウムシャワーヘッドベースに結合されたGDP
を含むことができる。GDP133は、Si又はSiCから作ることができる、又はセラ
ミックス(例えば、Y、Al、YAGなど)とすることができる。シャワー
ヘッド130及び供給孔132は、図4A及び図4Bに関して以下でより詳細に説明され
るように、多成分コーティングでコーティングすることができる。図示されるように、シ
ャワーヘッド130は、一実施形態によれば、シャワーヘッド130の表面(例えば、シ
ャワーヘッドベースの表面及び/又はGDPの表面)及びシャワーヘッド(例えば、シャ
ワーヘッドベース及び/又はGDP)内のガス導管(孔とも呼ばれる)132の壁の両方
に多成分コーティング152を有する。しかしながら、他のチャンバコンポーネント(例
えば、ガスライン、静電チャック、ノズル、及びその他)のいずれも、多成分コーティン
グでコーティングすることができることを理解すべきである。
導体エッチング(導電性材料のエッチング)用に使用される処理チャンバでは、シャワ
ーヘッドではなく蓋を使用する可能性がある。蓋は、蓋の中心穴に嵌合する中心ノズルを
含むことができる。蓋は、セラミックス(例えば、Al、Y、YAG)、又
はYAlとY-ZrOの固溶体とを含むセラミックス化合物とすること
ができる。ノズルもまた、セラミックス(例えば、Y、YAG)、又はYAl
とY-ZrOの固溶体とを含むセラミックス化合物とすることができる。蓋
、シャワーヘッド130(例えば、シャワーヘッドベース、GDP、及び/又はガス供給
導管/孔を含む)、及び/又はノズルは、一実施形態に係る多成分コーティングですべて
コーティングされてもよい。
処理チャンバ100内で基板を処理するために使用することができる処理ガスの例は、
ハロゲン含有ガス(例えば、とりわけ、C、SF、SiCl、HBr、NF
、CF、CHF、CH、F、NF、Cl、CCl、BCl、及びSi
)、及び他のガス(例えば、O又はNO)を含む。キャリアガスの例は、N
He、Ar、及び処理ガスに対して不活性な他のガス(例えば、非反応性ガス)を含む。
基板支持アセンブリ148は、シャワーヘッド130又は蓋の下方の処理チャンバ100
の内部容積106内に配置される。基板支持アセンブリ148は、処理中に基板144を
保持し、冷却板に結合された静電チャックを含むことができる。
内側ライナーは、基板支持アセンブリ148の周囲にコーティングされてもよい。内側
ライナーは、ハロゲン含有ガス耐性材料(例えば、外側ライナー116を参照して論じら
れたもの)とすることができる。一実施形態では、内側ライナー118は、外側ライナー
116と同じ材料から製造されてもよい。更に、内側ライナー118はまた、多成分コー
ティングでコーティングされてもよい。
図2は、様々なALD技術による堆積プロセスを示す。様々なタイプのALDプロセス
が存在し、特定のタイプは、いくつかの要因(例えば、コーティングされる表面、コーテ
ィング材料、表面とコーティング材料との間の化学的相互作用など)に基づいて選択する
ことができる。様々なALDプロセスの一般的な原理は、自己限定的に一度に1つずつ表
面と化学的に反応するガス状化学前駆体の連続した交互パルスにコーティングされる表面
を繰り返し曝露させることによって薄膜層を成長させることを含む。
図2は、表面205を有する物品210を示す。物品210は、基板支持アセンブリ、
静電チャック(ESC)、リング(例えば、プロセスキットリング又は単一リング)、チ
ャンバ壁、ベース、ガス分配板、ガスライン、シャワーヘッド、ノズル、蓋、ライナー、
ライナーキット、シールド、プラズマスクリーン、フローイコライザー、冷却ベース、チ
ャンバビューポート、チャンバ蓋などを含むがこれらに限定されない様々な半導体処理チ
ャンバコンポーネントを表すことができる。物品210及び表面205は、金属(例えば
、アルミニウム、ステンレス鋼)、セラミックス、金属セラミックス複合材料、ポリマー
、ポリマーセラミックス複合材料、又は他の適切な材料から作製することができ、AlN
、Si、SiC、Al、SiO等の材料を更に含んでもよい。
前駆体と表面との間のそれぞれ個々の化学反応は、「半反応」として知られている。各
半反応の間、前駆体は、前駆体が表面と完全に反応できるのに十分な時間の間、表面上に
パルス供給される。この反応は、前駆体が表面上の有限数の利用可能な反応部位とのみ反
応し、表面上に均一な連続吸着層を形成するので、自己限定的である。前駆体と既に反応
した任意の部位は、反応した部位が均一な連続コーティング上に新たな反応部位を形成す
る処理に付されない限り、及び/又は反応した部位が均一な連続コーティング上に新たな
反応部位を形成する処理に付されるまで、同じ前駆体との更なる反応は利用できなくなる
。例示的な処理は、プラズマ処理、均一な連続吸着層をラジカルに曝露させることによる
処理、又は表面に吸着された直近の均一な連続膜層と反応することができる異なる前駆体
の導入とすることができる。
図2において、表面205を有する物品210は、第1の前駆体260の表面205と
の第1の半反応が、吸着層214を形成することによって部分的に層215を形成するま
で、第1の期間の間、第1の前駆体260を導入されることができる。その後、物品21
0は、(反応剤とも呼ばれる)第2の前駆体265に導入され、吸着層214と反応して
層215を完全に形成する第2の反反応を引き起こすことができる。第1の前駆体260
は、例えば、アルミニウム又は他の金属のための前駆体とすることができる。第2の前駆
体265は、層215が酸化物であるならば、酸素前駆体とすることができ、又は層21
5がフッ化物であるならば、フッ素前駆体とすることができる。層215は、均一で、連
続的で、コンフォーマルであってもよい。物品210は、第1の前駆体260及び第2の
前駆体265に交互にx回まで曝露して、層215の目標厚さを達成することができる。
xは、例えば、1~100の整数とすることができる。
続いて、表面205及び層215を有する物品210は、層215と反応する第3の前
駆体270に導入され、第2の吸着層218を形成することによって第2の層220を部
分的に形成することができる。続いて、物品210は、(反応剤とも呼ばれる)別の前駆
体275に導入され、第2の半反応が層220を完全に形成させることができる。第2の
膜層220は、均一で、連続的で、コンフォーマルであってもよい。物品210は、第3
の前駆体270及び第4の前駆体275に交互にy回まで曝露され、層220の目標厚さ
を達成することができる。yは、例えば、1~100の整数とすることができる。
その後、物品210を前駆体260及び265にx回導入し、次いで前駆体270及び
275にy回導入するシーケンスを繰り返してn回行うことができる。nは、例えば、1
~100の整数とすることができる。シーケンスの結果は、追加の交互の層225、23
0、235、240、245及び250を成長させることとすることができる。層の数及
び厚さは、目標とするコーティングの厚さ及び特性に基づいて選択することができる。様
々な層はインタクト(完全)なままとすることができ、又はいくつかの実施形態では、相
互拡散されてもよい。
表面反応(例えば、半反応)は順次行われる。新しい前駆体を導入する前に、ALDプ
ロセスが行われるチャンバは、不活性キャリアガス(例えば、窒素又は空気)でパージさ
れ、未反応の前駆体及び/又は表面前駆体反応副生成物を除去することができる。少なく
とも2つの前駆体が使用される。いくつかの実施形態では、3以上の前駆体を使用して、
同じ組成を有する膜層を成長させる(例えば、互いの上にYの多層を成長させる)
ことができる。他の実施形態では、異なる前駆体を使用して、異なる組成を有する異なる
膜層を成長させることができる。
ALDプロセスは、ALDプロセスのタイプに応じて様々な温度で行うことができる。
特定のALDプロセスの最適な温度範囲は、「ALD温度ウィンドウ」と呼ばれる。AL
D温度ウィンドウを下回る温度は、不良な成長速度と非ALDタイプの堆積をもたらす可
能性がある。ALD温度ウィンドウを上回る温度は、物品の熱分解又は前駆体の迅速な脱
離をもたらす可能性がある。ALD温度ウィンドウは、約20℃~約400℃の範囲とす
ることができる。いくつかの実施形態では、ALD温度ウィンドウは、約150℃~35
0℃の間である。
ALDプロセスは、複雑な幾何学的形状、大きなアスペクト比を有する孔、及び三次元
構造を有する物品及び表面上に均一な膜厚を有するコンフォーマルな膜層を可能にする。
前駆体の表面への十分な曝露時間は、前駆体がその三次元の複雑な構造の全てを含むその
表面全体に分散して完全に反応することを可能にする。高アスペクト比の構造内にコンフ
ォーマルなALDを得るために利用される曝露時間は、アスペクト比の2乗に比例し、モ
デリング技術を使用して予測することができる。また、ALD技術は、原材料(例えば、
粉末原料及び焼結されたターゲット)の長期にわたる困難な製造を必要とせずに、特定の
組成物又は配合物のインサイチューオンデマンドの材料合成を可能にするので、他の一般
的に使用されるコーティング技術よりも有利である。
ALD技術では、(例えば、以下の例において詳細に示されるように、Y、Al
、YF、及びZrOを成長させるために使用される前駆体の適切なシーケンシ
ングによって、)多成分膜(例えば、YO、YAl、YZr、及びY
ZrAl)を成長させることができる。
図3Aは、一実施形態に係る、半導体処理チャンバコンポーネント上に多成分コーティ
ングを形成する方法300を示す。本方法は、オプションとして、多成分コーティング用
の組成物を選択することによって開始することができる。組成物の選択及び形成方法は、
同じエンティティによって、又は複数のエンティティによって実行することができる。ブ
ロック305によれば、本方法は、半導体処理チャンバコンポーネントの表面上に、酸化
イットリウム又はフッ化イットリウムの第1の膜層を堆積させる工程を含み、第1の膜層
は、ALDプロセスを用いて少なくとも2つの前駆体から成長される。ブロック310に
よれば、本方法は、半導体処理チャンバコンポーネントの表面上に追加の酸化物又は追加
のフッ化物の第2の膜層を堆積させる工程を更に含み、第2の膜層は、ALDプロセスを
用いて少なくとも2つの追加の前駆体から成長される。第1の膜は、第2の膜が堆積され
る前又は後に堆積させることができることに留意すべきである。したがって、第1の膜を
第2の膜の上に堆積させることができるか、又は第2の膜を第1の膜の上に堆積させるこ
とができる。いくつかの実施形態では、ブロック315によれば、本方法は、オプション
として少なくとも1つの追加の膜層を堆積させる工程を更に含み、少なくとも1つの追加
の膜層は、酸化アルミニウム又は酸化ジルコニウムを含み、少なくとも1つの追加の膜層
は、ALDプロセスを使用して追加の前駆体から成長される。
いくつかの実施形態では、第1の膜層が酸化イットリウムを含む場合、層は、以下の処
理シーケンスによって形成することができる。
1)前駆体の基板表面との反応。前駆体は、トリス(N,N-ビス(トリメチルシリル)
アミド)イットリウム(III)、トリス(シクロペンタジエニル)イットリウム(II
I)、トリス(ブチルシクロペンタジエニル)イットリウム(III)、又はトリス(2
,2,6,6-テトラメチル-3,5-ヘプタンジオナト)イットリウム(III)を含
むことができる。
2)ALD処理チャンバからの未反応前駆体のパージ。
3)第2の前駆体の表面との反応。第2の前駆体は、HO、O、又はOを含むこと
ができる。
4)ALD処理チャンバからの第2の未反応前駆体のパージ。
いくつかの実施形態では、第1の膜層がフッ化イットリウムを含む場合、使用される2
つの前駆体は、トリス(2,2,6,6-テトラメチル-3,5-ヘプタンジオナト)イ
ットリウム(III)及びTiFとすることができる。
いくつかの実施形態では、第2の膜層が酸化アルミニウムを含む場合、1つの前駆体は
、ジエチルアルミニウムエトキシド、トリス(エチルメチルアミド)アルミニウム、アル
ミニウムsec-ブトキシド、アルミニウムトリブロミド、アルミニウムトリクロリド、
トリエチルアルミニウム、トリイソブチルアルミニウム、トリメチルアルミニウム、又は
トリス(ジエチルアミド)アルミニウムを含むことができる。第2の前駆体は、HO、
、又はOを含むことができる。いくつかの実施形態では、第2の膜層が酸化ジルコ
ニウムを含む場合、1つの前駆体は、臭化ジルコニウム(IV)、塩化ジルコニウム(I
V)、ジルコニウム(IV)tert-ブトキシド、テトラキス(ジエチルアミド)ジル
コニウム(IV)、テトラキス(ジメチルアミド)ジルコニウム(IV)、又はテトラキ
ス(エチルメチルアミド)ジルコニウム(IV)を含むことができる。第2の前駆体は、
O、O、又はOを含むことができる。
上記の前駆体又は他の適切な前駆体は、酸化イットリウム層、フッ化イットリウム層、
酸化アルミニウム層、又は酸化ジルコニウム層が、第1層、第2層、又は第N層であるか
どうかにかかわらず、ALDを使用して成長されるたびに使用することができ、第N層は
、半導体処理チャンバコンポーネントの表面上に成長され、目標とされる保護コーティン
グの厚さ及び特性に基づいて選択された有限数の層を表す。
ブロック325によると、本方法は、最終的に、第1の膜層、第2の膜層、及び任意の
追加の膜層の多成分組成物を形成する工程を含む。いくつかの実施形態では、ブロック3
20によると、多成分組成物を形成する工程は、半導体処理チャンバコンポーネント上に
堆積された、第1の膜層、第2の膜層、及び追加の膜層を含む半導体処理チャンバコンポ
ーネントをアニーリングする工程を含む。いくつかの実施形態では、アニーリングは、少
なくとも1つの第1の膜層と少なくとも1つの第2の膜層と少なくとも1つの追加の膜層
(存在する場合)のいずれかとの相互拡散した固体状態相を含む多成分組成物をもたらす
ことができる。アニーリングは、約800℃~約1800℃、約800℃~約1500℃
、又は約800℃~約1000℃の範囲の温度で行うことができる。アニーリング温度は
、物品、表面、及び膜層の構成材料に基づいて選択され、それらの完全性を維持し、これ
らの成分の一部又は全部を変形、分解、又は溶融させないようにすることができる。
図3Bは、一実施形態に係る、半導体処理チャンバコンポーネント上に多成分コーティ
ングを形成する方法350を示す。本方法は、オプションとして多成分コーティング用の
組成物を選択することによって開始することができる。組成物の選択及び形成方法は、同
じエンティティによって、又は複数のエンティティによって実行されてもよい。
ブロック355によると、本方法は、ALDを介して物品の表面上に第1の酸化物又は
第1のフッ化物の膜層を堆積させる工程を含む。ブロック360によると、本方法は、A
LDを介して物品の表面上に第2の酸化物又は第2のフッ化物の膜層を堆積させる工程を
更に含む。第1の酸化物又は第1のフッ化物の膜層は、第2の酸化物又は第2のフッ化物
の膜層が堆積される前又は後に堆積させることができることに留意すべきである。したが
って、いくつかの実施形態では、第1の酸化物又は第1のフッ化物の膜層を、第2の酸化
物又は第2のフッ化物の膜層の上に堆積させることができる。他の実施形態では、第2の
酸化物又は第2のフッ化物の膜層を、第1の酸化物又は第1のフッ化物の膜層の上に堆積
させることができる。いくつかの実施形態では、ブロック365によると、本方法は、オ
プションとして、ALDを介して物品の表面上に第3の酸化物又は第3のフッ化物の追加
の膜層を堆積させる工程を更に含むことができる。
いくつかの実施形態では、ブロック370によると、本方法は、追加の層が追加される
べきかどうかを決定する工程を更に含むことができる。追加の層及び/又はいくつの層を
追加すべきかを決定することは、インサイチューで、又は堆積を開始する前に(例えば、
オプションの多成分組成物選択プロセスにおいて)行うことができる。追加の層を追加す
べきである場合には、ブロック355、360、及びオプションで365を繰り返すこと
ができる。追加の層を追加すべきでない場合には、本方法は先に進み、物品の表面上に堆
積された全ての膜層を含む多成分組成物を形成する。
いくつかの実施形態では、いずれかの膜層の第1の酸化物、第2の酸化物、又は第3の
酸化物が酸化イットリウムを含む場合、ALDによって酸化イットリウムを形成するため
に使用される1つの前駆体は、トリス(N、N-ビス(トリメチルシリル)アミド)イッ
トリウム(III)、トリス(シクロペンタジエニル)イットリウム(III)、トリス
(ブチルシクロペンタジエニル)イットリウム(III)、又はトリス(2,2,6,6
-テトラメチル-3,5-ヘプタンジオナト)イットリウム(III)から選択すること
ができ、第2の前駆体は、HO、O、又はOから選択することができる。いくつか
の実施形態では、いずれかの膜層の第1のフッ化物、第2のフッ化物、又は第3のフッ化
物がフッ化イットリウムを含む場合、この膜を形成するために使用される2つの前駆体は
、トリス(2,2,6,6-テトラメチル-3,5-ヘプタンジオナト)イットリウム(
III)及びTiFとすることができる。
いくつかの実施形態では、いずれかの膜層の第1の酸化物、第2の酸化物、又は第3の
酸化物が酸化アルミニウムを含む場合、ALDによって酸化アルミニウムを形成するため
に使用される1つの前駆体は、ジエチルアルミニウムエトキシド、トリス(エチルメチル
アミド)アルミニウム、アルミニウムsec-ブトキシド、アルミニウムトリブロミド、
アルミニウムトリクロリド、トリエチルアルミニウム、トリイソブチルアルミニウム、ト
リメチルアルミニウム、又はトリス(ジエチルアミド)アルミニウムから選択することが
でき、第2の前駆体は、HO、O、又はOから選択することができる。いくつかの
実施形態では、いずれかの膜層の第1の酸化物、第2の酸化物、又は第3の酸化物が酸化
ジルコニウムを含む場合、1つの酸化ジルコニウム前駆体は、臭化ジルコニウム(IV)
、塩化ジルコニウム(IV)、ジルコニウム(IV)tert-ブトキシド、テトラキス
(ジエチルアミド)ジルコニウム(IV)、テトラキス(ジメチルアミド)ジルコニウム
(IV)、又はテトラキス(エチルメチルアミド)ジルコニウム(IV)から選択するこ
とができ、第2の前駆体は、HO、O、又はOから選択することができる。
上記の前駆体又は他の適切な前駆体は、酸化イットリウム層、フッ化イットリウム層、
酸化アルミニウム層、又は酸化ジルコニウム層が、第1膜層、第2膜層、又は第N膜層で
あるかどうかにかかわらず、ALDを使用して成長されるたびに使用することができ、第
N膜層は、物品の表面上に成長され、目標とされる保護コーティングの厚さ及び特性に基
づいて選択された有限数の膜層を表す。
いくつかの実施形態では、本方法はオプションのブロック375に進み、物品の表面上
に堆積された全ての膜層の多成分組成物をアニーリングすることができる。いくつかの実
施形態では、アニーリングは、物品の表面上に堆積された全ての膜層の相互拡散した固体
状態相を含む多成分組成物をもたらすことができる。アニーリングは、約800℃~約1
800℃、約800℃~約1500℃、又は約800℃~約1000℃の範囲の温度で行
うことができる。アニーリング温度は、物品、表面、及び膜層の構成材料に基づいて選択
され、それらの完全性を維持し、これらの成分の一部又は全部を変形、分解、又は溶融さ
せないようにすることができる。
図4A~図4Dは、異なる実施形態による多成分コーティング組成物の変形を示す。図
4Aは、一実施形態に係る物品410の表面405用の多成分コーティング組成物を示す
。表面405は、様々な物品410の表面とすることができる。例えば、物品410は、
基板支持アセンブリ、静電チャック(ESC)、リング(例えば、プロセスキットリング
又は単一リング)、チャンバ壁、ベース、ガス分配板、ガスライン、シャワーヘッド、ノ
ズル、蓋、ライナー、ライナーキット、シールド、プラズマスクリーン、フローイコライ
ザー、冷却ベース、チャンバビューポート、チャンバ蓋などを含むが、これらに限定され
ない様々な半導体処理チャンバコンポーネントを含むことができる。半導体処理チャンバ
コンポーネントは、金属(例えば、アルミニウム、ステンレス鋼)、セラミックス、金属
セラミックス複合材料、ポリマー、ポリマーセラミックス複合材料、又は他の適切な材料
から作製することができ、例えば、AlN、Si、SiC、Al、SiO等の材
料を更に含んでもよい。
図4Aにおいて、多成分コーティング組成物は、ALDプロセスを使用して物品410
の表面405上にコーティングされた酸化イットリウム又はフッ化イットリウムの少なく
とも1つの第1の膜層415と、ALDプロセスを使用して物品410の表面405上に
コーティングされた追加の酸化物又はフッ化物の少なくとも1つの第2の膜層425とを
含む。
図4Aは、多成分コーティング組成物が第1の層415と第2の層425との交互の層
のスタックを含み、層はインタクトであり相互拡散されておらず、各層は等しい数(4つ
の415層と、4つの425層)であり、すべての層が均一な厚さの層である一実施形態
を示す。いくつかの実施形態では、第1の膜層は第2の膜層の堆積前に堆積され、第2の
膜層は第1の膜層の上に堆積される。いくつかの実施形態では、順序は逆にされてもよい
図4Bは、物品410の表面405上に堆積された多成分コーティング組成物(例えば
、上記のような半導体処理チャンバコンポーネント)が、第1の層415と第2の層42
5と少なくとも1つの追加の層435の交互の層のスタックを含み、層は完全なままであ
り、所定の順序で均一な厚さで堆積及び/又は成長された一実施形態を示す。しかしなが
ら、層の数は等しくなくてもよく、ある層は他の層よりも優勢であってもよい(例えば、
3つの415層と、3つの425層と、2つの435層)。
いくつかの実施形態では、少なくとも1つの第1の膜層は第1の連続単層を含み、少な
くとも1つの第2の膜層は第2の連続単層を含む。いくつかの実施形態では、少なくとも
1つの追加の層は、少なくとも1つの追加の単層を含むことができる。
他の実施形態では、少なくとも1つの第1の膜層は、均一な厚さを有する第1の厚い層
を含み、均一な厚さは2つの単層の厚さから約1マイクロメートルまでの範囲であり、少
なくとも1つの第2の膜層は、第1の膜層の均一な厚さを有する第2の厚い層を含む。更
に他の一実施形態では、少なくとも1つの追加の膜層は、他の2つの厚い層の均一な厚さ
を有する少なくとも1つの追加の厚い層を含むことができる。
いくつかの実施形態では、多成分コーティング組成物は、少なくとも1つの第1の膜層
と、少なくとも1つの第2の膜層と、オプションとして1以上の追加の膜層を含むことが
でき、層の厚さは変わってもよい。例えば、いくつかの層は単層とすることができ、いく
つかの層は厚い層とすることができる。
図4Cは、物品410の表面405上に堆積された多成分コーティングが、一定の順序
又は一定の厚さではないインタクトな(完全なままの)均一な膜層のスタックを含む一実
施形態を示す。多成分コーティングは、第1の厚さを有する第1の厚い層420と、第1
の厚さとは異なる第2の厚さを有する第2の厚い層430と、第1及び第2の厚さとは異
なる第3の厚さを有する少なくとも1つの追加の厚い層440を含む。いくつかの層は、
他よりも優勢であることができ(例えば、第1の厚い層420が2つと、第2の厚い層4
30が1つと、追加の厚い層440が1つ)、多成分コーティングの特定の特性(例えば
、耐腐食性/耐浸食性)を達成する。
いくつかの実施形態では、図4A~図4Cに示される様々な膜層は、同じ組成を有して
いてもよい。他の実施形態では、層の組成は異なっていてもよい。いくつかの実施形態で
は、様々な膜層は、同様の特性(例えば、厚さ、空孔率、耐プラズマ性、CTE)を有し
ていてもよい。他の実施形態では、各膜層は異なる特性を有していてもよい。図4A~図
4Cは特定の数の膜層を示しているが、図は限定することを意図しておらず、特定の実施
形態では、より多くの又はより少ない膜層を表面上に堆積させることができることを理解
すべきである。いくつかの実施形態では、半導体処理チャンバコンポーネントの全表面を
コーティングすることができる。他の実施形態では、半導体処理チャンバコンポーネント
の表面の少なくとも一部をコーティングすることができる。
図4Dは、物品410の表面405上に堆積された多成分コーティング組成物450が
、少なくとも1つの第1の膜層と、少なくとも1つの第2の膜層と、オプションとして少
なくとも1つの追加の膜層との相互拡散した固体状態相を含む一実施形態を示す。
いくつかの実施形態では、多成分コーティング組成物は、インタクトな層又は相互拡散
した固体状態相を含むかどうかに関わらず、YO、YAl、YZr
及びYZrAlからなる群から選択される。これらの種々の多成分コーティング
組成物を製造するプロセスは、以下の実施例に例示されている。
図5Aは、シャワーヘッド500の底面図を示す。以下に提供されるシャワーヘッドの
例は、本明細書の実施形態に記載されたような多成分コーティングの使用によって性能を
改善することができる1つの例示的なチャンバコンポーネントに過ぎない。他のチャンバ
コンポーネントの性能もまた、本明細書に開示された多成分コーティングでコーティング
された場合にも改善可能であることを理解すべきである。シャワーヘッド500は、本明
細書に示されるように、複雑な幾何学的形状及び大きなアスペクト比を有する孔を有する
表面を有する半導体処理チャンバコンポーネントの1つの例示として選択された。
下面505の複雑な幾何学的形状は、多成分コーティングを受けるように構成される。
シャワーヘッド500の下面505は、均一に分布した同心円状のリング内に配置された
ガス導管510を画定する。他の実施形態では、ガス導管510は、代わりの幾何学的構
成で構成されてもよく、利用される反応器及び/又は処理のタイプに応じて、必要に応じ
て多くのガス導管又は少ないガス導管を有してもよい。多成分コーティングは、複雑な幾
何学的形状及び孔の大きなアスペクト比にもかかわらず、表面上ならびにガス導管の孔内
に比較的均一な厚さのコンフォーマルなコーティングを可能にするALD技術を用いて、
表面505上及びガス導管孔510内で成長される。
シャワーヘッド500は、腐食性化学物質(例えば、フッ素)に曝露される可能性があ
り、シャワーヘッドとのプラズマ相互作用のために浸食する可能性がある。多成分コーテ
ィング層は、そのようなプラズマ相互作用を低減し、シャワーヘッドの耐久性を改善する
ことができる。ALDで堆積された多成分コーティング層は、シャワーヘッドの機能を妨
害しないように、下面505及びガス導管510の相対的な形状及び幾何学的形状を維持
する。同様に、他のチャンバコンポーネントに適用される場合、多成分コーティングは、
表面の形状及び幾何学的構成を維持することができ、コンポーネントの機能を妨げず、プ
ラズマ耐性を提供し、全表面にわたって耐腐食性及び/又は耐浸食性を改善するようにコ
ーティングされることが意図される。
コーティング材料のプラズマに対する耐性は、「エッチング速度」(ER)によって測
定され、コーティングされたコンポーネントの動作及びプラズマへの曝露の持続時間全体
にわたって、オングストローム/分(Å/分)の単位を有することができる。耐プラズマ
性は、ナノメートル/高周波時間(nm/RF時間)の単位を有する浸食速度によって測
定することもでき、ここで、1RF時間は、プラズマ処理条件における1時間の処理を表
す。異なる処理時間の後に測定を行うことができる。例えば、測定は、処理前、50処理
時間後、150処理時間後、200処理時間後などに行うことができる。約100nm/
RF時間より低い浸食速度は、多成分耐プラズマコーティング材料にとって典型的である
。シャワーヘッド上又は任意の他の半導体処理チャンバコンポーネント上に成長された多
成分コーティングの組成の変更は、複数の異なるプラズマ耐性又は浸食速度値をもたらす
可能性がある。更に、様々なプラズマに曝露された単一組成物を有する多成分コーティン
グは、複数の異なるプラズマ耐性又は浸食速度値を有する可能性がある。例えば、ある耐
プラズマ材料は、第1のタイプのプラズマに関連する第1のプラズマ耐性又は浸食速度と
、第2のタイプのプラズマに関連する第2のプラズマ耐性又は浸食速度とを有する可能性
がある。
図5Bは、一実施形態に従ってコーティングされた大きなアスペクト比を有するガス導
管510の拡大図を示す。ガス導管510は、長さL及び直径Dを有することができる。
ガス導管510は、L:Dとして定義される大きなアスペクト比を有することができ、ア
スペクト比は、約50:1から約100:1の範囲とすることができる。いくつかの実施
形態では、アスペクト比は、50:1未満又は100:1を超えてもよい。
ガス導管510は、多成分コーティングでコーティングすることができる内面555を
有することができる。多成分コーティングは、少なくとも1つの第1の層560と、少な
くとも1つの第2の層565と、オプションとして少なくとも1つの追加の層(図示せず
)とを含むことができる。第1の膜層は、酸化イットリウム又はフッ化イットリウムを含
むことができる。第2の膜層は、追加の酸化物又は追加のフッ化物を含むことができる。
オプションの少なくとも1つの追加の層は、酸化アルミニウム又は酸化ジルコニウムを含
むことができる。すべての層は、ALDプロセスを用いてコーティングすることができる
。ALDプロセスは、アスペクト比が大きいにもかかわらず、ガス導管510の内面全体
にわたって均一な厚さのコンフォーマルなコーティング層を成長させることができると同
時に、最終多成分コーティングはまた、シャワーヘッド内のガス導管を塞がないほど十分
に薄くすることができる。
いくつかの実施形態では、多成分コーティングは、少なくとも1つの第1の層と、少な
くとも1つの第2の層と、オプションとして少なくとも1つの追加の層とのインタクトな
層を含むことができる。一実施形態では、第1の層、第2の層、及び任意のオプションの
追加の層は、所定の順序で交互に配置されてもよい。別の一実施形態では、第1の層、第
2の層、及び任意のオプションの追加の層は、任意の順序で存在してもよい。いくつかの
実施形態では、第1の層、第2の層、及び任意のオプションの追加の層の各層の数は等し
くてもよい。他の実施形態では、層のうちのいくつかは、他の層よりも優勢であり、多層
コーティングの特定の特性を達成することができる。特定の特性は、コーティングされた
半導体処理チャンバコンポーネントの耐久性を改善する耐プラズマ性及び耐腐食性/耐浸
食性とすることができる。
いくつかの実施形態では、インタクトな層は均一な厚さの単層を含むことができる。他
の実施形態では、インタクトな層は、均一な厚さのより厚い層を含むことができる。各々
のより厚い層は、2つの単層の厚さから約1マイクロメートルまでの範囲の厚さを有する
ことができる。更に他の実施形態では、インタクトな層は、単層と厚い層との組み合わせ
を含むことができる。
他の実施形態では、多成分コーティングは、少なくとも1つの第1の層と、少なくとも
1つの第2の層と、オプションとして少なくとも1つの追加の層との相互拡散された固体
状態相を含むことができる。一実施形態では、様々な層の相互拡散された固体状態相が、
アニーリングによって得ることができる。層の組成、層の数、各層の頻度(周期)、及び
層の厚さはすべて、多成分コーティング層の最終特性に寄与する。
以下の実施例は、本明細書に記載の実施形態の理解を助けるために記載されており、本
明細書に記載され請求される実施形態を具体的に限定するものとして解釈されるべきでは
ない。当業者の知識の範囲内にある、現在知られているか又は後に開発される全ての均等
物の置換を含むそのような変形、及び実験設計における処方(設計)の変更又は軽微な変
更は、本明細書に組み込まれた実施形態の範囲内にあるとみなされるべきである。これら
の例は、上述の方法300又は方法350を実行することによって達成することができる
(実施例1-酸化イットリウム及びフッ化イットリウムの単分子膜からのYOコー
ティングの形成)
第1の層は、トリス(N,N-ビス(トリメチルシリル)アミド)イットリウム(II
I)、トリス(シクロペンタジエニル)イットリウム(III)、トリス(ブチルシクロ
ペンタジエニル)イットリウム(III)、又はトリス(2,2,6,6-テトラメチル
-3,5-ヘプタンジオナト)イットリウム(III)から選択される前駆体と、H
、O、又はOから選択される第2の前駆体とからALDを用いて成長させた酸化イッ
トリウム単層とすることができる。第2の層は、前駆体のトリス(2,2,6,6-テト
ラメチル-3,5-ヘプタンジオナト)イットリウム(III)とTiFとの単一の組
み合わせからALDを用いて成長させたフッ化イットリウム単層とすることができる。得
られた多成分コーティングは、YOを含むことができ、X及びYは、第1及び第2
の層の繰り返し数に依存する。
(実施例2-酸化イットリウム及び酸化アルミニウムの単層からのYAlコーティ
ングの形成)
第1の層は、トリス(N,N-ビス(トリメチルシリル)アミド)イットリウム(II
I)、トリス(シクロペンタジエニル)イットリウム(III)、トリス(ブチルシクロ
ペンタジエニル)イットリウム(III)、又はトリス(2,2,6,6-テトラメチル
-3,5-ヘプタンジオナト)イットリウム(III)から選択される前駆体と、H
、O、又はOから選択される第2の前駆体とからALDを用いて成長させた酸化イッ
トリウム単層とすることができる。第2の層は、ジエチルアルミニウムエトキシド、トリ
ス(エチルメチルアミド)アルミニウム、アルミニウムsec-ブトキシド、アルミニウ
ムトリブロミド、アルミニウムトリクロリド、トリエチルアルミニウム、トリイソブチル
アルミニウム、トリメチルアルミニウム、又はトリス(ジエチルアミド)アルミニウムか
ら選択される前駆体と、HO、O、又はOから選択される第2の前駆体とからAL
Dを用いて成長させた酸化アルミニウム単層とすることができる。得られた多成分コーテ
ィングは、YAlを含むことができ、X及びYは、第1及び第2の層の繰り返し数
に依存する。
いくつかの実験では、YとAlの交互層のスタックを、ALDを介して堆
積させ、各層は5nmの厚さを有していた。個々の層に対して、他の厚さ(例えば、数オ
ングストロームから約10nm又はそれ以上の厚さ)を使用することもできる。実験にお
ける総コーティング厚さは、約200nmであった。試料は、500℃、750℃、80
0℃、900℃、及び/又は1000℃の温度で12時間アニーリングされた。アニーリ
ングされた試料及びコーティングされたままの試料を斜入射X線回折によって分析して、
各々の試料に対してコーティングの微細構造を決定した。試験は、800℃以上の温度で
アニーリングした結果、非晶質構造から主に立方晶系のYAl12又はYAl
13(すなわち、イットリウムアルミニウムガーネット)相からなる大部分が結晶質の
構造に転移することを示した。500℃と750℃の温度では、Yの結晶化は起き
たが、イットリウムアルミニウムガーネット相は形成されなかった。例えば、500℃で
アニーリングした後、非晶質相と結晶質のY相が形成された。非晶質相は、結晶化
していないYを含んでいる可能性がある。以下の表1は、堆積されたままと、種々
の温度でアニーリングされたAl/Yの交互層膜のスタックの相同定を示す

表1:堆積されたまま及び種々の温度でアニーリングされたAl/Y交互層
膜の相同定
図6は、コーティングされたまま605と1000℃の温度で12時間のアニーリング
後610のAlとYの交互層のスタックのX線回折パターンを示すグラフで
ある。図示されるように、交互層のスタックは、アニーリング後に互いに拡散してY
12を形成した。
(実施例3-酸化イットリウム及び酸化ジルコニウムの単層からのYZrコーティ
ングの形成)
第1の層は、トリス(N,N-ビス(トリメチルシリル)アミド)イットリウム(II
I)、トリス(シクロペンタジエニル)イットリウム(III)、トリス(ブチルシクロ
ペンタジエニル)イットリウム(III)、又はトリス(2,2,6,6-テトラメチル
-3,5-ヘプタンジオナト)イットリウム(III)から選択される前駆体と、H
、O、又はOから選択される第2の前駆体とからALDを用いて成長させた酸化イッ
トリウム単層とすることができる。第2の層は、臭化ジルコニウム(IV)、塩化ジルコ
ニウム(IV)、ジルコニウム(IV)tert-ブトキシド、テトラキス(ジエチルア
ミド)ジルコニウム(IV)、テトラキス(ジメチルアミド)ジルコニウム(IV)、又
はテトラキス(エチルメチルアミド)ジルコニウム(IV)から選択される前駆体と、H
O、O、又はOから選択される第2の前駆体とからALDを用いて成長させた酸化
ジルコニウム単層とすることができる。得られた多成分コーティングは、YZr
含むことができ、X及びYは、第1及び第2の層の繰り返し数に依存する。
(実施例4-酸化イットリウム、酸化ジルコニウム、及び酸化アルミニウムの単層からの
YZrAlコーティングの形成)
第1の層は、トリス(N,N-ビス(トリメチルシリル)アミド)イットリウム(II
I)、トリス(シクロペンタジエニル)イットリウム(III)、トリス(ブチルシクロ
ペンタジエニル)イットリウム(III)、又はトリス(2,2,6,6-テトラメチル
-3,5-ヘプタンジオナト)イットリウム(III)から選択される前駆体と、H
、O、又はOから選択される第2の前駆体とからALDを用いて成長させた酸化イッ
トリウム単層とすることができる。第2の層は、臭化ジルコニウム(IV)、塩化ジルコ
ニウム(IV)、ジルコニウム(IV)tert-ブトキシド、テトラキス(ジエチルア
ミド)ジルコニウム(IV)、テトラキス(ジメチルアミド)ジルコニウム(IV)、又
はテトラキス(エチルメチルアミド)ジルコニウム(IV)から選択される前駆体と、H
O、O、又はOから選択される第2の前駆体とからALDを用いて成長させた酸化
ジルコニウム単層とすることができる。少なくとも1つの追加の層は、ジエチルアルミニ
ウムエトキシド、トリス(エチルメチルアミド)アルミニウム、アルミニウムsec-ブ
トキシド、アルミニウムトリブロミド、アルミニウムトリクロリド、トリエチルアルミニ
ウム、トリイソブチルアルミニウム、トリメチルアルミニウム、又はトリス(ジエチルア
ミド)アルミニウムから選択される前駆体と、HO、O、又はOから選択される第
2の前駆体とからALDを用いて成長させた酸化アルミニウム単層とすることができる。
得られた多成分コーティングは、YZrAlを含むことができ、X、Y、及びZ
は、第1、第2、及び少なくとも1つの追加の層の繰り返し数に依存する。
(実施例5-酸化イットリウム及びフッ化イットリウムの厚い層からのYOコーテ
ィングの形成)
第1の層は、トリス(N,N-ビス(トリメチルシリル)アミド)イットリウム(II
I)、トリス(シクロペンタジエニル)イットリウム(III)、トリス(ブチルシクロ
ペンタジエニル)イットリウム(III)、又はトリス(2,2,6,6-テトラメチル
-3,5-ヘプタンジオナト)イットリウム(III)から選択される少なくとも1つの
前駆体と、HO、O、又はOから選択される少なくとも1つの前駆体とからALD
を用いて成長させた酸化イットリウムの厚い層とすることができる。第2の層は、前駆体
のトリス(2,2,6,6-テトラメチル-3,5-ヘプタンジオナト)イットリウム(
III)及びTiFからALDを用いて成長させたフッ化イットリウムの厚い層とする
ことができる。得られた多成分コーティングは、YOを含むことができ、X及びY
は、第1及び第2の層の繰り返し数に依存する。
(実施例6-酸化イットリウム及び酸化アルミニウムの厚い層からのYAlコーテ
ィングの形成)
第1の層は、トリス(N,N-ビス(トリメチルシリル)アミド)イットリウム(II
I)、トリス(シクロペンタジエニル)イットリウム(III)、トリス(ブチルシクロ
ペンタジエニル)イットリウム(III)、又はトリス(2,2,6,6-テトラメチル
-3,5-ヘプタンジオナト)イットリウム(III)から選択される少なくとも1つの
前駆体と、HO、O、又はOから選択される少なくとも1つの前駆体とからALD
を用いて成長させた酸化イットリウムの厚い層とすることができる。第2の層は、ジエチ
ルアルミニウムエトキシド、トリス(エチルメチルアミド)アルミニウム、アルミニウム
sec-ブトキシド、アルミニウムトリブロミド、アルミニウムトリクロリド、トリエチ
ルアルミニウム、トリイソブチルアルミニウム、トリメチルアルミニウム、又はトリス(
ジエチルアミド)アルミニウムから選択される少なくとも1つの前駆体と、HO、O
、又はOから選択される少なくとも1つの前駆体とからALDを用いて成長させた酸化
アルミニウムの厚い層とすることができる。得られた多成分コーティングは、YAl
を含むことができ、X及びYは、第1及び第2の層の繰り返し数に依存する。
(実施例7-酸化イットリウム及び酸化ジルコニウムの厚い層からのYZrコーテ
ィングの形成)
第1の層は、トリス(N,N-ビス(トリメチルシリル)アミド)イットリウム(II
I)、トリス(シクロペンタジエニル)イットリウム(III)、トリス(ブチルシクロ
ペンタジエニル)イットリウム(III)、又はトリス(2,2,6,6-テトラメチル
-3,5-ヘプタンジオナト)イットリウム(III)から選択される少なくとも1つの
前駆体と、HO、O、又はOから選択される少なくとも1つの前駆体とからALD
を用いて成長させた酸化イットリウムの厚い層とすることができる。第2の層は、臭化ジ
ルコニウム(IV)、塩化ジルコニウム(IV)、ジルコニウム(IV)tert-ブト
キシド、テトラキス(ジエチルアミド)ジルコニウム(IV)、テトラキス(ジメチルア
ミド)ジルコニウム(IV)、又はテトラキス(エチルメチルアミド)ジルコニウム(I
V)から選択される少なくとも1つの前駆体と、HO、O、又はOから選択される
少なくとも1つの前駆体とからALDを用いて成長させた酸化ジルコニウムの厚い層とす
ることができる。得られた多成分コーティングは、YZrを含むことができ、X及
びYは、第1及び第2の層の繰り返し数に依存する。
(実施例8-酸化イットリウム、酸化ジルコニウム、及び酸化アルミニウムの厚い層から
のYZrAlコーティングの形成)
第1の層は、トリス(N,N-ビス(トリメチルシリル)アミド)イットリウム(II
I)、トリス(シクロペンタジエニル)イットリウム(III)、トリス(ブチルシクロ
ペンタジエニル)イットリウム(III)、又はトリス(2,2,6,6-テトラメチル
-3,5-ヘプタンジオナト)イットリウム(III)から選択される少なくとも1つの
前駆体と、HO、O、又はOから選択される少なくとも1つの前駆体とからALD
を用いて成長させた酸化イットリウムの厚い層とすることができる。第2の層は、臭化ジ
ルコニウム(IV)、塩化ジルコニウム(IV)、ジルコニウム(IV)tert-ブト
キシド、テトラキス(ジエチルアミド)ジルコニウム(IV)、テトラキス(ジメチルア
ミド)ジルコニウム(IV)、又はテトラキス(エチルメチルアミド)ジルコニウム(I
V)から選択される少なくとも1つの前駆体と、HO、O、又はOから選択される
少なくとも1つの前駆体とからALDを用いて成長させた酸化ジルコニウムの厚い層とす
ることができる。少なくとも1つの追加の層は、ジエチルアルミニウムエトキシド、トリ
ス(エチルメチルアミド)アルミニウム、アルミニウムsec-ブトキシド、アルミニウ
ムトリブロミド、アルミニウムトリクロリド、トリエチルアルミニウム、トリイソブチル
アルミニウム、トリメチルアルミニウム、又はトリス(ジエチルアミド)アルミニウムか
ら選択される少なくとも1つの前駆体と、HO、O、又はOから選択される少なく
とも1つの前駆体とからALDを用いて成長させた酸化アルミニウムの厚い層とすること
ができる。得られた多成分コーティングは、YZrAlを含むことができ、X、
Y、及びZは、第1、第2、及び少なくとも1つの追加の層の繰り返し数に依存する。
前述の説明は、本発明のいくつかの実施形態の良好な理解を提供するために、具体的な
システム、コンポーネント、方法等の例などの多数の具体的な詳細を説明している。しか
しながら、本発明の少なくともいくつかの実施形態は、これらの具体的な詳細なしに実施
することができることが当業者には明らかであろう。他の例において、周知のコンポーネ
ント又は方法は、本発明を不必要に不明瞭にしないために、詳細には説明しないか、単純
なブロック図形式で提示されている。したがって、説明された具体的な詳細は、単なる例
示である。特定の実装では、これらの例示的な詳細とは異なる場合があるが、依然として
本発明の範囲内にあることが理解される。
本明細書全体を通して「1つの実施形態」又は「一実施形態」への参照は、その実施形
態に関連して記載された特定の構成、構造、又は特性が少なくとも1つの実施形態に含ま
れることを意味している。したがって、本明細書を通じて様々な場所における「1つの実
施形態では」又は「一実施形態では」という語句の出現は、必ずしも全て同じ実施形態を
指すものではない。また、用語「又は」は、排他的な「又は」ではなく包含的な「又は」
を意味することを意図している。「約」又は「ほぼ」という用語が本明細書で使用される
場合、これは提示される公称値が±10%以内で正確であることを意味することを意図し
ている。
本明細書内の本方法の操作は、特定の順序で図示され説明されているが、特定の操作を
逆の順序で行うように、又は特定の操作を少なくとも部分的に他の操作と同時に実行する
ように、各方法の操作の順序を変更することができる。別の一実施形態では、異なる操作
の命令又は副操作は、断続的及び/又は交互の方法とすることができる。
なお、上記の説明は例示であり、限定的ではないことを意図していることが理解される
べきである。上記の説明を読み理解することにより、多くの他の実施形態が当業者にとっ
て明らかとなるであろう。したがって、本発明の範囲は、添付の特許請求の範囲を、その
ような特許請求の範囲が権利を与える均等物の全範囲と共に参照して決定されるべきであ
る。

Claims (13)

  1. 原子層堆積プロセスの第1の反復回数を使用して、処理チャンバ用のチャンバコンポーネントの表面上に酸化アルミニウムの第1の層膜を堆積する工程と、
    原子層堆積プロセスの第2の反復回数を使用して、チャンバコンポーネントの表面上に酸化イットリウムの第2の層膜を堆積する工程であって、第2の層膜は1マイクロメートルまでの範囲の厚さを有する工程と、
    原子層堆積プロセスの第3の反復回数を使用して、チャンバコンポーネントの表面上に酸化ジルコニウムの第3の層膜を堆積する工程であって、第3の層膜は、1マイクロメートルまでの範囲の厚さを有する工程と、
    第2の層膜及び第3の層膜のYZr固体相を含む耐腐食性及び耐侵食性コーティングを形成する工程であって、x及びyは、第2の層膜を堆積するために使用される原子層堆積プロセスの第2の反復回数と、第3の層膜を堆積するために使用される原子層堆積プロセスの第3の反復回数に基づく値を有する工程を含む方法。
  2. 第1の層膜が1マイクロメートルまでの範囲の厚さを有し、第2の層膜及び第3の層膜は第1の層膜の上に堆積される、請求項1に記載の方法。
  3. 第2の層膜を堆積するために用いられる前駆体は、トリス(N,N-ビス(トリメチルシリル)アミド)イットリウム(III)、トリス(シクロペンタジエニル)イットリウム(III)、トリス(ブチルシクロペンタジエニル)イットリウム(III)、又はトリス(2,2,6,6-テトラメチル-3,5-ヘプタンジオナト)イットリウム(III)の少なくとも1つを含み、第2の層膜を堆積するために用いられる反応物は、HO、O、又はOの少なくとも1つを含む、請求項1に記載の方法。
  4. 第3の層膜を堆積するために使用される前駆体は、臭化ジルコニウム(IV)、塩化ジルコニウム(IV)、ジルコニウム(IV)tert‐ブトキシド、テトラキス(ジエチルアミド)ジルコニウム(IV)、テトラキス(ジメチルアミド)ジルコニウム(IV)、又はテトラキス(エチルメチルアミド)ジルコニウム(IV)の少なくとも1つを含み、第3の層膜を堆積するために使用される反応物は、HO、O、又はOの少なくとも1つを含む、請求項1に記載の方法。
  5. 酸化イットリウムの第1の複数の追加層の1つをチャンバコンポーネントの表面上に、酸化ジルコニウムの第2の複数の追加層の1つをチャンバコンポーネントの表面上に交互に堆積する工程であって、堆積は第2の層膜、第3の層膜、第1の複数の追加層及び第2の複数の追加層の合計が目標厚さに達するまで行われる、請求項1に記載の方法。
  6. 第1の層膜はチャンバコンポーネントの表面上に直接堆積され、チャンバコンポーネントの表面と個別の境界を有する、請求項1に記載の方法。
  7. 第2の層膜及び第3の層膜のYZr固体相の形成は、第1の層膜、第2の層膜及び第3の層膜を含むチャンバコンポーネントをアニーリングして、第2の層膜及び第3の層膜を相互拡散させることを含み、アニーリングは1000℃から1800℃の範囲の温度で行われる、請求項1に記載の方法。
  8. チャンバコンポーネントは、処理チャンバ用のシャワーヘッド又はガス分配板(GDP)の少なくとも1つを含む、請求項1に記載の方法。
  9. チャンバコンポーネントは、静電チャック、リング、ガスライン、ノズル、蓋、ライナ、シールド、プラズマスクリーン、フローイコライザ、冷却ベース、チャンバ蓋、及びチャンバビューポートからなる群から選択される、請求項1に記載の方法。
  10. チャンバコンポーネントはセラミック体を含む、請求項1に記載の方法。
  11. チャンバコンポーネントはアルミナ体を含む、請求項1に記載の方法。
  12. チャンバコンポーネントは、1つ以上の高アスペクト比フィーチャを含み、1つ以上の高アスペクト比フィーチャの内部は、第1の層膜、第2の層膜、及び第3の層膜でコーティングされ、高アスペクト比フィーチャは50:1を超えるアスペクト比を有する、請求項1に記載の方法。
  13. 第1の層膜を堆積するために使用される前駆体は、ジエチルアルミニウムエトキシド、トリス(エチルメチルアミド)アルミニウム、アルミニウムsec‐ブトキシド、三臭化アルミニウム、三塩化アルミニウム、トリエチルアルミニウム、トリイソブチルアルミニウム、トリメチルアルミニウム、又はトリス(ジエチルアミド)アルミニウムの少なくとも1つを含み、第1の層膜を堆積するために使用される反応物は、HO、O、又はOの少なくとも1つを含む、請求項1に記載の方法。
JP2022128697A 2016-04-27 2022-08-12 半導体処理チャンバコンポーネント用の保護コーティングの原子層堆積 Active JP7454612B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201662328588P 2016-04-27 2016-04-27
US62/328,588 2016-04-27
US15/495,624 US11326253B2 (en) 2016-04-27 2017-04-24 Atomic layer deposition of protective coatings for semiconductor process chamber components
US15/495,624 2017-04-24
JP2017086912A JP7125251B2 (ja) 2016-04-27 2017-04-26 半導体処理チャンバコンポーネント用の保護コーティングの原子層堆積

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2017086912A Division JP7125251B2 (ja) 2016-04-27 2017-04-26 半導体処理チャンバコンポーネント用の保護コーティングの原子層堆積

Publications (2)

Publication Number Publication Date
JP2022176952A JP2022176952A (ja) 2022-11-30
JP7454612B2 true JP7454612B2 (ja) 2024-03-22

Family

ID=60158184

Family Applications (4)

Application Number Title Priority Date Filing Date
JP2017086912A Active JP7125251B2 (ja) 2016-04-27 2017-04-26 半導体処理チャンバコンポーネント用の保護コーティングの原子層堆積
JP2017250741A Ceased JP2018082201A (ja) 2016-04-27 2017-12-27 半導体処理チャンバコンポーネント用の保護コーティングの原子層堆積
JP2020003426A Active JP6956212B2 (ja) 2016-04-27 2020-01-14 半導体処理チャンバコンポーネント用の保護コーティングの原子層堆積
JP2022128697A Active JP7454612B2 (ja) 2016-04-27 2022-08-12 半導体処理チャンバコンポーネント用の保護コーティングの原子層堆積

Family Applications Before (3)

Application Number Title Priority Date Filing Date
JP2017086912A Active JP7125251B2 (ja) 2016-04-27 2017-04-26 半導体処理チャンバコンポーネント用の保護コーティングの原子層堆積
JP2017250741A Ceased JP2018082201A (ja) 2016-04-27 2017-12-27 半導体処理チャンバコンポーネント用の保護コーティングの原子層堆積
JP2020003426A Active JP6956212B2 (ja) 2016-04-27 2020-01-14 半導体処理チャンバコンポーネント用の保護コーティングの原子層堆積

Country Status (5)

Country Link
US (4) US11326253B2 (ja)
JP (4) JP7125251B2 (ja)
KR (3) KR20170122674A (ja)
CN (4) CN207193391U (ja)
TW (4) TWM556402U (ja)

Families Citing this family (237)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10861682B2 (en) 2014-07-31 2020-12-08 iSenseCloud, Inc. Test wafer with optical fiber with Bragg Grating sensors
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9828672B2 (en) 2015-03-26 2017-11-28 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11326253B2 (en) * 2016-04-27 2022-05-10 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
TWI742092B (zh) * 2016-06-13 2021-10-11 美商應用材料股份有限公司 用於ald、cvd與薄膜摻雜之鑭系、釔與鈧前驅物及使用方法
US9850573B1 (en) * 2016-06-23 2017-12-26 Applied Materials, Inc. Non-line of sight deposition of erbium based plasma resistant ceramic coating
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
WO2018132789A1 (en) * 2017-01-16 2018-07-19 Entegris, Inc. Articles coated with a fluoro-annealed film
US10186400B2 (en) * 2017-01-20 2019-01-22 Applied Materials, Inc. Multi-layer plasma resistant coating by atomic layer deposition
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10224224B2 (en) 2017-03-10 2019-03-05 Micromaterials, LLC High pressure wafer processing systems and related methods
US10975469B2 (en) * 2017-03-17 2021-04-13 Applied Materials, Inc. Plasma resistant coating of porous body by atomic layer deposition
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10755900B2 (en) * 2017-05-10 2020-08-25 Applied Materials, Inc. Multi-layer plasma erosion protection for chamber components
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US10847360B2 (en) 2017-05-25 2020-11-24 Applied Materials, Inc. High pressure treatment of silicon nitride film
JP7190450B2 (ja) 2017-06-02 2022-12-15 アプライド マテリアルズ インコーポレイテッド 炭化ホウ素ハードマスクのドライストリッピング
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
TWI794238B (zh) * 2017-07-13 2023-03-01 荷蘭商Asm智慧財產控股公司 於單一加工腔室中自半導體膜移除氧化物及碳之裝置及方法
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
CN111095513B (zh) 2017-08-18 2023-10-31 应用材料公司 高压高温退火腔室
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102659317B1 (ko) 2017-09-12 2024-04-18 어플라이드 머티어리얼스, 인코포레이티드 보호 배리어 층을 사용하여 반도체 구조들을 제조하기 위한 장치 및 방법들
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10643867B2 (en) 2017-11-03 2020-05-05 Applied Materials, Inc. Annealing system and method
KR102396319B1 (ko) 2017-11-11 2022-05-09 마이크로머티어리얼즈 엘엘씨 고압 프로세싱 챔버를 위한 가스 전달 시스템
CN111373519B (zh) 2017-11-16 2021-11-23 应用材料公司 高压蒸气退火处理设备
KR20200075892A (ko) 2017-11-17 2020-06-26 어플라이드 머티어리얼스, 인코포레이티드 고압 처리 시스템을 위한 컨덴서 시스템
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
WO2019113351A1 (en) 2017-12-07 2019-06-13 Lam Research Corporation Oxidation resistant protective layer in chamber conditioning
US10760158B2 (en) * 2017-12-15 2020-09-01 Lam Research Corporation Ex situ coating of chamber components for semiconductor processing
TWI748145B (zh) * 2017-12-18 2021-12-01 美商恩特葛瑞斯股份有限公司 藉由原子層沉積塗覆所得之耐化學性多層塗層
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
WO2019147400A1 (en) 2018-01-24 2019-08-01 Applied Materials, Inc. Seam healing using high pressure anneal
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11087961B2 (en) * 2018-03-02 2021-08-10 Lam Research Corporation Quartz component with protective coating
EP3762962A4 (en) 2018-03-09 2021-12-08 Applied Materials, Inc. HIGH PRESSURE ANNEALING PROCESS FOR METAL-BASED MATERIALS
EP3768874A4 (en) 2018-03-19 2022-03-30 Applied Materials, Inc. METHODS FOR DEPOSITING COATINGS ON AEROSPACE ELEMENTS
JP7090789B2 (ja) * 2018-03-26 2022-06-24 スーチョウ・ジェルナノ・カーボン・カンパニー・リミテッド カーボンナノチューブ製造システム
WO2019186637A1 (ja) * 2018-03-26 2019-10-03 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10714331B2 (en) 2018-04-04 2020-07-14 Applied Materials, Inc. Method to fabricate thermally stable low K-FinFET spacer
US10443126B1 (en) * 2018-04-06 2019-10-15 Applied Materials, Inc. Zone-controlled rare-earth oxide ALD and CVD coatings
CN108417620B (zh) * 2018-04-20 2021-06-15 华南理工大学 一种氧化物绝缘体薄膜及薄膜晶体管
EP3784815A4 (en) 2018-04-27 2021-11-03 Applied Materials, Inc. PROTECTION OF COMPONENTS AGAINST CORROSION
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US20190352781A1 (en) * 2018-05-17 2019-11-21 Hamilton Sundstrand Corporation Corrosion barrier
US10566188B2 (en) 2018-05-17 2020-02-18 Applied Materials, Inc. Method to improve film stability
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US10704141B2 (en) * 2018-06-01 2020-07-07 Applied Materials, Inc. In-situ CVD and ALD coating of chamber to control metal contamination
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US20200024735A1 (en) * 2018-07-18 2020-01-23 Applied Materials, Inc. Erosion resistant metal fluoride coatings deposited by atomic layer deposition
US11667575B2 (en) * 2018-07-18 2023-06-06 Applied Materials, Inc. Erosion resistant metal oxide coatings
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US10675581B2 (en) 2018-08-06 2020-06-09 Applied Materials, Inc. Gas abatement apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11009339B2 (en) 2018-08-23 2021-05-18 Applied Materials, Inc. Measurement of thickness of thermal barrier coatings using 3D imaging and surface subtraction methods for objects with complex geometries
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
JP7058575B2 (ja) * 2018-09-12 2022-04-22 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、基板処理装置、およびプログラム
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US20200131634A1 (en) * 2018-10-26 2020-04-30 Asm Ip Holding B.V. High temperature coatings for a preclean and etch apparatus and related methods
WO2020092002A1 (en) 2018-10-30 2020-05-07 Applied Materials, Inc. Methods for etching a structure for semiconductor applications
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
JP2022507390A (ja) 2018-11-16 2022-01-18 アプライド マテリアルズ インコーポレイテッド 強化拡散プロセスを使用する膜の堆積
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
CN111364027A (zh) * 2018-12-25 2020-07-03 广东聚华印刷显示技术有限公司 原子层沉积腔室部件及其制备方法、以及原子层沉积设备
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11390947B2 (en) * 2019-03-04 2022-07-19 Applied Materials, Inc. Method of forming a fluorinated metal film
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US10858741B2 (en) 2019-03-11 2020-12-08 Applied Materials, Inc. Plasma resistant multi-layer architecture for high aspect ratio parts
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
JP2022529243A (ja) * 2019-04-16 2022-06-20 ラム リサーチ コーポレーション 表面被覆処理
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
WO2020219332A1 (en) * 2019-04-26 2020-10-29 Applied Materials, Inc. Methods of protecting aerospace components against corrosion and oxidation
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
US11794382B2 (en) * 2019-05-16 2023-10-24 Applied Materials, Inc. Methods for depositing anti-coking protective coatings on aerospace components
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
US11697879B2 (en) 2019-06-14 2023-07-11 Applied Materials, Inc. Methods for depositing sacrificial coatings on aerospace components
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
KR20210006229A (ko) * 2019-07-08 2021-01-18 주성엔지니어링(주) 기판 처리 장치의 챔버 클리닝 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
US11631571B2 (en) 2019-08-12 2023-04-18 Kurt J. Lesker Company Ultra high purity conditions for atomic scale processing
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11466364B2 (en) * 2019-09-06 2022-10-11 Applied Materials, Inc. Methods for forming protective coatings containing crystallized aluminum oxide
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112553598B (zh) * 2019-09-25 2023-03-28 中微半导体设备(上海)股份有限公司 利用ald技术增强修复刻蚀设备部件阳极氧化涂层的方法
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210042810A (ko) * 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US20210123143A1 (en) * 2019-10-23 2021-04-29 Applied Materials, Inc. Hafnium aluminum oxide coatings deposited by atomic layer deposition
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20220113458A (ko) * 2019-12-09 2022-08-12 엔테그리스, 아이엔씨. 다중 장벽 재료로 제조된 확산 장벽, 그리고 관련 물품 및 방법
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11661650B2 (en) * 2020-04-10 2023-05-30 Applied Materials, Inc. Yttrium oxide based coating composition
US11658014B2 (en) 2020-04-11 2023-05-23 Applied Materials, Inc. Apparatuses and methods of protecting nickel and nickel containing components with thin films
WO2021211727A1 (en) * 2020-04-14 2021-10-21 Entegris, Inc. Yttrium fluoride films and methods of preparing and using yttrium fluoride films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
CN211972444U (zh) * 2020-04-23 2020-11-20 京东方科技集团股份有限公司 一种导流器及等离子化学气相沉积设备
JP2021172884A (ja) 2020-04-24 2021-11-01 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
US11519066B2 (en) 2020-05-21 2022-12-06 Applied Materials, Inc. Nitride protective coatings on aerospace components and methods for making the same
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
EP4175772A1 (en) 2020-07-03 2023-05-10 Applied Materials, Inc. Methods for refurbishing aerospace components
EP4179127A1 (en) * 2020-07-09 2023-05-17 Entegris, Inc. Coatings that contain fluorinated yttrium oxide and a metal oxide, and methods of preparing and using the coatings
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
KR20220033742A (ko) * 2020-09-10 2022-03-17 주식회사 테스 식각 물질로부터 장치를 보호하는 방법 및 산화막 형성 방법
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) * 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
FI130562B (en) * 2021-05-21 2023-11-21 Picosun Oy Plasma resistant coating, related manufacturing process and uses
KR20230012675A (ko) 2021-07-16 2023-01-26 (주)위지트 반도체 공정 챔버 부품들의 원자층 증착 방법
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US20230416913A1 (en) * 2022-06-28 2023-12-28 Entegris, Inc. Modules for delivery systems and related methods
KR102581079B1 (ko) 2022-10-21 2023-09-21 (주)위지트 반도체 공정 챔버 부품 원자층 증착 방법

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001355070A (ja) 2000-04-14 2001-12-25 Asm Microchemistry Oy 酸化物薄膜を製造する方法
JP2007005545A (ja) 2005-06-23 2007-01-11 Tokyo Electron Ltd 半導体処理装置の表面処理方法
US20090194233A1 (en) 2005-06-23 2009-08-06 Tokyo Electron Limited Component for semicondutor processing apparatus and manufacturing method thereof
US20110052833A1 (en) 2009-08-27 2011-03-03 Applied Materials, Inc. Gas distribution showerhead and method of cleaning
US20130216821A1 (en) 2012-02-22 2013-08-22 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
US20140377504A1 (en) 2013-06-20 2014-12-25 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US20150307982A1 (en) 2014-04-25 2015-10-29 Applied Materials, Inc. Plasma erosion resistant thin film coating for high temperature application
US20150376760A1 (en) 2014-06-25 2015-12-31 Fm Industries, Inc. Emissivity controlled coatings for semiconductor chamber components

Family Cites Families (104)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03115535A (ja) 1989-09-28 1991-05-16 Nippon Mining Co Ltd 希土類金属の酸素低減方法
US5805973A (en) 1991-03-25 1998-09-08 General Electric Company Coated articles and method for the prevention of fuel thermal degradation deposits
US5630314A (en) 1992-09-10 1997-05-20 Hitachi, Ltd. Thermal stress relaxation type ceramic coated heat-resistant element
JP3362113B2 (ja) 1997-07-15 2003-01-07 日本碍子株式会社 耐蝕性部材、ウエハー設置部材および耐蝕性部材の製造方法
JP3510993B2 (ja) 1999-12-10 2004-03-29 トーカロ株式会社 プラズマ処理容器内部材およびその製造方法
US6685991B2 (en) 2000-07-31 2004-02-03 Shin-Etsu Chemical Co., Ltd. Method for formation of thermal-spray coating layer of rare earth fluoride
US6660660B2 (en) 2000-10-10 2003-12-09 Asm International, Nv. Methods for making a dielectric stack in an integrated circuit
US7371633B2 (en) 2001-02-02 2008-05-13 Samsung Electronics Co., Ltd. Dielectric layer for semiconductor device and method of manufacturing the same
EP1239055B1 (en) 2001-03-08 2017-03-01 Shin-Etsu Chemical Co., Ltd. Thermal spray spherical particles, and sprayed components
JP2002356387A (ja) 2001-03-30 2002-12-13 Toshiba Ceramics Co Ltd 耐プラズマ性部材
US7670688B2 (en) 2001-06-25 2010-03-02 Applied Materials, Inc. Erosion-resistant components for plasma process chambers
JP4277973B2 (ja) 2001-07-19 2009-06-10 日本碍子株式会社 イットリア−アルミナ複合酸化物膜の製造方法、イットリア−アルミナ複合酸化物膜および耐蝕性部材
JP4921652B2 (ja) 2001-08-03 2012-04-25 エイエスエム インターナショナル エヌ.ヴェー. イットリウム酸化物およびランタン酸化物薄膜を堆積する方法
US20030029563A1 (en) * 2001-08-10 2003-02-13 Applied Materials, Inc. Corrosion resistant coating for semiconductor processing chamber
US6942929B2 (en) 2002-01-08 2005-09-13 Nianci Han Process chamber having component with yttrium-aluminum coating
US7371467B2 (en) 2002-01-08 2008-05-13 Applied Materials, Inc. Process chamber component having electroplated yttrium containing coating
US20080213496A1 (en) 2002-02-14 2008-09-04 Applied Materials, Inc. Method of coating semiconductor processing apparatus with protective yttrium-containing coatings
JP2003277051A (ja) 2002-03-22 2003-10-02 Ngk Insulators Ltd イットリア−アルミナ複合酸化物膜を有する積層体、イットリア−アルミナ複合酸化物膜、耐蝕性部材、耐蝕性膜およびイットリア−アルミナ複合酸化物膜の製造方法
CN100439561C (zh) * 2002-04-19 2008-12-03 马特森技术公司 使用低蒸气压气体前体向基材上沉积膜的系统
DE60319470T2 (de) 2002-08-02 2009-03-26 Fujikura Ltd. Herstellungsverfahren für einen polykristallinen Dünnfilm und Herstellungsverfahren für ein Oxidsupraleiter-Bauelement
US7780786B2 (en) 2002-11-28 2010-08-24 Tokyo Electron Limited Internal member of a plasma processing vessel
CN100495413C (zh) 2003-03-31 2009-06-03 东京毅力科创株式会社 用于邻接在处理元件上的相邻覆层的方法
US20050037536A1 (en) 2003-08-12 2005-02-17 Szu Yu Lai Semiconductor packaging structure and method for forming the same
KR100618630B1 (ko) 2003-10-24 2006-09-13 도시바세라믹스가부시키가이샤 내플라즈마 부재 및 그 제조방법 및 열분사막 형성방법
US7220497B2 (en) 2003-12-18 2007-05-22 Lam Research Corporation Yttria-coated ceramic components of semiconductor material processing apparatuses and methods of manufacturing the components
JP4606121B2 (ja) 2004-01-29 2011-01-05 京セラ株式会社 耐食膜積層耐食性部材およびその製造方法
JP4722501B2 (ja) 2004-01-29 2011-07-13 三星電子株式会社 半導体素子の多層誘電体構造物、半導体及びその製造方法
JP4483364B2 (ja) 2004-03-24 2010-06-16 ソニー株式会社 半導体装置の製造方法
US7119032B2 (en) 2004-08-23 2006-10-10 Air Products And Chemicals, Inc. Method to protect internal components of semiconductor processing equipment using layered superlattice materials
JP2006082474A (ja) 2004-09-17 2006-03-30 Tosoh Corp 樹脂部材
JP2006186306A (ja) 2004-09-30 2006-07-13 Toshiba Ceramics Co Ltd ガス拡散プレートおよびその製造方法
KR101226120B1 (ko) 2004-10-26 2013-01-24 쿄세라 코포레이션 내식성 부재 및 그 제조방법
KR20060098936A (ko) 2005-03-09 2006-09-19 삼성에스디아이 주식회사 플라즈마 디스플레이 패널
KR101322549B1 (ko) 2005-06-17 2013-10-25 고쿠리츠다이가쿠호진 도호쿠다이가쿠 금속 부재의 보호막 구조 및 보호막 구조를 이용한 금속부품 그리고 보호막 구조를 이용한 반도체 또는 평판디스플레이 제조 장치
US7968205B2 (en) 2005-10-21 2011-06-28 Shin-Etsu Chemical Co., Ltd. Corrosion resistant multilayer member
JP2007217782A (ja) 2006-02-20 2007-08-30 Showa Denko Kk 希土類元素のフッ化物皮膜を有する耐食性皮膜およびその製造方法
US20070237697A1 (en) 2006-03-31 2007-10-11 Tokyo Electron Limited Method of forming mixed rare earth oxide and aluminate films by atomic layer deposition
US8440049B2 (en) * 2006-05-03 2013-05-14 Applied Materials, Inc. Apparatus for etching high aspect ratio features
JP4546447B2 (ja) 2006-12-22 2010-09-15 トーカロ株式会社 耐プラズマエロージョン性に優れる溶射皮膜被覆部材およびその製造方法
JP5252613B2 (ja) 2006-12-25 2013-07-31 国立大学法人東北大学 イオン注入装置およびイオン注入方法
TW200840880A (en) 2007-04-13 2008-10-16 Hsin-Chih Lin Method of forming protection layer on contour of workpiece
US7696117B2 (en) 2007-04-27 2010-04-13 Applied Materials, Inc. Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
US10622194B2 (en) 2007-04-27 2020-04-14 Applied Materials, Inc. Bulk sintered solid solution ceramic which exhibits fracture toughness and halogen plasma resistance
CN101418435A (zh) 2007-10-26 2009-04-29 林新智 在工件的轮廓上形成保护层的方法
US8206829B2 (en) * 2008-11-10 2012-06-26 Applied Materials, Inc. Plasma resistant coatings for plasma chamber components
US8858745B2 (en) 2008-11-12 2014-10-14 Applied Materials, Inc. Corrosion-resistant bonding agents for bonding ceramic components which are exposed to plasmas
US9017765B2 (en) 2008-11-12 2015-04-28 Applied Materials, Inc. Protective coatings resistant to reactive plasma processing
TW201100578A (en) 2009-06-19 2011-01-01 Saint Gobain Ceramics & Plastics Inc Sealed plasma coatings
JP5270476B2 (ja) * 2009-07-07 2013-08-21 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置
FI20095947A0 (fi) 2009-09-14 2009-09-14 Beneq Oy Monikerrospinnoite, menetelmä monikerrospinnoitteen valmistamiseksi, ja sen käyttötapoja
KR20110037282A (ko) 2009-10-06 2011-04-13 (주)티티에스 기판 처리 장치용 부재 및 이의 제조 방법 및 기판 처리 장치
US20110091700A1 (en) 2009-10-20 2011-04-21 Saint-Gobain Ceramics & Plastics, Inc. Microelectronic processing component having a corrosion-resistant layer, microelectronic workpiece processing apparatus incorporating same, and method of forming an article having the corrosion-resistant layer
DE102010015470A1 (de) 2010-04-16 2011-10-20 Forschungszentrum Jülich GmbH Verfahren zur Innenbeschichtung von Funktionsschichten mit einem Vergütungsmaterial
US8619406B2 (en) 2010-05-28 2013-12-31 Fm Industries, Inc. Substrate supports for semiconductor applications
JP2012059834A (ja) 2010-09-07 2012-03-22 Hitachi Kokusai Electric Inc 半導体装置の製造方法
US8916021B2 (en) 2010-10-27 2014-12-23 Applied Materials, Inc. Electrostatic chuck and showerhead with enhanced thermal properties and methods of making thereof
JP5761784B2 (ja) 2011-01-31 2015-08-12 ダイハツ工業株式会社 オイルシールの圧入方法
KR20130025025A (ko) 2011-09-01 2013-03-11 주식회사 코미코 정전척
US8288297B1 (en) 2011-09-01 2012-10-16 Intermolecular, Inc. Atomic layer deposition of metal oxide materials for memory applications
US20130064973A1 (en) 2011-09-09 2013-03-14 Taiwan Semiconductor Manufacturing Company, Ltd. Chamber Conditioning Method
US9090046B2 (en) 2012-04-16 2015-07-28 Applied Materials, Inc. Ceramic coated article and process for applying ceramic coating
US9394615B2 (en) 2012-04-27 2016-07-19 Applied Materials, Inc. Plasma resistant ceramic coated conductive article
US9023427B2 (en) 2012-05-16 2015-05-05 Asm Ip Holding B.V. Methods for forming multi-component thin films
JP6117195B2 (ja) 2012-05-22 2017-04-19 株式会社東芝 プラズマ処理装置用部品およびプラズマ処理装置用部品の製造方法
US9343289B2 (en) 2012-07-27 2016-05-17 Applied Materials, Inc. Chemistry compatible coating material for advanced device on-wafer particle performance
CN103794458B (zh) 2012-10-29 2016-12-21 中微半导体设备(上海)有限公司 用于等离子体处理腔室内部的部件及制造方法
CN103794445B (zh) 2012-10-29 2016-03-16 中微半导体设备(上海)有限公司 用于等离子体处理腔室的静电夹盘组件及制造方法
CN103794460B (zh) 2012-10-29 2016-12-21 中微半导体设备(上海)有限公司 用于半导体装置性能改善的涂层
US9685356B2 (en) 2012-12-11 2017-06-20 Applied Materials, Inc. Substrate support assembly having metal bonded protective layer
CN103215535B (zh) 2013-04-16 2014-10-22 中国科学院金属研究所 一种等离子刻蚀腔体表面防护涂层的制备方法
US9865434B2 (en) 2013-06-05 2018-01-09 Applied Materials, Inc. Rare-earth oxide based erosion resistant coatings for semiconductor application
US9711334B2 (en) 2013-07-19 2017-07-18 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based thin film coatings on process rings
US9583369B2 (en) 2013-07-20 2017-02-28 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles
US9624593B2 (en) 2013-08-29 2017-04-18 Applied Materials, Inc. Anodization architecture for electro-plate adhesion
US9440886B2 (en) 2013-11-12 2016-09-13 Applied Materials, Inc. Rare-earth oxide based monolithic chamber material
US9725799B2 (en) 2013-12-06 2017-08-08 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
CN104715993B (zh) 2013-12-13 2017-02-22 中微半导体设备(上海)有限公司 等离子体处理腔室、气体喷淋头及其制造方法
US9975320B2 (en) 2014-01-13 2018-05-22 Applied Materials, Inc. Diffusion bonded plasma resisted chemical vapor deposition (CVD) chamber heater
WO2015120265A1 (en) 2014-02-07 2015-08-13 Entegris, Inc. Electrostatic chuck and method of making same
US20170022595A1 (en) 2014-03-31 2017-01-26 Kabushiki Kaisha Toshiba Plasma-Resistant Component, Method For Manufacturing The Plasma-Resistant Component, And Film Deposition Apparatus Used For Manufacturing The Plasma-Resistant Component
US20150311043A1 (en) 2014-04-25 2015-10-29 Applied Materials, Inc. Chamber component with fluorinated thin film coating
US9869013B2 (en) 2014-04-25 2018-01-16 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
US10730798B2 (en) 2014-05-07 2020-08-04 Applied Materials, Inc. Slurry plasma spray of plasma resistant ceramic coating
US10196728B2 (en) 2014-05-16 2019-02-05 Applied Materials, Inc. Plasma spray coating design using phase and stress control
CN105088141A (zh) 2014-05-23 2015-11-25 中微半导体设备(上海)有限公司 电感耦合型等离子体处理腔室及其抗腐蚀绝缘窗口及制造方法
US9551070B2 (en) 2014-05-30 2017-01-24 Applied Materials, Inc. In-situ corrosion resistant substrate support coating
CN105225997B (zh) 2014-06-12 2018-01-23 中微半导体设备(上海)有限公司 一种静电夹盘及静电夹盘的制造方法
KR20160030812A (ko) 2014-09-11 2016-03-21 삼성전자주식회사 플라즈마 처리 장치
CN105428195B (zh) 2014-09-17 2018-07-17 东京毅力科创株式会社 等离子体处理装置用的部件和部件的制造方法
US10141582B2 (en) 2014-12-22 2018-11-27 Sonata Scientific LLC SOFC interconnect barriers and methods of making same
SG11201706564UA (en) 2015-02-13 2017-09-28 Entegris Inc Coatings for enhancement of properties and performance of substrate articles and apparatus
US20160254125A1 (en) 2015-02-27 2016-09-01 Lam Research Corporation Method for coating surfaces
KR101891381B1 (ko) 2015-03-18 2018-08-24 엔테그리스, 아이엔씨. 플루오로-어닐링된 필름으로 코팅된 물품
US9828672B2 (en) 2015-03-26 2017-11-28 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
US9790582B2 (en) 2015-04-27 2017-10-17 Lam Research Corporation Long lifetime thermal spray coating for etching or deposition chamber application
US20160358749A1 (en) 2015-06-04 2016-12-08 Lam Research Corporation Plasma etching device with plasma etch resistant coating
US20160379806A1 (en) 2015-06-25 2016-12-29 Lam Research Corporation Use of plasma-resistant atomic layer deposition coatings to extend the lifetime of polymer components in etch chambers
US20160375515A1 (en) * 2015-06-29 2016-12-29 Lam Research Corporation Use of atomic layer deposition coatings to protect brazing line against corrosion, erosion, and arcing
US9842054B2 (en) 2015-07-08 2017-12-12 Hon Hai Precision Industry Co., Ltd. Computing device and method for processing data in cache memory of the computing device
KR101916872B1 (ko) 2015-10-15 2018-11-08 아이원스 주식회사 반도체 공정 장비 부품의 코팅층 재생 방법 및 이에 따른 반도체 공정 장비 부품
US9972520B2 (en) 2015-11-17 2018-05-15 National Chung-Shan Institute Of Science And Technology Aluminum nitride electrostatic chuck used in high temperature and high plasma power density semiconductor manufacturing process
US11326253B2 (en) 2016-04-27 2022-05-10 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
US9850573B1 (en) 2016-06-23 2017-12-26 Applied Materials, Inc. Non-line of sight deposition of erbium based plasma resistant ceramic coating
US10186400B2 (en) 2017-01-20 2019-01-22 Applied Materials, Inc. Multi-layer plasma resistant coating by atomic layer deposition

Patent Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001355070A (ja) 2000-04-14 2001-12-25 Asm Microchemistry Oy 酸化物薄膜を製造する方法
US20020042165A1 (en) 2000-04-14 2002-04-11 Matti Putkonen Process for producing oxide thin films
JP2007005545A (ja) 2005-06-23 2007-01-11 Tokyo Electron Ltd 半導体処理装置の表面処理方法
US20090194233A1 (en) 2005-06-23 2009-08-06 Tokyo Electron Limited Component for semicondutor processing apparatus and manufacturing method thereof
US20110052833A1 (en) 2009-08-27 2011-03-03 Applied Materials, Inc. Gas distribution showerhead and method of cleaning
JP2013503490A (ja) 2009-08-27 2013-01-31 アプライド マテリアルズ インコーポレイテッド ガス分配シャワーヘッドおよび洗浄方法
US20130216821A1 (en) 2012-02-22 2013-08-22 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
JP2015512848A (ja) 2012-02-22 2015-04-30 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated セラミックコーティングを有する熱処理されたセラミック基板及びコートされたセラミックスへの熱処理
US20140377504A1 (en) 2013-06-20 2014-12-25 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US20150307982A1 (en) 2014-04-25 2015-10-29 Applied Materials, Inc. Plasma erosion resistant thin film coating for high temperature application
US20150376760A1 (en) 2014-06-25 2015-12-31 Fm Industries, Inc. Emissivity controlled coatings for semiconductor chamber components

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
Cynthia N. Ginestra, Raghavasimhan Sreenivasan, Annamalai Karthikeyan, Shriram Ramanathan and Paul C. McIntyre,Atomic Layer Deposition of Y2O3/ZrO2 Nanolaminates: A Route to Ultrathin Solid-State Electrolyte Membranes,Electrochemical and Solid-State Letters,米国,Electrochemical Society,2007年07月23日,10,B161,https://doi.org/10.1149/1.2759606
Kiho Bae, Kyung Sik Son, Jun Woo Kim, Suk Won Park, Jihwan An, Fritz B. Prinz, Joon Hyung Shim,Proton incorporation in yttria-stabilized zirconia during atomic layer deposition,International Journal of Hydrogen Energy,Elsevier,2014年01月08日,39,2621-2627,https://doi.org/10.1016/j.ijhydene.2013.11.023

Also Published As

Publication number Publication date
TW201812846A (zh) 2018-04-01
JP2022176952A (ja) 2022-11-30
TWI727037B (zh) 2021-05-11
KR20180006990A (ko) 2018-01-19
KR102230128B1 (ko) 2021-03-18
CN107313027B (zh) 2020-12-22
US20220235458A1 (en) 2022-07-28
JP2017199907A (ja) 2017-11-02
US11198937B2 (en) 2021-12-14
US11198936B2 (en) 2021-12-14
TWI723242B (zh) 2021-04-01
US20190271076A1 (en) 2019-09-05
TWM556402U (zh) 2018-03-01
TW201812075A (zh) 2018-04-01
KR20170122674A (ko) 2017-11-06
JP2020097788A (ja) 2020-06-25
JP7125251B2 (ja) 2022-08-24
KR20190057252A (ko) 2019-05-28
TW201947634A (zh) 2019-12-16
CN110230038A (zh) 2019-09-13
US11326253B2 (en) 2022-05-10
CN108179401B (zh) 2020-11-13
CN107313027A (zh) 2017-11-03
US20180105932A1 (en) 2018-04-19
CN110230038B (zh) 2021-11-19
CN108179401A (zh) 2018-06-19
TWI734124B (zh) 2021-07-21
JP2018082201A (ja) 2018-05-24
JP6956212B2 (ja) 2021-11-02
CN207193391U (zh) 2018-04-06
KR102329708B1 (ko) 2021-11-19
US20170314125A1 (en) 2017-11-02

Similar Documents

Publication Publication Date Title
JP7454612B2 (ja) 半導体処理チャンバコンポーネント用の保護コーティングの原子層堆積
JP7134283B2 (ja) エルビウム系プラズマ耐性セラミックコーティングの見通し外堆積
JP2019214790A (ja) 拡散障壁層及び浸食防止層を有する多層コーティング
JP3224064U (ja) 原子層堆積法で堆積させた耐浸食性金属酸化物コーティング
JP3224084U (ja) 原子層堆積法で堆積させた耐浸食性金属フッ化物コーティング

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20220812

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20230810

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20230822

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20231107

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20240208

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20240311

R150 Certificate of patent or registration of utility model

Ref document number: 7454612

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150