JP7134283B2 - エルビウム系プラズマ耐性セラミックコーティングの見通し外堆積 - Google Patents

エルビウム系プラズマ耐性セラミックコーティングの見通し外堆積 Download PDF

Info

Publication number
JP7134283B2
JP7134283B2 JP2021049459A JP2021049459A JP7134283B2 JP 7134283 B2 JP7134283 B2 JP 7134283B2 JP 2021049459 A JP2021049459 A JP 2021049459A JP 2021049459 A JP2021049459 A JP 2021049459A JP 7134283 B2 JP7134283 B2 JP 7134283B2
Authority
JP
Japan
Prior art keywords
erbium
layer
mol
article
resistant ceramic
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2021049459A
Other languages
English (en)
Other versions
JP2021107580A (ja
Inventor
ジェニファー ワイ サン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2021107580A publication Critical patent/JP2021107580A/ja
Application granted granted Critical
Publication of JP7134283B2 publication Critical patent/JP7134283B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/403Oxides of aluminium, magnesium or beryllium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32467Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • H01J37/32495Means for protecting the vessel against plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Description

本開示の実施形態は、見通し外堆積(NLOS)技術を用いたエルビウム含有セラミックコーティングでチャンバコンポーネントをコーティングする方法に関する。
背景
様々な製造プロセスは、半導体処理チャンバコンポーネントを高温、高エネルギープラズマ、腐食性ガスの混合物、高い応力、及びそれらの組み合わせに曝露する。これらの極端な条件は、チャンバコンポーネントを侵食、及び/又は腐食させ、チャンバコンポーネントの欠陥に対する感受性を増加させる可能性がある。このような極端な環境下で、これらの欠陥を低減し、コンポーネントの侵食、及び/又は腐食に対する耐性を改善することが望ましい。
典型的には、保護コーティングは、様々な方法(例えば、熱溶射、スパッタリング、又は蒸発技術)によってチャンバコンポーネント上に堆積される。これらの技術では、コーティング材料源に直接的に曝露されない(例えば、材料源の見通し外にある)チャンバコンポーネントの表面は、コーティング材料源に直接的に曝露される表面よりも著しく薄いコーティングでコーティングされる。これは、品質の悪い膜、低密度の膜、又はチャンバコンポーネントの一部が全くコーティングされないという結果をもたらす可能性がある。
特定の劣悪な環境からの侵食、及び/又は腐食に対し、他の材料より耐性が強い材料がある。
概要
本明細書に記載された実施形態の幾つかは、見通し外(NLOS)の堆積方法によりチャンバコンポーネント上にプラズマ耐性セラミックコーティングを形成するために、エルビウム含有酸化物、エルビウム含有オキシフッ化物、又はエルビウム含有フッ化物を用いる方法をカバーする。実施形態では、用いることができるNLOS法は、化学気相堆積(CVD)、及び原子層堆積(ALD)である。
幾つかの実施形態では、チャンバコンポーネントは、10:1~200:1のアスペクト比を有する部分を含む。更に、チャンバコンポーネントは、チャンバコンポーネントの一部の表面上にプラズマ耐性セラミックコーティングを含む。プラズマ耐性セラミックコーティングは、エルビウム含有酸化物、エルビウム含有オキシフッ化物、又はエルビウム含有フッ化物からなる。プラズマ耐性セラミックコーティングは、ほぼ0の気孔率を有し、±5%未満の厚さ変動の均一な厚さを有する。
幾つかの実施形態では、チャンバコンポーネントは、10:1~200:1のアスペクト比を有する部分を含む。更に、チャンバコンポーネントは、チャンバコンポーネントの一部の表面上にプラズマ耐性セラミックコーティングを含む。プラズマ耐性セラミックコーティングはErからなる。プラズマ耐性セラミックコーティングは、ほぼ0の気孔率を有し、±5%未満の厚さ変動の均一な厚さを有する。
本開示は、同様の参照符号が同様の要素を示す添付図面の図において、限定ではなく、例として示されている。本開示における「1つの」又は「一」実施形態への異なる参照は必ずしも同じ実施形態に限定されず、そのような参照は「少なくとも1つ」を意味することに留意すべきである。
処理チャンバの一実施形態の断面図を示す。 実施形態による、様々な原子層堆積技術及び化学気相堆積技術による堆積プロセスを示す。 実施形態による、原子層堆積又は化学気相堆積のいずれかを用いてセラミックコーティングを生成する方法を示す。 一実施形態による、原子層堆積を用いたセラミックコーティングを生成する方法を示す。 異なる実施形態による、多成分コーティング組成物の変形例を示す。 一実施形態によるチャンバコンポーネント(シャワーヘッド)を示す。 一実施形態による、内部がコーティングされた、高アスペクト比を有するガス導管の拡大図を示す。 異なるセラミックのプラズマエッチングエロージョン速度を時間当たりのミクロン単位で比較したチャートである。
実施形態の詳細な説明
本明細書に記載された実施形態は、見通し外(NLOS)堆積プロセスを用いて、チャンバコンポーネント又は他の物品上に、エルビウム系酸化物、エルビウム系フッ化物、又はエルビウム系オキシフッ化物を含むエルビウム系プラズマ耐性セラミックコーティングを堆積させる方法をカバーする。NLOS堆積プロセスは、化学蒸着(CVD)、又は原子層エピタキシー、原子単層エピタキシー、及び原子層化学気相堆積としても知られている原子層堆積(ALD)であってもよい。幾つかの実施形態では、プラズマ耐性コーティングは多層スタックを含むことができる。多層スタックは、1つの層としてのエルビウム含有酸化物又はエルビウム含有フッ化物と、他の層としての異なる酸化物又はフッ化物材料と、Er、ErF、Al、YF、Y又はZrOの1以上の追加層を含むことができる。層の順序は、所望の厚さが達成されるまで繰り返えすことができる。第1の層、第2の層及び追加の層の間に1以上の相互拡散された固体相を生成するために、この多層スタックはアニールされてもよい。相互拡散された多層スタックは、異なる層の構成材料を含む均質な又はほぼ均質なコーティングであってもよい。
本明細書に記載の実施形態は、チャンバコンポーネント及び他の物品の高アスペクト比のフィーチャが、エルビウム含有酸化物、エルビウム含有フッ化物及びエルビウム含有オキシフッ化物のプラズマ耐性セラミックコーティングで効果的にコーティングされることを可能にする。プラズマ耐性セラミックコーティングはコンフォーマルであり(例えば、約±5%未満の厚さ変動)、且つ非常に緻密である(例えば、0%又は約0%の気孔率)。エルビウム含有酸化物、エルビウム含有フッ化物及びエルビウム含有オキシフッ化物のプラズマ耐性セラミックコーティングは、CCl/CHFプラズマエッチングケミストリのような特定のプラズマエッチングケミストリからの腐食及び侵食に対して特に耐性を有することができる。
CVDは、物品表面上での材料のコンフォーマルな堆積を可能にする。揮発性の前駆体又は前駆体の混合物は、一定の速度で処理チャンバを通って流れる。前駆体は、チャンバ内で、物品表面上に所望の生成物を堆積させるように反応する。堆積される材料の量は、堆積時間の関数である。堆積時間が長いほど、得られる膜は厚くなる。多くの場合、化学反応の他の副生成物も生成される。これらはチャンバを通るガス流によって除去される。CVDプロセスは、大気圧から低圧(すなわち、~10-8Torr)の圧力の範囲で行うことができる。
ALDは、物品の表面との化学反応により制御された自己制限的な物質の堆積を可能にする。コンフォーマルプロセスであることとは別として、ALDは均一なプロセスでもある。物品の全ての露出面には、同一又はほぼ同一量の物質が堆積する。ALDプロセスの典型的な反応サイクルは、前駆体をALDチャンバに十分に供給することにより始まる。次に、その前駆体がALDチャンバから排出されて、その後、第2の前駆体がALDチャンバに導入され、続いて排出される。物品表面と化学前駆体との反応は、1原子層の厚さの化学的に結合した層を生成する。プロセスによって生成される可能性がある余分な材料は排出される。CVDとは異なり、ALDを用いて成長された材料の厚さは堆積時間に依存しない。ALDの場合、各反応サイクルが1原子層の厚さの層を成長させるため、材料の最終厚さは実行される反応サイクルの数に依存する。
処理チャンバコンポーネント(例えば、シャワーヘッド、ノズル、ディフューザ及びガスライン)は、これらのプラズマ耐性エルビウム含有セラミックコーティングを有することにより、過酷なエッチング環境でも保護を受けることができる。これらのチャンバコンポーネントの多くは10:1~200:1の範囲のアスペクト比を有しているので、従来の見通し内堆積方法を用いて良好にコーティングすることは困難である。本明細書に記載の実施形態は、上述の処理チャンバコンポーネントのような高アスペクト比の物品を、物品を保護するプラズマ耐性セラミックコーティングでコーティングすることを可能にする。例えば、実施形態は、ガスラインの内部、ノズルの内部、シャワーヘッドのホールの内部等を、エルビウム含有セラミックコーティングでコーティングすることを可能にする。
図1は、本発明の実施形態による、酸化エルビウム系コーティング、フッ化エルビウム系コーティング又はエルビウムオキシフッ化物ベースのコーティングであるプラズマ耐性セラミックコーティングでコーティングされた1以上のチャンバコンポーネントを有する半導体処理チャンバ100の断面図である。処理チャンバ100は、プラズマ処理条件を有する腐食性プラズマ環境が提供されるプロセスに用いることができる。例えば、処理チャンバ100は、プラズマエッチャ、即ちプラズマエッチリアクタ、プラズマクリーナ等のためのチャンバであってもよい。プラズマ耐性セラミックコーティングを備えることができるチャンバコンポーネントの例には、複雑な形状と高アスペクト比を有するホールを備えたチャンバコンポーネントが含まれる。幾つかの例示的なチャンバコンポーネントには、基板支持アセンブリ148、静電チャック(ESC)150、リング(例えば、プロセスキットリング又は単一リング)、チャンバ壁、ベース、ガス分配プレート、処理チャンバのシャワーヘッド、ガスライン、ノズル、蓋、ライナ、ライナキット、シールド、プラズマスクリーン、フローイコライザ、冷却ベース、チャンバビューポート、チャンバ蓋等が含まれる。以下でより詳細に説明されるプラズマ耐性セラミックコーティングは、ALD及びCVD等の見通し外(NLOS)堆積プロセスを用いて適用される。ALDは、複雑な形状及び高アスペクト比のホールを備えたコンポーネントを含む、全てのタイプのコンポーネントに対して実質的に均一な厚さのコンフォーマルなコーティングの適用を可能にする。同様に、CVDも、比較的均一な厚さのコンフォーマルなコーティングの適用を可能にする。
プラズマ耐性セラミックコーティングは、酸化エルビウム(Er)、フッ化エルビウム(ErF)及び/又はオキシフッ化エルビウム(Er)を含むセラミック等の種々のエルビウム含有セラミックのALD又はCVDを用いて成長又は堆積させることができる。また、エルビウム含有セラミックは、ジルコニウム、イットリウム及び/又はアルミニウムの一定量を含むことができる。例えば、エルビウム含有セラミックは、酸化ジルコニウム(ZrO)、酸化アルミニウム(Al)、酸化イットリウム(Y)、フッ化ジルコニウム(ZrF)、フッ化アルミニウム(AlF)及び/又はフッ化イットリウム(YF)を含むことができる。エルビウム含有セラミックは、例えば、Er、ErAl(例えば、ErAl12)、ErZr、ErZrAl、YEr、YEr、YEr、又はErZrであってもよい。
図示のように、一実施形態によれば、基板支持アセンブリ148はエルビウム系プラズマ耐性セラミックコーティング136を有する。しかしながら、シャワーヘッド、ガスライン、静電チャック、ノズル等の他のチャンバコンポーネントのいずれも、多成分コーティングでコーティングされてもよいと理解すべきである。
一実施形態では、処理チャンバ100は、内部容積106を囲むチャンバ本体102とシャワーヘッド130を含む。シャワーヘッド130は、シャワーヘッドベースとシャワーヘッドガス分配プレートを含むことができる。代替的に、幾つかの実施形態では、シャワーヘッド130は、蓋及びノズルで置き換えてもよい。チャンバ本体102は、アルミニウム、ステンレス鋼又は他の適切な材料から製造することができる。チャンバ本体102は、一般に、側壁108と底部110を含む。シャワーヘッド130(又は蓋及び/又はノズル)、側壁108及び/又は底部110のいずれかは、エルビウム系プラズマ耐性セラミックコーティングを含むことができる。
外側ライナ116は、チャンバ本体102を保護するために、側壁108に隣接して配置することができる。外側ライナ116は、多成分コーティングで製造及び/又はコーティングすることができる。一実施形態では、外側ライナ116は酸化アルミニウムから製造される。
排気ポート126はチャンバ本体102内に画定され、内部容積106をポンプシステム128に結合することができる。ポンプシステム128は、処理チャンバ100の内部容積106を排気し、圧力を制御するために用いられる1以上のポンプ及びスロットルバルブを含むことができる。
シャワーヘッド130は、チャンバ本体102の側壁108で支持することができる。シャワーヘッド130(又は蓋)は、開口すると処理チャンバ100の内部容積106へのアクセスが可能になり、閉鎖している間には処理チャンバ100のシールを提供することができる。ガスパネル158は処理チャンバ100に結合され、シャワーヘッド130又は蓋及びノズルを介して、プロセスガス及び/又はクリーニングガスを内部容積106に提供することができる。シャワーヘッド130は、誘電体エッチング(誘電体材料のエッチング)で用いられる処理チャンバで用いられることができる。シャワーヘッド130は、全体にわたって複数のガス供給ホール132を有するガス分配プレート(GDP)133を含む。シャワーヘッド130は、アルミニウムベース又は陽極酸化アルミニウムベースに結合されたGDP133を含むことができる。GDP133は、Si又はSiCから製造されてもよく、又はY、Al、YAl12(YAG)等のセラミックであってもよい。図5A及び図5Bを参照して以下でより詳細に説明するように、シャワーヘッド130及び供給ホール132は、エルビウム系プラズマ耐性セラミックコーティングでコーティングすることができる。
伝導体エッチング(伝導性材料のエッチング)で用いられる処理チャンバでは、シャワーヘッドではなく蓋を用いることができる。蓋は、蓋の中心ホールに嵌合する中心ノズルを備えることができる。蓋は、Al、Y、YAG等のセラミック、又はYAlとY-ZrOの固溶体を含むセラミック化合物であってもよい。また、ノズルは、Y、YAG等のセラミック、又はYAlとY-ZrOの固溶体を含むセラミック化合物であってもよい。一実施形態によれば、蓋、シャワーヘッドベース104、GDP133及び/又はノズルは、全てプラズマ耐性セラミックコーティングでコーティングすることができる。
処理チャンバ100内での基板の処理に用いることができる処理ガスの例には、ハロゲン含有ガス、(例えば、とりわけ、C、SF、SiCl、HBr、NF、CF、CHF、CH、F、NF、Cl、CCl、BCl及びSiF)、並びに他のガス(例えば、O又はNO)が含まれる。キャリアガスの例には、N、He、Ar、及びプロセスガスに対して不活性な他のガス(例えば、非反応性ガス)が含まれる。基板支持アセンブリ148は、シャワーヘッド130又は蓋の下方の処理チャンバ100の内部容積106に配置される。基板支持アセンブリ148は、処理中に基板144を保持する。リング146(例えば、単一のリング)は静電チャック150の一部を覆い、処理中に覆われた部分をプラズマへの曝露から保護することができる。一実施形態では、リング146はシリコン又は石英であってもよい。
内側ライナ118は、基板支持アセンブリ148の周囲でコーティングされることができる。内側ライナ118は、外側ライナ116を参照して論じられたようなハロゲン含有ガスレジスト材料であってもよい。一実施形態では、内側ライナ118は、外側ライナ116と同じ材料から製造されてもよい。更に、内側ライナ118は、本明細書で説明されるようなエルビウム系プラズマ耐性セラミックコーティングでコーティングされてもよい。
一実施形態では、基板支持アセンブリ148は、ペデスタル152を支持するマウンティングプレート162と、静電チャック150を含む。更に、静電チャック150は、熱伝導ベース164と、接着剤138により熱伝導ベースに接着された静電パック166を含み、一実施形態では接着材はシリコーンボンドであってもよい。図示された実施形態では、静電パック166の上面は、エルビウム系プラズマ耐性セラミックコーティング136により覆われていてもよい。エルビウム系プラズマ耐性セラミックコーティング136を静電チャック150の露出面全体に配置することができ、これには、熱伝導ベース164及び静電パック166の外側及び側部周囲と、静電チャックの他の幾何学的に複雑なパーツ又は高アスペクト比のホールが含まれる。マウンティングプレート162はチャンバ本体102の底部110に結合され、ユーティリティ(例えば、流体、電力線、センサリード等)を熱伝導ベース164及び静電パック166にルーティングするための通路を含む。
熱伝導ベース164及び/又は静電パック166は、1以上の追加的な埋設加熱要素176、埋設熱アイソレータ174及び/又は導管168、170を含み、基板支持アセンブリ148の横方向の温度プロファイルを制御することができる。導管168、170は流体源172に流体的に結合され、導管168、170を介して温度調節流体を循環させることができる。一実施形態では、埋設アイソレータ174は導管168、170の間に配置することができる。ヒータ176はヒータ電源178によって調整される。導管168、170及びヒータ176は、熱伝導ベース164の温度を制御するために用いることができる。導管及びヒータは、静電パック166及び処理される基板(例えば、ウェハ)144を加熱及び/又は冷却する。静電パック166及び熱伝導ベース164の温度は、コントローラ195を用いてモニタすることができる複数の温度センサ190、192を用いてモニタすることができる。
更に、静電パック166は、複数のガス通路(例えば、溝、メサ、及びパック166の上面に形成することができる他の表面フィーチャ等)を含むことができる。一実施形態によれば、これらの表面フィーチャは、全て、エルビウム系プラズマ耐性セラミックコーティングでコーティングすることができる。ガス通路は、静電パック166に穿孔されたホールを介して、He等の熱伝導(又は背面)ガス源に流体的に結合されていてもよい。動作中、背面ガスは制御された圧力でガス通路に供給され、静電パック166と基板144との間の熱伝起を促進する。
静電パック166は、チャッキング電源182によって制御される少なくとも1つのクランプ電極180を含む。更に、クランプ電極180(又は静電パック166又はベース164に配置された他の電極)は、整合回路188を介して、1以上のRF電源184、186に接続され、処理チャンバ100内でプロセスガス及び/又は他のガスから形成されたプラズマを維持することができる。RF電源184、186は、一般に、約50kHz~約3GHzの周波数と約10、000ワットまでの電力を有するRF信号を生成することができる。
図2は、物品上にエルビウム系プラズマ耐性セラミックコーティングを成長又は堆積させるための様々なALD及びCVD技術による堆積プロセスを示す。様々なタイプのALDプロセスが存在し、コーティングされる表面、コーティング材料、表面とコーティング材料の間の化学的相互作用等の幾つかの要因に基づいて特定のタイプを選択することができる。様々なALDプロセスの一般的な原理は、コーティングされるべき表面を、自己制限的に表面と一度に1つずつ化学的に反応するガス状化学前駆体の連続した交互パルスに繰り返し暴露させることによって薄膜層を成長させることを含む。
同様に、様々なタイプのCVDプロセスが存在し、コーティングされるべき表面、コーティング材料、表面とコーティング材料との間の化学的相互作用、所望の厚さ、所望のコーティング特性等の幾つかの要因に基づいて特定のタイプを選択することができる。CVDプロセスの幾つかの例には、大気圧CVD(APCVD)、低圧CVD(LPCVD)、プラズマ強化CVD(PECVD)、気相エピタキシー等が含まれる。NLOSプロセスであるこれらのCVDプロセスのいずれも実施形態で用いることができる。様々なCVDプロセスのために、物品は1以上の揮発性前駆体に曝露され、これらは物品表面上で反応及び/又は分解して所望のコーティングを生成する。副生成物が生成されることがあり、これらはCVDプロセスが行われる堆積チャンバから排気により除去される。
図2は、表面205を有する物品210である。物品210は様々な処理チャンバコンポーネント(例えば、半導体処理チャンバコンポーネント)を表し、これらは、基板支持アセンブリ、静電チャック(ESC)、リング(例えば、プロセスキットリング、又は単一リング)、チャンバ壁、ベース、ガス分配プレート、ガスライン、シャワーヘッド、ノズル、蓋、ライナ、ライナキット、シールド、プラズマスクリーン、フローイコライザ、冷却ベース、チャンバビューポート、チャンバ蓋、ディフューザ等を含むが、これらに限定されない。また、物品210はバッテリ又は任意の導電性物品の一部であってもよい。物品210及び表面205は、金属(例えば、アルミニウム、ステンレス鋼)、セラミック、金属セラミック複合材、ポリマー、ポリマーセラミック複合材、マイラ、ポリエステル又は他の適切な材料から製造されてもよく、更にAlN、Si、SiC、Al、SiO等の材料を含むことができる。
ALDの場合、前駆体と表面の間の個々の化学反応は、「半反応」と呼ぶことができる。各半反応の間、前駆体は前駆体が表面と完全に反応するのに十分な時間表面上にパルスされる。前駆体は表面上の有限数の利用可能な反応部位と反応し、表面上に均一な連続膜層を形成するので、この反応は自己制限的である。前駆体と既に反応したいかなる部位も、反応した部位が均一な連続コーティング上に新たな反応部位を形成する処理の対象とならない限り、及び/又は、対象となるまで、前駆体との更なる反応に利用できなくなる。例示的な処理は、プラズマ処理、均一な連続膜層をラジカルに曝露する処理、又は表面上で成長された直近の均一な連続膜層と反応することができる異なる前駆体の導入であってもよい。
図2において、表面205を有する物品210は、ALD又はCVDを用いて、層215が完全に成長又は堆積されるまでの(本明細書では、「成長」及び「堆積」の用語は交換可能に用いる)第1の時間、第1の前駆体260に導入されることができる。層215は、均一で、連続的で、コンフォーマルであってもよい。また、層215は、実施形態では1%未満、更なる実施形態では0.1%未満の非常に低い気孔率を有することができる。幾つかの実施形態では、気孔率は0%又は約0%である。ALDを用いて層215を形成する幾つかの実施形態では、層215は1原子又は数原子(例えば、2~3原子)の厚さを有することができる。CVDが用いられる場合、層215は約1~100nmの厚さを有することができる。
実施形態では、層215は、Er、ErF、Y、Al、YF又はZrOであってもよい。幾つかの実施形態では、層215は、Er、ErAl(例えば、ErAl12)、ErZr、ErZrAl、YEr,YEr、YEr、又はErZr(即ち、Y、ZrO及びErの単相固溶体)の多成分材料である。また、層215は、AlN、SiC、YAl12(YAG)、YAl(YAM)、TiO、Y安定化ZrO(YSZ)、又はYAlとY-ZrOの固溶体を含むセラミック化合物のうちの1つであってもよい。
次に、表面205及び層215を有する物品210は、第2の層220がALD又はCVDを用いて層215上で完全に成長又は堆積するまで、第2の時間に亘って第2の前駆体270に導入されることができる。第2の層220は、均一で、連続的であり、コンフォーマルであってもよい。また、第2の層220は、実施形態では1%未満、別の実施形態では0.1%未満、更なる実施形態では0%又はほぼ0%の非常に低い気孔率を有することができる。ALDを用いて第2の層220を形成する幾つかの実施形態では、第2の層220は1原子又は数原子(例えば、2~3原子)の厚さを有することができる。CVDが用いられる場合、第2の層220は約1~100nmの厚さを有することができる。
実施形態では、第2の層220は、Er、ErF、Y、Al、YF又はZrOであってもよい。幾つかの実施形態では、第2の層220は、Er、ErAl(例えば、ErAl12)、ErZr、EraZrAl、YEr、YEr、YEr又はErZr(例えばY、ZrO及びErの単相固溶体)の多成分材料である。また、第2の層220は、AlN、SiC、YAl12(YAG)、YAl(YAM)、TiO、Y安定化ZrO(YSZ)、又はYAlとY-ZrOの固溶体を含むセラミック化合物のうちの1つであってもよい。一実施形態では、第1の層又は第2の層の少なくとも1つは、エルビウム含有化合物(例えば、Er、ErF、Er、ErAl、ErZr、ErZrAl、YEr、YEr、YEr、又はErZr)である。従って、層215は、エルビウム含有層の堆積前に堆積される中間層であってもよい。代替的に、第2の層220は、エルビウム含有層上に堆積されるキャッピング層であってもよい。一実施形態では、第2の層220は、層215と同じ組成を有する。
その後、前駆体260、270を順次に繰り返し導入して、追加の交互層225、230、235、240、245及び250を成長又は堆積させることができる。種々の前駆体を順次導入することはN回繰り返すことができ、Nは目標とするコーティング厚さ及び特性に基づいて選択された層の有限の数である。様々な層は元のままであってもよく、又は幾つかの実施形態では相互拡散されてもよい。
表面反応(例えば、半反応)は連続的に行われ、種々の前駆体は実施形態では接触しない。新しい前駆体の導入に前に、ALD又はCVDプロセスが行われるチャンバは、不活性キャリアガス(例えば、窒素又は空気)でパージされ、未反応の前駆体及び/又は表面-前駆体反応副生成物を除去することができる。ALD又はCVDプロセスのどちらが用いられるかによって、前駆体は異なっていても、同じであってもよい。幾つかの実施形態では、少なくとも1つの前駆体が用いられる。他の実施形態では、少なくとも2つの前駆体が用いられる。幾つかの実施形態では、異なる前駆体を用いて、同じ組成を有する膜層を成長又は堆積させることができる(例えば、互いの上にErの多層を成長させる)。他の実施形態では、異なる前駆体を用いて、異なる組成を有する異なる膜層を成長させることができる。
ALDプロセス又はCVDプロセスは、ALD又はCVDプロセスのタイプに応じて様々な温度で行うことができる。特定のALDプロセスの最適な温度範囲は、「ALD温度ウィンドウ」と呼ばれる。ALD温度ウィンドウより低い温度は、不良な成長速度と非ALDタイプの堆積をもたらす可能性がある。ALD温度ウィンドウより高い温度は、物品の熱分解又は前駆体の急速な脱着をもたらす可能性がある。ALD温度ウィンドウは、約200℃~約400℃の範囲であてもよい。幾つかの実施形態では、ALD温度ウィンドウは約200~350℃の間である。
ALDプロセス及びCVDプロセスは、複雑な幾何学的形状、高アスペクト比を有するホール、及び三次元構造を有する物品及び表面上での均一な厚さを有するコンフォーマルなエルビウム系プラズマ耐性セラミックコーティングが可能になる。表面への前駆体の十分な曝露時間により、前駆体が分散し、三次元の複雑なフィーチャの全てを含む表面全体と完全に反応することが可能になる。高アスペクト比の構造でコンフォーマルALDを得るために利用される曝露時間はアスペクト比の2乗に比例し、モデリング技術を用いて予測することができる。更に、ALD技術は、源材料(例えば、粉末原料及び焼結ターゲット)の時間がかかる困難な製造を必要とせずに、特定の組成物又は配合物のインサイチュー(in-situ)でオンデマンドな材料合成を可能にするので、他の一般的に用いられるコーティング技術よりも有利である。高アスペクト比の物品をコーティングするには、ALDはCVDより優れている可能性がある。従って、幾つかの実施形態では、ALDは、約50:1以上(例えば、200:1)のアスペクト比を有する物品をコーティングするために用いられる。
以下の実施例でより詳細に説明されるように、ALD法では、多成分膜(例えば、Er、ErAl(例えばErAl12)、ErZr、ErZrAl、YEr、YEr、YEr、ErZr(例えば、Y、ZrO、Erの単相固溶体))を、例えば、Er、ErF、Y、Al、YF、及びZrOを成長させるために用いられる前駆体の適切なシーケンシングによって、成長又は堆積させることができる。
図3Aは、実施形態による、処理チャンバコンポーネントのような物品上にエルビウムを含むプラズマ耐性セラミックコーティングを形成する方法300を示す。方法300は、約10:1~約200:1のアスペクト比(例えば、20:1、50:1、100:1、150:1等のアスペクト比)を有する物品のコーティングのために用いることができる。方法は、プラズマ耐性セラミックコーティングの組成を選択することによって任意に開始することができる。組成物の選択及び形成方法は、同じエンティティによって、又は複数のエンティティによって実行されてもよい。
方法は、追加的に、ブロック305で、酸溶液で物品を洗浄することを含むことができる。一実施形態では、物品は酸溶液の浴中に浸される。実施形態では、酸溶液は、フッ化水素酸(HF)溶液、塩酸(HCl)溶液、硝酸(HNO)溶液、又はそれらの組み合わせであってもよい。酸溶液は物品から表面汚染物質を除去し、及び/又は物品の表面から酸化物を除去することができる。物品を酸溶液で洗浄することにより、ALDを用いて堆積されるコーティングの質を向上させることができる。一実施形態では、約0.1~5.0体積%のHFを含む酸溶液を用いて、石英製のチャンバコンポーネントを洗浄する。一実施形態では、約0.1~20体積%のHClを含む酸溶液を用いて、Alで形成された物品を洗浄する。一実施形態では、約5~15体積%のHNOを含む酸溶液を用いて、アルミニウム及び他の金属で形成された物品を洗浄する。
ブロック310では、物品は堆積チャンバ内にロードされる。ALDが実行される場合、物品はALD堆積チャンバ内にロードされる。CVDが実行される場合、物品はCVD堆積チャンバ内にロードされる。
ブロック320に従い、方法は、ALD又はCVDのいずれかを用いて物品の表面上にエルビウム系プラズマ耐性セラミックコーティングを堆積させる工程を含む。一実施形態において、ブロック325では、ALDが実行され、エルビウム系プラズマ耐性セラミックコーティングが堆積される。一実施形態において、ブロック330では、CVDが実行され、エルビウム系プラズマ耐性セラミックコーティングが堆積される。ALD及びCVDは実施形態で行われるように、非常にコンフォーマルなプロセスであるので、エルビウム系プラズマ耐性セラミックコーティングの表面粗さをコーティングされる物品の下表面の表面粗さにマッチングさせることができる。幾つかの実施形態では、エルビウム系プラズマ耐性セラミックコーティングは、単層の厚さ(例えば、約0.1~2nm)から約100nmの厚さまでの厚さを有することができる。他の実施形態では、エルビウム系プラズマ耐性セラミックコーティングは、約100nm~約1ミクロンの厚さを有することができる。エルビウム系プラズマ耐性セラミックコーティングは、0%(又は約0%)の気孔率、及び約±5%以下の厚さ変動を有することができる。
エルビウム系プラズマ耐性セラミックコーティングは、酸化物を含むエルビウム、フッ化物を含むエルビウム、又はオキシフッ化物を含むエルビウムである。エルビウム系酸化物、フッ化物及びオキシフッ化物は、一般に、高い安定性、高い硬度、及び優れた耐浸食性を有するので、実施形態では、エルビウム系材料はプラズマ耐性セラミックコーティングを形成するために用いられる。例えば、Erは、298Kで-1808.70kJ/molのギブス生成自由エネルギーを有し、これはErが非常に安定であり、処理中に酸素との反応速度が低いことを示す。更に、Erは、約2300℃以下の温度で、単斜晶立方晶構造を有しており、これは9.75±3.38GPaのErの高硬度と、約2.2-4.0MPa・m(1/2)の破壊靱性(破壊耐性)に貢献している。また、本明細書の実施形態に従って堆積されるErプラズマ耐性セラミックコーティングは、多くのプラズマ及び化学環境に対して低い侵食速度を有することができる(例えば、2000ワットのバイアスでCCl/CHFプラズマケミストリに曝露されたときに約0.0187μm/hrの侵食速度)。
プラズマ耐性セラミックコーティングを形成することができるエルビウム含有化合物の例には、Er、ErF、Er、ErAl(例えば、ErAl12)、ErZr、ErZrAl、YEr、YEr、YEr、及びErZr(例えば、Y、ZrO及びErの単一層固溶体)が含まれる。プラズマ耐性セラミックコーティング中のエルビウム含有量は、約0.1原子%~約100原子%の範囲であってもよい。エルビウム含有酸化物の場合、エルビウム含有量は約0.1原子%~約100原子%の範囲であってもよく、酸素含有量は約0.1原子%~約100原子%の範囲であってもよい。エルビウム含有フッ化物の場合、エルビウム含有量は約0.1原子%~約100原子%の範囲であってもよく、フッ素含有量は約0.1原子%~約100原子%の範囲であってもよい。エルビウム含有オキシフッ化物の場合、エルビウム含有量は約0.1原子%~約100原子%の範囲であってもよく、酸素含有量は約0.1原子%~約100原子%の範囲であってもよく、フッ素含有量は約0.1原子%~約100原子%の範囲であってもよい。
有利には、Y及びErは混和性である。YとErとの任意の組み合わせにより単相固溶体を形成することができる。例えば、僅かに0モル%を超えるYと僅かに100モル%未満のErとの混合物を組み合わせて、単相固溶体であるプラズマ耐性セラミックコーティングを形成することができる。更に、僅かに0モル%を超えるErと僅かに100モル%未満のYとの混合物を組み合わせて、単相固溶体であるプラズマ耐性セラミックコーティングを形成することができる。YErのプラズマ耐性セラミックコーティングは、0モル%超~100モル%未満のYと、0モル%超~100モル%未満のErを含むことができる。幾つかの注目すべき例には、1~10モル%のYと90~99モル%のEr、11~20モル%のYと80~89モル%のEr、21~30モル%のYと70~79モル%のEr、31~40モル%のYと60~69モル%のEr、41~50モル%のYと50~59モル%のEr、51~60モル%のYと40~49モル%のEr、61~70モル%のYと30~39モル%のEr、71~80モル%のYと20~29モル%のEr、81~90モル%のYと10~19モル%のEr、1~10モル%のErと90~99モル%のYが含まれる。YErの単相固溶体は、約2330℃未満の温度で単斜晶立方晶状態を有することができる。
有利には、ZrO2をY及びErと組み合わせて、ZrO、Y及びErの混合物(例えば、EraZr)を含む単相固溶体を形成することができる。ErZrの固溶体は、立方晶、六方晶、正方晶及び/又は立方体の蛍石構造を有することができる。EraZrの固溶体は、0モル%超~60モル%のZrOと0モル%超~99モル%のErと0モル%超~99モル%のYを含むことができる。用いることができるZrOの注目すべき量には、2モル%、5モル%、10モル%、15モル%、20モル%、30モル%、50モル%及び60モル%が含まれる。用いることができるEr及び/又はYの注目すべき量には、10モル%、20モル%、30モル%、40モル%、50モル%、60モル%、70モル%、80モル%及び90モル%が含まれる。
EraZrAlのプラズマ耐性セラミックコーティングは、0モル%超~60モル%のZrO2、0モル%超~99モル%のEr、及び0モル%超~60モル%のAlを含むことができる。用いることができるZrOの注目すべき量には、2モル%、5モル%、10モル%、15モル%、20モル%、30モル%、50モル%及び60モル%が含まれる。用いることができるErの注目すべき量には、10モル%、20モル%、30モル%、40モル%、50モル%、60モル%、70モル%、80モル%及び90モル%が含まれる。用いることができるAlの注目すべき量に、2モル%、5モル%、10モル%、20モル%、30モル%、40モル%、50モル%、60モル%が含まれる。一例では、ErZrAlのプラズマ耐性セラミックコーティングは、42モル%のY、40モル%のZrOと18モル%のErを含み、層状構造を有する。他の例では、ErZrAlのプラズマ耐性セラミックコーティングは、63モル%のY、10モル%のZrOと27モル%のErを含み、層状構造を有する。
Erのプラズマ耐性セラミックコーティングは、僅かに0モル%を超えるYFと僅かに100モル%未満のErFとの混合物を含むことができる。更に、僅かに0モル%を超えるErFと僅かに100モル%未満のYFとの混合物を組み合わせてプラズマ耐性セラミックコーティングを形成することができる。YErのプラズマ耐性セラミックコーティングは、0モル%超~100モル%未満のYFと、0モル%超~100モル%未満のErFを含むことができる。注目すべき例には、1~10モル%のYFと90~99モル%のErF、11~20モル%のYFと80~89モル%のErF、21~30モル%のYFと70~79モル%のErF、31~40モル%のYFと60~69モル%のErF、41~50モル%のYFと50~59モル%のErF、51~60モル%のYFと40~49モル%のErF、61~70モル%のYFと30~39モル%のErF、71~80モル%のYFと20~29モル%のErF、81~90モル%のYFと10~19モル%のErF、1~10モル%のErFと90~99モル%のYFが含まれる。
、Er、YF及びErFのうちの3つ以上を組み合わせてYErの固溶体を形成することができる。YErの固溶体は、0モル%超~100モル%未満のY、0モル%超~100モル%未満のEr、0モル%超~100モル%未満のYF、及び/又は0モル%超~100モル%未満のErFを含むことができる。使用できるEr、Y、YF及び/又はErFの幾つかの注目すべき量には、10モル%、20モル%、30モル%、40モル%、50モル%、60モル%、70モル%、80モル%、90モル%が含まれる。
実施形態では、Er、ErF、Er、ErAl(例えば、ErAl12)、ErZr、EraZrAl、YEr,YEr、YEr、又はErZrのエルビウム系プラズマ耐性セラミックコーティングは、低いガス放出速度を有し、約200V/μmのオーダーの誘電破壊電圧、及び約10-9Torr未満のヘルミティシティ(リークレート)を有する。
図3Bは、一実施形態による、処理チャンバコンポーネントのような物品上にエルビウム系プラズマ耐性セラミックコーティングを形成する方法350を示す。方法は、プラズマ耐性セラミックコーティングの組成を選択することによって任意に開始することができる。組成物の選択及び形成方法は、同じエンティティによって、又は複数のエンティティによって実行されてもよい。
方法350のブロック352では、物品(例えば、処理チャンバコンポーネント)の表面は酸溶液を用いて洗浄される。酸溶液は、方法300のブロック305を参照して上述した酸溶液のいずれであってもよい。次いで、物品はALD堆積チャンバにロードされることができる。
ブロック355よれば、方法は、ALDによって物品の表面上にEr、Al、ErF、YF、Y又はZrOの第1の層を堆積させることを含む。ブロック360によれば、方法は、ALDによって物品の表面上に第2の酸化物又は第2のフッ化物の追加の層を堆積させる工程を更に含む。第2の酸化物又はフッ化物は、Er、Al、ErF、Y又はZrOの1つである。一実施形態では、追加の層は第1の層とは異なる材料である。
幾つかの実施形態では、ブロック370によれば、方法は、追加の層が追加されるべきかどうかを決定することを更に含むことができる。追加の層が追加されるかどうか、及び/又は幾つの層が追加されるべきかを決定することは、インサイチュ(in-situ)、又は堆積を開始する前に行うことができる(例えば、追加の多成分組成選択プロセスにおいて)。追加の層が追加される場合、ブロック360の動作が繰り返され、Er、Al、ErF、YF、Y、又はZrOの追加の層をALDによって堆積することができる。追加の層は、第1の層と同じ材料であっても、1つ以上の前の追加の層であってもよい。代替的に、追加の層は、第1の層及び前の追加の層とは異なる材料であってもよい。追加の層を追加しない場合、方法はブロック375に進むことができる。
幾つかの実施形態では、第1の層又は追加層のいずれかが酸化イットリウムを含む場合、少なくとも1つの酸化イットリウム前駆体は、トリス(N、N-ビス(トリメチルシリル)アミド)イットリウム(III)又はイットリウム(III)ブトキシドがALDのために選択される。幾つかの実施形態では、第1の層又は追加の層のいずれかがフッ化イットリウムを含む場合、少なくとも1つのフッ化イットリウム前駆体は、Y(thd)(式中、thd=2、2、6、6-テトラメチル-3、5-ヘプタンジオナトム、TiF、TaF、又はNHFの組み合わせからALDのために選択される。
幾つかの実施形態では、第1の層又は追加の層のいずれかが酸化アルミニウムを含む場合、少なくとも1つの酸化アルミニウム前駆体は、ALDのために、ジエチルアルミニウムエトキシド、トリス(エチルメチルアミド)アルミニウム、アルミニウムsec-ブトキシド、三臭化アルミニウム、三塩化アルミニウム、トリエチルアルミニウム、トリイソブチルアルミニウム、トリメチルアルミニウム、又はトリス(ジエチルアミド)アルミニウムから選択される。幾つかの実施形態では、第1の層又は追加層のいずれかが酸化ジルコニウムを含む場合、少なくとも1つの酸化ジルコニウム前駆体は、ALDのために、臭化ジルコニウム(IV)、塩化ジルコニウム(IV)、ジルコニウム(IV)tert-ブトキシド、テトラキス(ジエチルアミド)ジルコニウム(IV)、テトラキス(ジメチルアミド)ジルコニウム(IV)、又はテトラキス(エチルメチルアミド)ジルコニウム(IV)から選択される。
幾つかの実施形態では、第1の層又は追加の層のいずれかが酸化エルビウムを含む場合、少なくとも1つの酸化エルビウム前駆体は、ALDのために、メチルシクロペンタジエニルエルビウム(III)(Er(MeCp))、エルビウムボランアミド(Er(BA))、Er(TMHD)、エルビウム(III)トリス(2、2、6、6-テトラメチル-3、5-ヘプタンジオネート)又はトリス(ブチルシクロペンタジエニル)エルビウム(III)から選択される。幾つかの実施形態では、第1の層又は追加の層のいずれかが酸化エルビウムを含む場合、トリス(2、2、6、6-テトラメチル-3、5-ヘプタンジオネート)、エルビウム(Er(thd))及びオゾンがErを形成する前駆体として用いられる。幾つかの実施形態では、第1の層又は追加の層のいずれかが酸化エルビウムを含む場合、Er(CpMe)及び水がErを形成する前駆体として用いられる。幾つかの実施形態では、第1の層又は追加の層のいずれかが酸化エルビウムを含む場合、Er(thd)及びO-ラジカルがErを形成する前駆体として用いられる。幾つかの実施形態では、第1の層又は追加の層のいずれかが酸化エルビウムを含む場合、Er(PrCp)、Er(CpMe)及び/又はEr(BuCp)が、オゾン又は水のいずれかと共に、Erを形成する前駆体として用いられる。
Er、Al、ErF、Y、YF又はZrO層がALDを用いて成長される毎に、列挙された前駆体又は他の適切な前駆体の少なくとも1つを用いることができ、これは1番目、2番目、N番目の膜層であるかに拘わらない。ここで、N番目の膜層は、物品の表面上に成長され、目標とされる保護コーティングの厚さ及び特性に基づいて選択される膜層の有限数を表す。
ブロック375では、物品(例えば、チャンバコンポーネント)及びチャンバコンポーネント上のプラズマ耐性セラミックコーティングの層の全てがアニールされる。幾つかの実施形態では、アニーリングは、物品の表面上に堆積された幾つか又は全ての膜層の相互拡散された固体相を含む多成分組成物を生じさせることができる。アニーリングは、約300℃~約1800℃、約300℃~約1500℃、約300℃~約1000℃、又は約300℃~約500℃の範囲の温度で行うことができる。アニーリング温度は、物品、表面、及び膜層の構成材料に基づいて選択され、その健全性を維持し、これらの成分の一部又は全部を変形、分解又は溶融させないようにすることができる。
図4A~図4Dは、異なる実施形態によるエルビウム系プラズマ耐性セラミックコーティングの変形例を示す。図4Aは、一実施形態による、物品410の表面405用の多成分組成物を有するエルビウム系プラズマ耐性セラミックコーティングを示す。表面405は様々な物品410の表面であってもよい。例えば、物品410は様々な半導体処理チャンバコンポーネントを含むことができ、これには基板支持アセンブリ、静電チャック(ESC)、リング(例えば、プロセスキットリング、又は単一リング)、チャンバ壁、ベース、ガス分配プレート、ガスライン、シャワーヘッド、ノズル、蓋、ライナ、ライナキット、シールド、プラズマスクリーン、フローイコライザ、冷却ベース、チャンバビューポート、チャンバ蓋等を含が含まれるが、これらに限定されない。半導体処理チャンバコンポーネントは、金属(例えば、アルミニウム、ステンレス鋼)、セラミック、金属セラミック複合材料、ポリマー、ポリマーセラミック複合材料、又は他の適切な材料から製造することができ、更に他の材料(例えば、AlN、Si、SiC、Al、SiO等)を含むことができる。
図4Aでは、多成分コーティング組成物は、ALD又はCVDプロセスを用いて物品410の表面405上にコーティングされた酸化エルビウム又はフッ化エルビウムの少なくとも1つの第1の膜層415と、ALDプロセスを用いて物品410の表面405上にコーティングされた追加の酸化物又はフッ化物の少なくとも1つの第2の膜層425を含む。
図4Aは、エルビウム系プラズマ耐性セラミックコーティングが第1の層415と第2の層425の交互の層のスタックを含む実施形態を示し、ここで、層は元のままであり、相互拡散されておらず、各々の層の数は同じであり(4層の415層と4層の425層)、全ての層が均一な厚さである。幾つかの実施形態では、第1の膜層は第2の膜層の堆積前に堆積され、第2の膜層は第1の膜層上に堆積される。幾つかの実施形態では、順序は逆にされてもよい。代替的には、層の厚さは異なっていてもよい。
図4Bは、エルビウム系プラズマセラミックコーティングが多成分コーティング組成物を有する実施形態を示す。エルビウム系プラズマ耐性セラミックコーティングは、物品410(例えば、上述の半導体処理チャンバコンポーネント)の表面405上に堆積され、第1の層415、第2の層425、及び少なくとも1つの追加の層435の交互の層のスタックを含む。これらの層は元のままであり、所定の順序で均一な厚さで堆積及び/又は成長される。しかしながら、層の数は等しくなくてもよく、ある層は他の層よりも優勢であってもよい(例えば、3層の415層、3層の425層、2層の435層)。
幾つかの実施形態では、1つ以上の層は、約0.1ナノメートル~約100ナノメートルの範囲の均一な厚さを有する単層又は薄層である。1つ以上の層は、約100ナノメートル~約1マイクロメートルの範囲の均一な厚さを有する厚い層であってもよい。
図4Cは、エルビウム系プラズマ耐性セラミックコーティングが多成分コーティングである実施形態を示す。エルビウム系プラズマ耐性セラミックコーティングは、物品410の表面405上に堆積され、一定の順序も一定の厚さも有しない元のままの均一膜層のスタックを含む。多成分コーティングは、第1の厚さを有する第1の厚い層420と、第1の厚さとは異なる第2の厚さを有する第2の厚い層430と、第1及び第2の厚さとは異なる第3の厚さを有する少なくとも1つの追加の厚い層440を含む。ある層は他の層よりも優勢であってもよく、これによって、多成分コーティング(2層の420層、1層の430層、1層の440層)の特定の特性(例えば、腐食/浸食耐性)を達成することができる。
幾つかの実施形態では、図4A~図4Cに示される様々な膜層は、同じ組成を有することができる。他の実施形態では、層の組成は異なっていてもよい。幾つかの実施形態では、様々な膜層は同様の特性(例えば、厚さ、気孔率、プラズマ耐性、CTE)を有することができる。他の実施形態では、各々の膜層は異なる特性を有してもよい。図4A~図4Cは特定数の膜層を示しているが、図は限定を意図するものではなく、特定の実施形態では、より多い又はより少ない膜層を表面上に堆積させることができると理解すべきである。幾つかの実施形態では、物品の表面全体をコーティングすることができる。他の実施形態では、物品の表面の少なくとも一部をコーティングすることができる。
図4Dは、エルビウム系プラズマ耐性セラミックコーティングが多成分コーティング組成物450を有する実施形態を示す。エルビウム系プラズマ耐性セラミックコーティングは、物品410の表面405上に堆積され、複数層の相互拡散された固体相を含む。幾つかの実施形態では、元のままの層又は相互拡散された固体相を含むかどうかに拘わらず、エルビウム系プラズマ耐性セラミックコーティングは、Er、ErF、Er、ErAl(例えば、ErAl12)、ErZr、ErZrAl、YEr、YEr、YEr、及びErZr(例えば、Y、ZrOとErの単相固溶体)からなる群から選択される。これらの種々の多成分コーティング組成物を製造するための幾つかの例示的なプロセスは以下の実施例で示される。
図5Aは、シャワーヘッド500の底面図を示す。以下に提供されるシャワーヘッドの例は、本明細書の実施形態で説明されるエルビウム系プラズマ耐性セラミックコーティングの使用によって性能を改善することができる例示的なチャンバコンポーネントである。本明細書に開示されているエルビウム系プラズマ耐性セラミックコーティングでコーティングすると、他のチャンバコンポーネントの性能も改善することができると理解すべきである。本明細書に示されるように、シャワーヘッド500は、複雑な形状及び高アスペクト比を有するホールを備えた表面を有する半導体処理チャンバコンポーネントの例として選択された。
下面505の複雑な形状は、本明細書の実施形態によるエルビウム系プラズマ耐性セラミックコーティングを受け入れることができる。シャワーヘッド500の下面505は、均一に分布された同心円状のリング状に配置されたガス導管510を画定する。他の実施形態では、ガス導管510は代替的な幾何学的構成で構成されてもよく、使用されるリアクタ及び/又はプロセスのタイプに応じて、必要に応じ、より多数のガス導管又は少数のガス導管を有してもよい。エルビウム系プラズマ耐性セラミックコーティングは、ALD技術又はCVD技術によって、表面505上及びガス導管ホール510内で成長又は堆積する。ALD技術又はCVD技術は、複雑な形状及び高アスペクト比のホールであるにも拘わらず、表面とガス導管ホールで比較的均一な厚さのコンフォーマルなコーティングを可能にする。
シャワーヘッド500は、フッ素等の腐食性ケミストリに曝露されることがあり、シャワーヘッドとのプラズマ相互作用のために侵食されることがある。エルビウム系プラズマ耐性セラミックコーティングは、そのようなプラズマ相互作用を低減し、シャワーヘッドの耐久性を向上させることができる。ALD又はCVDで堆積されたエルビウム系プラズマ耐性セラミックコーティングは、シャワーヘッドの機能を妨げないように、下面505及びガス導管510の相対的な形状及び幾何学的形状を維持する。同様に、他のチャンバコンポーネントに適用される場合、プラズマ耐性セラミックコーティングは、コーティングしようとする表面の形状及び幾何学的構成を維持し、これによって、全体表面に亘ってコンポーネントの機能を妨げず、プラズマ耐性を提供し、侵食及び/又は腐食に対する耐食性を改善することができる。
コーティング材料のプラズマに対する耐性は、「エッチング速度」(ER)によって測定され、これはコーティングされたコンポーネントの動作及びプラズマへの暴露の持続時間全体を通して、「ミクロン/時間(μm/hr)」の単位を有することができる。測定は異なる処理時間の後に行うことができる。例えば、測定は、処理前、50処理時間後、150処理時間後、200処理時間後等に行うことができる。シャワーヘッド上又は任意の他の処理チャンバコンポーネント上に成長又は堆積されたエルビウム系プラズマ耐性セラミックコーティングの組成の変化は、複数の異なるプラズマ耐性又は侵食速度値をもたらすことがある。更に、様々なプラズマに暴露された単一の組成のエルビウム系プラズマ耐性セラミックコーティングは、複数の異なるプラズマ耐性又は侵食速度値を有することがある。例えば、プラズマ耐性材料は、第1のタイプのプラズマに関連する第1のプラズマ耐性又は浸食速度、及び第2のタイプのプラズマに関連する第2のプラズマ耐性又は浸食食度を有することができる。
図5Bは、一実施形態によりコーティングされた高アスペクト比を有するガス導管510の拡大図を示す。ガス導管510は長さL及び直径Dを有することができる。ガス導管510はL:Dとして定義される高アスペクト比を有することができ、ここで、アスペクト比は約50:1~約100:1の範囲であってもよい。幾つかの実施形態では、アスペクト比は50:1未満、又は100:1を超えてもよい(例えば、200:1まで)。
ガス導管510は、エルビウム系プラズマ耐性セラミックコーティングでコーティングすることができる内面555を有することができる。エルビウム系プラズマ耐性セラミックコーティングは、少なくとも1つの第1の層560を含むことができ、追加的に、第2の層565と、追加的に、1以上の追加の層(図示せず)を含むことができる。第1の層560は、エルビウム系酸化物、エルビウム系フッ化物、又はエルビウム系オキシフッ化物を含むことができる。第1の層560は、上記のエルビウム系材料組成物のいずれかを有することができる。第2の層565及び/又は1以上の追加の層の各々は、追加の酸化物又は追加のフッ化物(例えば、酸化イットリウム、フッ化イットリウム、酸化ジルコニウム、酸化アルミニウム等)を含むことができる。幾つかの実施形態では、第2の層565は別のエルビウム系材料であり、上述のエルビウム系材料組成のいずれかを有することができる。全ての層は、ALDプロセス又はCVDプロセスを用いてコーティングすることができる。ALDプロセス及びCVDプロセスは、その高アスペクト比にもかかわらず、ガス導管510の内部表面全体にわたって均一な厚さのコンフォーマルなコーティング層を成長させることができ、同時に最終多成分コーティングは十分に薄く、シャワーヘッドのガス導管を塞ぐことがない。
幾つかの実施形態では、エルビウム系プラズマ耐性セラミックコーティングは、少なくとも1つの第1の層、少なくとも1つの第2の層、及び任意の少なくとも1つの追加の層で元のままの層を含むことができる。一実施形態では、第1、第2、及び任意の追加の層は、所定の順序で交互に配置されてもよい。別の実施形態では、第1、第2、及び任意の追加の層は、任意の順序で存在してもよい。幾つかの実施形態では、第1の層、第2の層、及び任意の追加の層の数は等しくてもよい。他の実施形態では、プラズマ耐性セラミックコーティングの特定の特性を達成するために、幾つかの層が他の層よりも優勢であってもよい。特定の特性は、被覆された半導体処理チャンバコンポーネントの耐久性を向上させるプラズマ耐性及び腐食/浸食耐性であってもよい。
幾つかの実施形態では、元のままの層は、均一な厚さの単層又は薄層を含むことができる。各々の単層又は薄層は、約0.1ナノメートル~約100ナノメートルの範囲の厚さを有することができる。他の実施形態では、元のままの層は、均一な厚さの厚い層を含むことができる。各々の厚い層は、約100ナノメートル~約1マイクロメートルの範囲の厚さを有することができる。更に他の実施形態では、元のままの層は、単層、薄層及び/又は厚い層の組み合わせを含むことができる。
他の実施形態では、エルビウム系プラズマ耐性セラミックコーティングは、少なくとも1つの第1の層、少なくとも1つの第2の層、及び任意の少なくとも1つの追加の層で相互拡散された固体相を含むことができる。一実施形態では、様々な層の相互拡散された固体相は、アニーリングによって得ることができる。層の組成、層の数、各層の頻度、及び層の厚さは、全て、エルビウム系プラズマ耐性セラミックコーティングの最終特性に寄与する。
以下の実施例は、本明細書に記載された実施形態の理解を助けるために記載されており、本明細書に記載され、権利請求される実施形態を具体的に制限すると解釈されるべきではない。当業者の知識の範囲内にある、現在知られているか又は後に開発される全ての均等物の置換を含むそのような変形、及び表示の変更又は実験設計における軽微な変更は、本明細書に組み込まれている実施形態の範囲内にあると解釈されるべきである。これら実施例は、上述の方法300又は方法350を実行することによって達成することができる。
実施例1-酸化エルビウム及びフッ化エルビウム層からErコーティングの形成
第1の層は、前述のエルビウム酸化物前駆体のいずれかから選択される前駆体又は一対の前駆体からALDを用いて成長させた酸化エルビウム単層であってもよい。第2の層は、フッ化エルビウム前駆体からALDを用いて成長させたフッ化エルビウム単層であってもよい。酸化エルビウム及び/又はフッ化エルビウムの1以上の追加の層を成長させてもよい。得られたコーティングは、アニール後にErを含むことができ、ここでx、y及びzは、酸化エルビウム層のフッ化エルビウム層に対する比に依存する。
実施例2-酸化エルビウム及び酸化アルミニウム層からErAlコーティングの形成
第1の層は、前述の酸化エルビウム前駆体又は他の酸化エルビウム前駆体の1以上からALDを用いて成長させた酸化エルビウム単層であってもよい。第2の層は、前述の酸化アルミニウム前駆体又は他の酸化アルミニウム前駆体のいずれかからALDを用いて成長させた酸化アルミニウム単層であってもよい。1以上の追加の酸化エルビウム層及び/又は酸化アルミニウム層を成長させてもよい。得られたコーティングは、アニール後にErAlを含むことができ、x、y及びzは、酸化エルビウム層の酸化アルミニウム層に対する比に依存する。
実施例3-酸化エルビウム及び酸化ジルコニウム層からErZrコーティングの形成
第1の層は、前述の酸化エルビウム前駆体又は他の酸化エルビウム前駆体の1以上からALDを用いて成長させた酸化エルビウム単層であってもよい。第2の層は、前述の酸化ジルコニウム前駆体又は他の酸化ジルコニウム前駆体の1以上からALDを用いて成長させた酸化ジルコニウム単層であってもよい。1以上の追加の酸化エルビウム層及び/又は酸化ジルコニウム層を成長させてもよい。得られたコーティングは、アニール後にErZrを含むことができ、x、y及びzは、酸化エルビウム層の酸化ジルコニウム層に対する比に依存する。
実施例4-酸化イットリウム、酸化ジルコニウム及び酸化アルミニウム層からのErZrAlコーティングの形成
第1の層は、前述の酸化エルビウム前駆体又は他の酸化エルビウム前駆体の1以上からALDを用いて成長させた酸化エルビウム単層であってもよい。第2の層は、前述の酸化ジルコニウム前駆体又は他の酸化ジルコニウム前駆体の1以上からALDを用いて成長させた酸化ジルコニウム単層であってもよい。第3の層は、前述の酸化アルミニウム前駆体又は他の酸化アルミニウム前駆体のいずれかからALDを用いて成長させた酸化アルミニウム単層であってもよい。酸化エルビウム、酸化ジルコニウム及び/又は酸化アルミニウムの1以上の追加の層を成長させてもよい。得られたコーティングは、アニール後にErZrAlを含むことができ、ここで、a、x、y及びzは、酸化エルビウム層、酸化アルミニウム層及び酸化ジルコニウム層の数及び比に依存する。
実施例5-酸化イットリウム及び酸化エルビウム層からのYErコーティングの形成
第1の層は、前述の1つ以上の酸化エルビウム前駆体又は他の酸化エルビウム前駆体の1以上からALDを用いて成長させた酸化エルビウム単層であってもよい。第2の層は、前述の酸化イットリウム前駆体又は他の酸化イットリウム前駆体の1以上からALDを用いて成長させた酸化イットリウム単層であってもよい。酸化エルビウム及び/又は酸化イットリウムの1以上の追加の層を成長させてもよい。得られたコーティングは、アニール後にYErを含むことができ、ここで、x、y及びzは、酸化エルビウム層の酸化イットリウム層に対する比に依存する。
実施例6-酸化イットリウム、酸化エルビウム及び酸化ジルコニウム層からのErZrコーティングの形成
第1の層は、前述の1つ以上の酸化エルビウム前駆体又は他の酸化エルビウム前駆体の1以上からALDを用いて成長させた酸化エルビウム単層であってもよい。第2の層は、前述の酸化イットリウム前駆体又は他の酸化イットリウム前駆体の1以上からALDを用いて成長させた酸化イットリウム単層であってもよい。第3の層は、前述の酸化ジルコニウム前駆体又は他の酸化ジルコニウム前駆体の1以上からALDを用いて成長させた酸化ジルコニウム単層であってもよい。酸化エルビウム、酸化イットリウム及び/又は酸化ジルコニウムの1以上の追加の層を成長させてもよい。得られたコーティングは、アニールにErZrを含むことができ、ここで、a、x、y及びzは、酸化エルビウム層、酸化イットリウム層及び酸化ジルコニウム層の数及び比に依存する。従って、得られたエルビウム系プラズマ耐性セラミックコーティングは、Y、ZrO及びErの混合物を含む単相固溶体であってもよい。
図6は、異なるセラミックのプラズマエッチング浸食速度を時間当たりのミクロン単位で比較したグラフである。図示のように、バルクErの侵食速度は、2000ワットのバイアスでCCl/CHFのプラズマエッチング化学に曝露したとき、0.02μm/hr未満である。図示のように、バルクErのエッチング速度は、Y及びYFのエッチング速度よりも低い。ALD及びCVD堆積されたErは、バルクErよりも密度が高く(例えば、より低い気孔率を有する)、また、バルクErよりも低いエッチング速度を有する。
前述の説明は、本発明の幾つかの実施形態の良好な理解を提供するために、特定のシステム、コンポーネント、方法等の例のような多数の特定の詳細を述べている。しかしながら、当業者には、本発明の少なくとも幾つかの実施形態は、これらの特定の詳細なしで実施できることは明らかであろう。他の例では、本発明を不必要に不明瞭にすることを避けるために、周知の構成要素又は方法は詳細には記載されていないか、又は単純なブロック図形式で示されている。従って、記載された特定の詳細は単なる例示である。特定の実施形態はこれらの例示的な詳細と異なることがあるが、依然として本発明の範囲内にあると考えられる。
本明細書を通じて、「一実施形態」又は「実施形態」は、実施形態に関連して説明した特定の構成、構造、又は特性が少なくとも1つの実施形態に含まれることを意味する。従って、本明細書の様々な箇所における「一実施形態では」又は「実施形態で」という表現の出現は、必ずしも全て同じ実施形態を指しているとは限らない。更に、用語「又は」は、排他的な「又は」ではなく、包括的な「又は」を意味することを意図している。「約」又は「およその」という用語が本明細書で用いられる場合、これは提示される名目値が±10%以内で正確であることを意味している。
本明細書の方法のオペレーションは特定の順序で示され説明されているが、各方法のオペレーションの順序は、特定のオペレーションが逆の順序で実行されるように、又は特定のオペレーションが、少なくとも部分的には、他の操作と並行して実行されるように変更することができる。別の実施形態では、別個のオペレーションのインストラクション又はサブオペレーションは、間欠的及び/又は交互の方法であってもよい。
上記の説明は例示的なものであり、限定的ではないと理解すべきである。上記の説明を読んで理解すれば、多くの他の実施形態が当業者には明らかであろう。従って、本発明の範囲は、添付の特許請求の範囲を参照して、そのような特許請求の範囲が権利を与える均等物の全範囲と共に定められるべきである。

Claims (18)

  1. 物品であって、
    表面と、
    物品の前記表面上のプラズマ耐性セラミックコーティングを備え、
    プラズマ耐性セラミックコーティングは2nmから1ミクロンの厚さを有し、プラズマ耐性セラミックコーティングは、
    Erで表されるエルビウム含有フッ化物であって、x、y、及びzは、YErで表されるエルビウム含有フッ化物が、
    0mol%超から100mol%未満であるYF及び
    0mol%超から100mol%未満であるErFを含むように選択されている、エルビウム含有フッ化物と、
    Erで表されるエルビウム含有オキシフッ化物であって、w、x、y及びzは、YErで表されるエルビウム含有オキシフッ化物が、
    0mol%超から100mol%未満である、Y、YF、Er及びErFのうちの2つ以上を含むように選択されている、エルビウム含有オキシフッ化物とから成る群から選択され、
    物品は、10:1~200:1のアスペクト比を有する部分を含み、
    プラズマ耐性セラミックコーティングでコーティングされた物品の前記表面は物品の前記部分を含んでいる、物品。
  2. 物品は、シャワーヘッド、ディフューザ、ノズル、及びガスラインから成る群から選択されるチャンバコンポーネントである、請求項1に記載の物品。
  3. 物品は導管を含み、
    プラズマ耐性セラミックコーティングが堆積する物品の前記表面は、50:1から100:1の間のアスペクト比を有する導管の内面を含んでいる、請求項1に記載の物品。
  4. チャンバコンポーネントであって、
    10:1~200:1のアスペクト比を有する部分と、
    チャンバコンポーネントの前記部分の表面上のプラズマ耐性セラミックコーティングを備え、
    プラズマ耐性セラミックコーティングは多層スタックを備え、
    多層スタックは、
    実質的にEr又はErFから成る第1の層と、
    第1の層とは異なる材料から成る第2の層であって、実質的にEr、Al、ErF、Y、YF又はZrOから成る第2の層とを含んでいる、チャンバコンポーネント。
  5. チャンバコンポーネントはシャワーヘッド、ディフューザ、ノズル、及びガスラインから成る群から選択されている、請求項4に記載のチャンバコンポーネント。
  6. アスペクト比は50:1から100:1の間である、請求項4に記載のチャンバコンポーネント。
  7. アスペクト比を有する前記部分は導管である、請求項6に記載のチャンバコンポーネント。
  8. 第1の層は実質的にErから成り、第2の層は実質的にYFから成っている、請求項4に記載のチャンバコンポーネント。
  9. プラズマ耐性セラミックコーティングは1つ以上の追加の層を更に備え、追加の層の各々は実質的にEr、Al、ErF、Y又はYFのうちの1つから成っている、請求項4に記載のチャンバコンポーネント。
  10. 第1の層は実質的にErから成り、第2の層は実質的にAlから成っている、請求項4に記載のチャンバコンポーネント。
  11. 第1の層は実質的にErから成り、第2の層は実質的にYから成っている、請求項4に記載のチャンバコンポーネント。
  12. 第1の層は実質的にErから成り、第2の層は実質的にErFから成っている、請求項4に記載のチャンバコンポーネント。
  13. 第1の層は実質的にErFから成り、第2の層は実質的にYFから成っている、請求項4に記載のチャンバコンポーネント。
  14. 第1の層は実質的にErから成り、第2の層は実質的にZrOから成っている、請求項4に記載のチャンバコンポーネント。
  15. 第1の層は実質的にErから成り、第2の層は実質的にYから成り、多層スタックは、実質的にZrO又はAlから成る第3の層をさらに含んでいる、請求項4に記載のチャンバコンポーネント。
  16. 第1の層及び第2の層はそれぞれ0.1~100nmの厚さを有している、請求項4に記載のチャンバコンポーネント。
  17. 物品であって、
    表面と、
    物品の前記表面上のプラズマ耐性セラミックコーティングを備え、
    プラズマ耐性セラミックコーティングは複数の交互層の相互拡散された混合物であり、
    複数の交互層は、
    実質的にEr又はErFから成る第1の複数の層と、
    第1の層とは異なる材料から成る第2の複数の層であって、実質的にEr、Al、ErF、Y、YF、又はZrOから成る第2の層とを含み、
    物品は、10:1~200:1のアスペクト比を有する部分を含み、
    プラズマ耐性セラミックコーティングでコーティングされた物品の前記表面は物品の前記部分を含んでいる、物品。
  18. 原子層堆積(ALD)を実行し、物品上にプラズマ耐性セラミックコーティングを堆積する工程を含む方法であって、
    プラズマ耐性セラミックコーティングは、
    Erで表されるエルビウム含有フッ化物であって、x、y、及びzは、YErで表されるエルビウム含有フッ化物が、
    0mol%超から100mol%未満であるYF及び
    0mol%超から100mol%未満であるErFを含むように選択されている、エルビウム含有フッ化物と、
    Erで表されるエルビウム含有オキシフッ化物であって、w、x、y及びzは、YErで表されるエルビウム含有オキシフッ化物が、
    0mol%超から100mol%未満である、Y、YF、Er及びErFのうちの2つ以上を含むように選択されている、エルビウム含有オキシフッ化物とから成る群から選択され、
    物品は、10:1~200:1のアスペクト比を有する部分を含み、
    プラズマ耐性セラミックコーティングでコーティングされた物品の表面は物品の前記部分を含んでいる、方法。
JP2021049459A 2016-06-23 2021-03-24 エルビウム系プラズマ耐性セラミックコーティングの見通し外堆積 Active JP7134283B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/191,269 2016-06-23
US15/191,269 US9850573B1 (en) 2016-06-23 2016-06-23 Non-line of sight deposition of erbium based plasma resistant ceramic coating
JP2018567650A JP6859371B2 (ja) 2016-06-23 2017-01-23 エルビウム系プラズマ耐性セラミックコーティングの堆積

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2018567650A Division JP6859371B2 (ja) 2016-06-23 2017-01-23 エルビウム系プラズマ耐性セラミックコーティングの堆積

Publications (2)

Publication Number Publication Date
JP2021107580A JP2021107580A (ja) 2021-07-29
JP7134283B2 true JP7134283B2 (ja) 2022-09-09

Family

ID=60674665

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2018567650A Active JP6859371B2 (ja) 2016-06-23 2017-01-23 エルビウム系プラズマ耐性セラミックコーティングの堆積
JP2021049459A Active JP7134283B2 (ja) 2016-06-23 2021-03-24 エルビウム系プラズマ耐性セラミックコーティングの見通し外堆積

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2018567650A Active JP6859371B2 (ja) 2016-06-23 2017-01-23 エルビウム系プラズマ耐性セラミックコーティングの堆積

Country Status (6)

Country Link
US (2) US9850573B1 (ja)
JP (2) JP6859371B2 (ja)
KR (2) KR102195757B1 (ja)
CN (2) CN109417021B (ja)
TW (1) TWI762463B (ja)
WO (1) WO2017222601A1 (ja)

Families Citing this family (210)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9828672B2 (en) 2015-03-26 2017-11-28 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11326253B2 (en) 2016-04-27 2022-05-10 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9850573B1 (en) * 2016-06-23 2017-12-26 Applied Materials, Inc. Non-line of sight deposition of erbium based plasma resistant ceramic coating
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10186400B2 (en) * 2017-01-20 2019-01-22 Applied Materials, Inc. Multi-layer plasma resistant coating by atomic layer deposition
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11289355B2 (en) 2017-06-02 2022-03-29 Lam Research Corporation Electrostatic chuck for use in semiconductor processing
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US20190078206A1 (en) * 2017-09-08 2019-03-14 Applied Materials, Inc. Fluorinated rare earth oxide ald coating for chamber productivity enhancement
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
KR20200086750A (ko) 2017-12-07 2020-07-17 램 리써치 코포레이션 챔버 내 산화 내성 보호 층 컨디셔닝
US10760158B2 (en) * 2017-12-15 2020-09-01 Lam Research Corporation Ex situ coating of chamber components for semiconductor processing
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
CN111670491A (zh) 2018-01-31 2020-09-15 朗姆研究公司 静电卡盘(esc)基座电压隔离
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11086233B2 (en) * 2018-03-20 2021-08-10 Lam Research Corporation Protective coating for electrostatic chucks
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US20210388212A1 (en) * 2018-10-11 2021-12-16 Fundacion Tecnalia Research & Innovation A highly corrosion protective thin bi-layer stack for steel
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11629403B2 (en) * 2018-10-19 2023-04-18 Rosemount Aerospace Inc. Air data probe corrosion protection
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11562890B2 (en) 2018-12-06 2023-01-24 Applied Materials, Inc. Corrosion resistant ground shield of processing chamber
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
WO2020172070A1 (en) * 2019-02-22 2020-08-27 Lam Research Corporation Electrostatic chuck with powder coating
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
US10858741B2 (en) 2019-03-11 2020-12-08 Applied Materials, Inc. Plasma resistant multi-layer architecture for high aspect ratio parts
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
CN113924387A (zh) * 2019-05-22 2022-01-11 应用材料公司 用于高温腐蚀环境的基板支承件盖
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11540432B2 (en) * 2019-09-26 2022-12-27 Applied Materials, Inc. Ultrathin conformal coatings for electrostatic dissipation in semiconductor process tools
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20210150978A (ko) * 2020-06-03 2021-12-13 에이에스엠 아이피 홀딩 비.브이. 샤워 플레이트, 기판 처리 장치 및 기판 처리 방법
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202212615A (zh) * 2020-06-25 2022-04-01 美商葛林陀德科技公司 藉由ald沉積的混合、實質均勻塗層
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
US20220081282A1 (en) * 2020-09-17 2022-03-17 Applied Materials, Inc. Micro-electromechanical device for use in a flow control apparatus
USD1012873S1 (en) * 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US20230097687A1 (en) * 2021-09-30 2023-03-30 Entegris, Inc. Additive manufactured articles having coated surfaces and related methods

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101469409A (zh) 2007-12-27 2009-07-01 北京有色金属研究总院 一种复合氧化铝/铒阻氢涂层及其制备方法
JP2013140950A (ja) 2011-12-05 2013-07-18 Tokyo Electron Ltd プラズマ処理装置及びプラズマ処理方法
WO2014205212A1 (en) 2013-06-20 2014-12-24 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
WO2015009745A1 (en) 2013-07-19 2015-01-22 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based thin film coatings on process rings
WO2015013070A1 (en) 2013-07-20 2015-01-29 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles

Family Cites Families (126)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03115535A (ja) 1989-09-28 1991-05-16 Nippon Mining Co Ltd 希土類金属の酸素低減方法
US5805973A (en) 1991-03-25 1998-09-08 General Electric Company Coated articles and method for the prevention of fuel thermal degradation deposits
US5322813A (en) 1992-08-31 1994-06-21 International Business Machines Corporation Method of making supersaturated rare earth doped semiconductor layers by chemical vapor deposition
US5630314A (en) 1992-09-10 1997-05-20 Hitachi, Ltd. Thermal stress relaxation type ceramic coated heat-resistant element
US5758858A (en) 1996-03-15 1998-06-02 Barnes; Renny H. Paralleling device and method of using
JP3362113B2 (ja) 1997-07-15 2003-01-07 日本碍子株式会社 耐蝕性部材、ウエハー設置部材および耐蝕性部材の製造方法
US6129029A (en) 1998-08-21 2000-10-10 Watson; Ronald R. Method and apparatus for accessing safe deposit box
US6432256B1 (en) 1999-02-25 2002-08-13 Applied Materials, Inc. Implanatation process for improving ceramic resistance to corrosion
JP3510993B2 (ja) 1999-12-10 2004-03-29 トーカロ株式会社 プラズマ処理容器内部材およびその製造方法
FI117979B (fi) 2000-04-14 2007-05-15 Asm Int Menetelmä oksidiohutkalvojen valmistamiseksi
US6685991B2 (en) 2000-07-31 2004-02-03 Shin-Etsu Chemical Co., Ltd. Method for formation of thermal-spray coating layer of rare earth fluoride
EP1239055B1 (en) 2001-03-08 2017-03-01 Shin-Etsu Chemical Co., Ltd. Thermal spray spherical particles, and sprayed components
JP2002356387A (ja) 2001-03-30 2002-12-13 Toshiba Ceramics Co Ltd 耐プラズマ性部材
JP2002306957A (ja) 2001-04-11 2002-10-22 Matsushita Electric Ind Co Ltd プラズマ処理装置
JP4277973B2 (ja) 2001-07-19 2009-06-10 日本碍子株式会社 イットリア−アルミナ複合酸化物膜の製造方法、イットリア−アルミナ複合酸化物膜および耐蝕性部材
JP4921652B2 (ja) 2001-08-03 2012-04-25 エイエスエム インターナショナル エヌ.ヴェー. イットリウム酸化物およびランタン酸化物薄膜を堆積する方法
US20030029563A1 (en) 2001-08-10 2003-02-13 Applied Materials, Inc. Corrosion resistant coating for semiconductor processing chamber
US7371467B2 (en) 2002-01-08 2008-05-13 Applied Materials, Inc. Process chamber component having electroplated yttrium containing coating
US20080213496A1 (en) * 2002-02-14 2008-09-04 Applied Materials, Inc. Method of coating semiconductor processing apparatus with protective yttrium-containing coatings
DE10208450B4 (de) 2002-02-27 2004-09-16 Infineon Technologies Ag Verfahren zum Abscheiden dünner Schichten mittels ALD/CVD-Prozessen in Verbindung mit schnellen thermischen Prozessen
JP2003277051A (ja) 2002-03-22 2003-10-02 Ngk Insulators Ltd イットリア−アルミナ複合酸化物膜を有する積層体、イットリア−アルミナ複合酸化物膜、耐蝕性部材、耐蝕性膜およびイットリア−アルミナ複合酸化物膜の製造方法
EP1386979B1 (en) 2002-08-02 2008-03-05 Fujikura Ltd. Method of producing polycrystalline thin film and method of producing an oxide superconducting element
US6902628B2 (en) * 2002-11-25 2005-06-07 Applied Materials, Inc. Method of cleaning a coated process chamber component
US7780786B2 (en) 2002-11-28 2010-08-24 Tokyo Electron Limited Internal member of a plasma processing vessel
US20040136681A1 (en) 2003-01-10 2004-07-15 Novellus Systems, Inc. Erbium-doped oxide glass
JP2004241203A (ja) 2003-02-04 2004-08-26 Hitachi High-Technologies Corp プラズマ処理室壁処理方法
WO2004095530A2 (en) 2003-03-31 2004-11-04 Tokyo Electron Limited Adjoining adjacent coatings on an element
US6866886B2 (en) * 2003-04-02 2005-03-15 Battelle Memorial Institute Method of coating the interior surface of hollow objects with a diffusion coating
CN1288108C (zh) 2003-10-24 2006-12-06 东芝陶瓷股份有限会社 耐等离子体构件、其制造方法及形成热喷涂涂层的方法
JP4606121B2 (ja) 2004-01-29 2011-01-05 京セラ株式会社 耐食膜積層耐食性部材およびその製造方法
JP2006082474A (ja) 2004-09-17 2006-03-30 Tosoh Corp 樹脂部材
JP2006186306A (ja) 2004-09-30 2006-07-13 Toshiba Ceramics Co Ltd ガス拡散プレートおよびその製造方法
JP5137304B2 (ja) 2004-10-18 2013-02-06 株式会社日本セラテック 耐食性部材およびその製造方法
WO2006043429A1 (ja) 2004-10-18 2006-04-27 Nihon Ceratec Co., Ltd. 耐食性部材およびその製造方法
KR101226120B1 (ko) 2004-10-26 2013-01-24 쿄세라 코포레이션 내식성 부재 및 그 제조방법
US7208044B2 (en) 2004-11-24 2007-04-24 Mark A. Zurbuchen Topotactic anion exchange oxide films and method of producing the same
US7608151B2 (en) * 2005-03-07 2009-10-27 Sub-One Technology, Inc. Method and system for coating sections of internal surfaces
US7544398B1 (en) * 2005-04-26 2009-06-09 The Regents Of The Univesity Of California Controlled nano-doping of ultra thin films
US20090194233A1 (en) 2005-06-23 2009-08-06 Tokyo Electron Limited Component for semicondutor processing apparatus and manufacturing method thereof
EP1780298A4 (en) * 2005-07-29 2009-01-07 Tocalo Co Ltd Part Coated with Y203 Thermally Sprayed Film and Method of Making the Same
US7968205B2 (en) 2005-10-21 2011-06-28 Shin-Etsu Chemical Co., Ltd. Corrosion resistant multilayer member
JP2007217782A (ja) 2006-02-20 2007-08-30 Showa Denko Kk 希土類元素のフッ化物皮膜を有する耐食性皮膜およびその製造方法
US7582549B2 (en) 2006-08-25 2009-09-01 Micron Technology, Inc. Atomic layer deposited barium strontium titanium oxide films
JP4546447B2 (ja) 2006-12-22 2010-09-15 トーカロ株式会社 耐プラズマエロージョン性に優れる溶射皮膜被覆部材およびその製造方法
JP5252613B2 (ja) 2006-12-25 2013-07-31 国立大学法人東北大学 イオン注入装置およびイオン注入方法
US10622194B2 (en) 2007-04-27 2020-04-14 Applied Materials, Inc. Bulk sintered solid solution ceramic which exhibits fracture toughness and halogen plasma resistance
US7696117B2 (en) * 2007-04-27 2010-04-13 Applied Materials, Inc. Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
TWI351057B (en) 2007-04-27 2011-10-21 Applied Materials Inc Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
CN101755078B (zh) * 2007-07-31 2012-06-06 北陆成型工业株式会社 喷嘴部件及其制造方法
US8367227B2 (en) * 2007-08-02 2013-02-05 Applied Materials, Inc. Plasma-resistant ceramics with controlled electrical resistivity
US8252410B2 (en) 2007-09-05 2012-08-28 Applied Materials, Inc. Ceramic cover wafers of aluminum nitride or beryllium oxide
US8129029B2 (en) 2007-12-21 2012-03-06 Applied Materials, Inc. Erosion-resistant plasma chamber components comprising a metal base structure with an overlying thermal oxidation coating
KR101660052B1 (ko) * 2008-06-05 2016-09-26 레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 란탄족 함유 전구체의 제조 및 란탄족 함유 필름의 증착 방법
US9222172B2 (en) 2008-08-20 2015-12-29 Applied Materials, Inc. Surface treated aluminum nitride baffle
US8206829B2 (en) * 2008-11-10 2012-06-26 Applied Materials, Inc. Plasma resistant coatings for plasma chamber components
US8858745B2 (en) 2008-11-12 2014-10-14 Applied Materials, Inc. Corrosion-resistant bonding agents for bonding ceramic components which are exposed to plasmas
US9017765B2 (en) 2008-11-12 2015-04-28 Applied Materials, Inc. Protective coatings resistant to reactive plasma processing
WO2010097280A1 (en) * 2009-02-27 2010-09-02 Unilever Plc A spray nozzle
TW201100578A (en) 2009-06-19 2011-01-01 Saint Gobain Ceramics & Plastics Inc Sealed plasma coatings
WO2011049938A2 (en) 2009-10-20 2011-04-28 Saint-Gobain Ceramics & Plastics, Inc. Microelectronic processing component having a corrosion-resistant layer, microelectronic workpiece processing apparatus incorporating same, and method of forming an article having the corrosion-resistant layer
WO2011150311A1 (en) 2010-05-28 2011-12-01 Praxair Technology, Inc. Substrate supports for semiconductor applications
US8916021B2 (en) 2010-10-27 2014-12-23 Applied Materials, Inc. Electrostatic chuck and showerhead with enhanced thermal properties and methods of making thereof
KR20130025025A (ko) 2011-09-01 2013-03-11 주식회사 코미코 정전척
TWI432096B (zh) * 2011-12-27 2014-03-21 Ind Tech Res Inst 燈管控制系統、燈管節能系統及其節能方法
US9212099B2 (en) 2012-02-22 2015-12-15 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
US9090046B2 (en) 2012-04-16 2015-07-28 Applied Materials, Inc. Ceramic coated article and process for applying ceramic coating
US9394615B2 (en) 2012-04-27 2016-07-19 Applied Materials, Inc. Plasma resistant ceramic coated conductive article
KR101637801B1 (ko) 2012-05-22 2016-07-07 가부시끼가이샤 도시바 플라즈마 처리 장치용 부품 및 플라즈마 처리 장치용 부품의 제조 방법
US8846543B2 (en) * 2012-05-24 2014-09-30 Jinhong Tong Methods of atomic layer deposition of hafnium oxide / erbium oxide bi-layer as advanced gate dielectrics
US9343289B2 (en) 2012-07-27 2016-05-17 Applied Materials, Inc. Chemistry compatible coating material for advanced device on-wafer particle performance
CN103794460B (zh) 2012-10-29 2016-12-21 中微半导体设备(上海)有限公司 用于半导体装置性能改善的涂层
CN103794458B (zh) * 2012-10-29 2016-12-21 中微半导体设备(上海)有限公司 用于等离子体处理腔室内部的部件及制造方法
CN103794445B (zh) 2012-10-29 2016-03-16 中微半导体设备(上海)有限公司 用于等离子体处理腔室的静电夹盘组件及制造方法
US9556507B2 (en) 2013-03-14 2017-01-31 Applied Materials, Inc. Yttria-based material coated chemical vapor deposition chamber heater
US9887121B2 (en) * 2013-04-26 2018-02-06 Applied Materials, Inc. Protective cover for electrostatic chuck
GB2513575B (en) * 2013-04-29 2017-05-31 Keronite Int Ltd Corrosion and erosion-resistant mixed oxide coatings for the protection of chemical and plasma process chamber components
US9666466B2 (en) * 2013-05-07 2017-05-30 Applied Materials, Inc. Electrostatic chuck having thermally isolated zones with minimal crosstalk
US9708713B2 (en) * 2013-05-24 2017-07-18 Applied Materials, Inc. Aerosol deposition coating for semiconductor chamber components
US9624593B2 (en) 2013-08-29 2017-04-18 Applied Materials, Inc. Anodization architecture for electro-plate adhesion
US20150079370A1 (en) * 2013-09-18 2015-03-19 Applied Materials, Inc. Coating architecture for plasma sprayed chamber components
US9440886B2 (en) 2013-11-12 2016-09-13 Applied Materials, Inc. Rare-earth oxide based monolithic chamber material
US9725799B2 (en) 2013-12-06 2017-08-08 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
SG10201806706VA (en) 2014-02-07 2018-09-27 Entegris Inc Electrostatic chuck and method of making same
KR20160119187A (ko) 2014-03-31 2016-10-12 가부시끼가이샤 도시바 내플라즈마 부품 및 내플라즈마 부품의 제조 방법 및 내플라즈마 부품의 제조에 사용하는 막 퇴적 장치
US9869013B2 (en) 2014-04-25 2018-01-16 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
US20150311043A1 (en) * 2014-04-25 2015-10-29 Applied Materials, Inc. Chamber component with fluorinated thin film coating
US9976211B2 (en) 2014-04-25 2018-05-22 Applied Materials, Inc. Plasma erosion resistant thin film coating for high temperature application
US10730798B2 (en) * 2014-05-07 2020-08-04 Applied Materials, Inc. Slurry plasma spray of plasma resistant ceramic coating
US10196728B2 (en) 2014-05-16 2019-02-05 Applied Materials, Inc. Plasma spray coating design using phase and stress control
US9551070B2 (en) 2014-05-30 2017-01-24 Applied Materials, Inc. In-situ corrosion resistant substrate support coating
CN105225997B (zh) 2014-06-12 2018-01-23 中微半导体设备(上海)有限公司 一种静电夹盘及静电夹盘的制造方法
US10266943B2 (en) 2014-06-27 2019-04-23 Applied Materials, Inc. Plasma corrosion resistive heater for high temperature processing
US9460898B2 (en) * 2014-08-08 2016-10-04 Applied Materials, Inc. Plasma generation chamber with smooth plasma resistant coating
KR20160030812A (ko) 2014-09-11 2016-03-21 삼성전자주식회사 플라즈마 처리 장치
US10141582B2 (en) 2014-12-22 2018-11-27 Sonata Scientific LLC SOFC interconnect barriers and methods of making same
SG11201706564UA (en) 2015-02-13 2017-09-28 Entegris Inc Coatings for enhancement of properties and performance of substrate articles and apparatus
TWI683888B (zh) 2015-03-18 2020-02-01 美商恩特葛瑞斯股份有限公司 塗佈有經氟退火膜之物品
US9790582B2 (en) 2015-04-27 2017-10-17 Lam Research Corporation Long lifetime thermal spray coating for etching or deposition chamber application
US20160358749A1 (en) * 2015-06-04 2016-12-08 Lam Research Corporation Plasma etching device with plasma etch resistant coating
US20160379806A1 (en) 2015-06-25 2016-12-29 Lam Research Corporation Use of plasma-resistant atomic layer deposition coatings to extend the lifetime of polymer components in etch chambers
US20160375515A1 (en) 2015-06-29 2016-12-29 Lam Research Corporation Use of atomic layer deposition coatings to protect brazing line against corrosion, erosion, and arcing
US20170040146A1 (en) 2015-08-03 2017-02-09 Lam Research Corporation Plasma etching device with plasma etch resistant coating
KR101916872B1 (ko) 2015-10-15 2018-11-08 아이원스 주식회사 반도체 공정 장비 부품의 코팅층 재생 방법 및 이에 따른 반도체 공정 장비 부품
JP2017092156A (ja) 2015-11-03 2017-05-25 ナショナル チュン−シャン インスティテュート オブ サイエンス アンド テクノロジー 高密度のプラズマ及び高温の半導体製造プロセスに用いられる窒化アルミニウムの静電チャンク
KR101817779B1 (ko) * 2015-12-31 2018-01-11 (주)코미코 내플라즈마 코팅막 및 이의 형성방법
US10612121B2 (en) * 2016-03-14 2020-04-07 Applied Materials, Inc. Plasma resistant coating with tailorable coefficient of thermal expansion
US20170291856A1 (en) * 2016-04-06 2017-10-12 Applied Materials, Inc. Solution precursor plasma spray of ceramic coating for semiconductor chamber applications
US11326253B2 (en) * 2016-04-27 2022-05-10 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
US9850573B1 (en) * 2016-06-23 2017-12-26 Applied Materials, Inc. Non-line of sight deposition of erbium based plasma resistant ceramic coating
US20180016678A1 (en) * 2016-07-15 2018-01-18 Applied Materials, Inc. Multi-layer coating with diffusion barrier layer and erosion resistant layer
US10186400B2 (en) * 2017-01-20 2019-01-22 Applied Materials, Inc. Multi-layer plasma resistant coating by atomic layer deposition
US10975469B2 (en) * 2017-03-17 2021-04-13 Applied Materials, Inc. Plasma resistant coating of porous body by atomic layer deposition
US10755900B2 (en) * 2017-05-10 2020-08-25 Applied Materials, Inc. Multi-layer plasma erosion protection for chamber components
US10443125B2 (en) * 2017-05-10 2019-10-15 Applied Materials, Inc. Flourination process to create sacrificial oxy-flouride layer
US20180337026A1 (en) * 2017-05-19 2018-11-22 Applied Materials, Inc. Erosion resistant atomic layer deposition coatings
US20190136372A1 (en) * 2017-08-14 2019-05-09 Applied Materials, Inc. Atomic layer deposition coatings for high temperature heaters
US20190078199A1 (en) * 2017-09-08 2019-03-14 Applied Materials, Inc. Rare-earth-based oxyfluoride ald coating for chamber productivity enhancement
US11279656B2 (en) * 2017-10-27 2022-03-22 Applied Materials, Inc. Nanopowders, nanoceramic materials and methods of making and use thereof
US10766824B2 (en) * 2017-11-08 2020-09-08 Applied Materials, Inc. Methods of minimizing particles on wafer from plasma spray coatings
US10748774B2 (en) * 2017-11-30 2020-08-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10443126B1 (en) * 2018-04-06 2019-10-15 Applied Materials, Inc. Zone-controlled rare-earth oxide ALD and CVD coatings
US10957572B2 (en) * 2018-05-02 2021-03-23 Applied Materials, Inc. Multi-zone gasket for substrate support assembly
US11639547B2 (en) * 2018-05-03 2023-05-02 Applied Materials, Inc. Halogen resistant coatings and methods of making and using thereof
US11401599B2 (en) * 2018-06-18 2022-08-02 Applied Materials, Inc. Erosion resistant metal silicate coatings
US20200024735A1 (en) * 2018-07-18 2020-01-23 Applied Materials, Inc. Erosion resistant metal fluoride coatings deposited by atomic layer deposition
US11667575B2 (en) * 2018-07-18 2023-06-06 Applied Materials, Inc. Erosion resistant metal oxide coatings

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101469409A (zh) 2007-12-27 2009-07-01 北京有色金属研究总院 一种复合氧化铝/铒阻氢涂层及其制备方法
JP2013140950A (ja) 2011-12-05 2013-07-18 Tokyo Electron Ltd プラズマ処理装置及びプラズマ処理方法
WO2014205212A1 (en) 2013-06-20 2014-12-24 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
WO2015009745A1 (en) 2013-07-19 2015-01-22 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based thin film coatings on process rings
WO2015013070A1 (en) 2013-07-20 2015-01-29 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles

Also Published As

Publication number Publication date
JP2021107580A (ja) 2021-07-29
CN109417021B (zh) 2023-07-18
US20180094348A1 (en) 2018-04-05
US9850573B1 (en) 2017-12-26
JP2019522113A (ja) 2019-08-08
CN116815158A (zh) 2023-09-29
CN109417021A (zh) 2019-03-01
TWI762463B (zh) 2022-05-01
US20170369993A1 (en) 2017-12-28
KR102195757B1 (ko) 2020-12-28
TW201809337A (zh) 2018-03-16
KR102308849B1 (ko) 2021-10-01
KR20200143533A (ko) 2020-12-23
KR20190009429A (ko) 2019-01-28
WO2017222601A1 (en) 2017-12-28
US10676819B2 (en) 2020-06-09
JP6859371B2 (ja) 2021-04-14

Similar Documents

Publication Publication Date Title
JP7134283B2 (ja) エルビウム系プラズマ耐性セラミックコーティングの見通し外堆積
JP7454612B2 (ja) 半導体処理チャンバコンポーネント用の保護コーティングの原子層堆積
JP7296698B2 (ja) 原子層堆積による多孔質体の耐プラズマ性コーティング
JP7481317B2 (ja) 原子層堆積による多層耐プラズマ性コーティング
US11180847B2 (en) Atomic layer deposition coatings for high temperature ceramic components
TWI811232B (zh) 用於高溫加熱器的原子層沉積塗層

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20210324

A871 Explanation of circumstances concerning accelerated examination

Free format text: JAPANESE INTERMEDIATE CODE: A871

Effective date: 20210324

A975 Report on accelerated examination

Free format text: JAPANESE INTERMEDIATE CODE: A971005

Effective date: 20210414

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20210706

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20211004

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20211101

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20211130

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20220228

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20220428

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220520

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20220607

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220624

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20220809

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20220830

R150 Certificate of patent or registration of utility model

Ref document number: 7134283

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150