CN116815158A - 铒基耐等离子体陶瓷涂层的非直视性沉积 - Google Patents

铒基耐等离子体陶瓷涂层的非直视性沉积 Download PDF

Info

Publication number
CN116815158A
CN116815158A CN202310842884.4A CN202310842884A CN116815158A CN 116815158 A CN116815158 A CN 116815158A CN 202310842884 A CN202310842884 A CN 202310842884A CN 116815158 A CN116815158 A CN 116815158A
Authority
CN
China
Prior art keywords
layer
erbium
resistant ceramic
ceramic coating
article
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202310842884.4A
Other languages
English (en)
Inventor
J·Y·孙
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN116815158A publication Critical patent/CN116815158A/zh
Pending legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/403Oxides of aluminium, magnesium or beryllium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32467Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • H01J37/32495Means for protecting the vessel against plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

本发明描述了一种使用非直视性(non‑line‑of‑sight;NLOS)沉积工艺在腔室部件的表面上沉积耐等离子体陶瓷涂层的方法,所述非直视性沉积工艺为诸如原子层沉积(atomic layer deposition;ALD)和化学气相沉积(chemical vapor deposition;CVD)。耐等离子体陶瓷涂层由含铒氧化物、含铒氟氧化物或含铒氟化物组成。本发明还描述了具有含铒氧化物、含铒氟氧化物或含铒氟化物的耐等离子体陶瓷涂层的腔室部件。

Description

铒基耐等离子体陶瓷涂层的非直视性沉积
本申请是申请日为2017年1月23日、申请号为“201780038885.6”、发明名称为“铒基耐等离子体陶瓷涂层的非直视性沉积”的发明专利申请的分案申请。
技术领域
本公开的实施例关于一种使用非直视性沉积(non-line of sight deposition;NLOS)技术涂覆具有含铒陶瓷涂层的腔室部件的方法。
背景技术
各制造工艺将半导体处理腔室部件暴露于高温、高能等离子体、腐蚀性气体的混合物、高应力及其组合中。这些极端条件可侵蚀和/或腐蚀腔室部件,从而增大腔室部件对缺陷的敏感性。希望减小这些缺陷并改进部件在这种极端环境中的耐侵蚀性和/或耐腐蚀性。
通常通过各种方法(诸如热喷涂、溅射或蒸发技术)在腔室部件上沉积防护层。在这些技术中,不直接暴露于涂层材料源(例如,不在材料源的视线中)的腔室部件的表面由比直接暴露于涂层材料源的表面显著更薄的涂层涂覆。这可能导致劣质薄膜、低密度薄膜,或腔室部件的一部分完全未涂覆。
一些材料比其他材料对特定恶劣环境具有更强的耐侵蚀性和/或耐腐蚀性。
发明内容
本文所描述的实施例中的一些包括一种使用含铒氧化物、含铒氟氧化物、或含铒氟化物以通过非直视性(non-line of sight;NLOS)沉积方法在腔室部件上建立耐等离子体陶瓷涂层的方法。在实施例中可使用的这些NLOS方法为化学气相沉积(CVD)和原子层沉积(ALD)。
在一些实施例中,腔室部件包括具有在10:1与200:1之间的深宽比的部分。腔室部件另外包括在该腔室部件的部分的表面上的耐等离子体陶瓷涂层。该耐等离子体陶瓷涂层由含铒氧化物、含铒氧氟化物或含铒氟化物组成。该耐等离子体陶瓷涂层具有约零孔隙率且具有均匀厚度,该均匀厚度具有小于+/-5%的厚度变化。
在一些实施例中,腔室部件包括具有在10:1与200:1之间的深宽比的部分。该腔室部件另外包括在腔室部件的部分的表面上的耐等离子体陶瓷涂层。该耐等离子体陶瓷涂层由Er2O3组成。该耐等离子体陶瓷涂层具有约零孔隙率且具有均匀厚度,该均匀厚度具有小于+/-5%的厚度变化。
附图说明
在以下附图中通过示例而非限制的方式说明本公开案,其中相同的附图标记指示相似组件。应当注意,在本公开中对“一”或“一个”实施例的不同引用不一定指同实施例,并且该引用意指至少一个。
图1描绘了处理腔室的一个实施例的剖面图。
图2描绘了根据实施例的根据各种原子层沉积技术和化学气相沉积技术的沉积工艺。
图3A示出了根据实施例的使用原子层沉积或化学气相沉积建立陶瓷涂层的方法。
图3B示出了根据实施例的使用原子层沉积建立陶瓷涂层的方法。
图4A至图4D描绘根据不同实施例的多组分涂层组成的变化。
图5A描绘根据实施例的腔室部件(喷头)。
图5B描绘根据实施例的具有大深宽比的气体导管的放大视图,其中该气体导管的内部有涂层。
图6是比较不同陶瓷每小时以微米为单位的等离子体蚀刻侵蚀速率的图表。
具体实施方式
本文所描述的实施例包括使用非直视性(NLOS)沉积工艺在腔室部件或其他制品上沉积含有铒基氧化物、铒基氟化物、或铒基氟氧化物的铒基耐等离子体陶瓷涂层的方法。NLOS沉积工艺可以是化学气相沉积(CVD)或原子层沉积(ALD),也称为原子层外延、原子单层外延和原子层化学气相沉积。在一些实施例中,耐等离子体涂层可由多层堆叠组成。该多层堆叠可包括含铒氧化物层或含铒氟化物层作为一层、不同氧化物或氟化物材料作为另一层、以及Er2O3、ErF3、Al2O3、YF3、Y2O3或ZrO2的一个或多个额外层。可重复该层序列直到达到所期望的厚度。可退火此多层堆叠以在第一层、第二层与任意额外层之间建立一个或多于一个相互扩散的固态相。该相互扩散多层堆叠可以是包括不同层的组成材料的均质或大致均质的涂层。
本文所描述的实施例使得腔室部件和其他制品的高深宽比特征能够由含铒氧化物、含铒氟化物和含铒氧氟化物的耐等离子体陶瓷涂层有效地涂覆。该耐等离子体陶瓷涂层为保形的(例如,具有小于约+/-5%的厚度变化)并且很致密(例如,具有0%或约0%的孔隙率)。含铒氧化物、含铒氟化物和含铒氧氟化物的耐等离子体陶瓷涂层可对诸如CCl4/CHF3等离子体蚀刻化学物质的特定等离子体蚀刻化学物质具有特定耐腐蚀性和耐侵蚀性。
CVD允许材料在制品表面上保形沉积。挥发性前驱物或前驱物的混合物以稳定速率流经处理腔室。前驱物将以在制品表面上沉积期望产物的方式在腔室内反应。所沉积材料的数量是沉积时间的函数。沉积时间越长,所得薄膜越厚。也将经常产生化学反应的其他副产物;这些副产物由穿过腔室的气流来移除。CVD工艺可在从常压到低压(即:~10-8托)的压力范围内。
ALD允许材料在与制品的表面的化学反应过程中的受控自限制沉积。除了是保形工艺外,ALD也是均匀工艺。制品的所有暴露面将具有相同或大致相同数量的沉积材料。ALD工艺的典型反应循环以前驱物大量涌进ALD腔室开始。随后在第二前驱物引入ALD腔室中并随后冲净之前,将前驱物从ALD腔室冲净。制品表面与化学前驱物的反应产生一个原子层厚度的化学接合层。冲净可由工艺产生的过剩材料。不同于CVD,使用ALD生长的材料的厚度不取决于沉积时间。对于ALD,材料的最终厚度取决于运行的反应循环的数目,因为每个反应循环将生长出一个原子层厚度的层。
处理腔室部件(诸如喷头、喷嘴、扩散器和气体管线)将受益于具有这些耐等离子体含铒陶瓷涂层以在极端蚀刻环境中保护它们。这些腔室部件的许多具有介于10:1与200:1之间的范围内的深宽比,其使它们难以使用常规视线沉积方法很好地涂覆。本文所描述的实施例使得诸如前述处理腔室部件的高深宽比制品能够由保护制品的耐等离子体陶瓷涂层涂覆。例如,实施例使得气体管线的内部、喷嘴的内部、喷头中孔的内部等能够涂覆有含铒陶瓷涂层。
图1是根据本发明的实施例的具有由耐等离子体陶瓷涂层(即,氧化铒基涂层、氟化铒基涂层或氟氧化铒基涂层)涂覆的一个或多个腔室部件的半导体处理腔室100的剖面图。处理腔室100可用于在其中提供具有等离子体处理条件的腐蚀性等离子体环境的工艺。例如,处理腔室100可以是用于等离子体蚀刻器或等离子体蚀刻反应器、等离子体清洗器等的腔室。可包括耐等离子体陶瓷涂层的腔室部件的示例包括具有复杂形状的腔室部件和具有大深宽比的孔。一些示例性腔室部件包括:基板支撑组件148、静电卡盘(ESC)150、环(例如,处理套组环或单环)、腔室壁、基座、气体分配板、处理腔室的喷头、气体管线、喷嘴、盖、衬垫、衬垫套组、遮屏、等离子体屏蔽件、气流均衡器、冷却基座、腔室观察孔、腔室盖等。在下文更详细描述的耐等离子体陶瓷涂层由诸如ALD和CVD的非直视性(NLOS)沉积工艺施加。ALD允许在包括具有复杂形状的部件和具有大深宽比的孔的所有类型的部件上施加基本上均匀厚度的保形涂层。类似地,CVD也允许施加相对均匀厚度的保形涂层。
可使用具有各种含铒陶瓷的ALD或CVD,该陶瓷诸如包括氧化铒(Er2O3)、氟化铒(ErF3)和/或氟氧化铒(ErxOyFz)的陶瓷,生长或沉积耐等离子体陶瓷涂层。含铒陶瓷也可包括一定量锆、钇和/或铝。例如,含铒陶瓷可包括氧化锆(ZrO2)、氧化铝(Al2O3)、氧化钇(Y2O3)、氟化锆(ZrF4)、氟化铝(AlF3)和/或氟化钇(YF3)。含铒陶瓷可以是例如ErxOyFz、ErxAlyOz(例如、Er3Al5O12)、ErxZryOz、EraZrxAlyOz、YxEryOz、YxEryFz、YwErxOyFz或ErwYxZryOz
如图所示,根据一个实施例,基板支撑组件148具有铒基耐等离子体陶瓷涂层136。然而,应当理解,其他腔室部件的任一个(诸如喷头、气体管线、静电卡盘、喷嘴和其他)也可由多组分涂层涂覆。
在一个实施例中,处理腔室100包括封闭内部容积106的腔室主体102和喷头130。喷头130可包括喷头基座和喷头气体分配板。或者,在一些实施例中,喷头130可由盖和喷嘴替代。腔室主体102可由铝、不锈钢或其他适合材料制造。腔室主体102一般包括侧壁108和底部110。喷头130(或盖和/或喷嘴)、侧壁108和/或底部110的任一个可包括铒基耐等离子体陶瓷涂层。
外衬垫116可设置为邻近侧壁108以保护腔室主体102。外衬垫116可由多组分涂层制造和/或涂覆。在一个实施例中,外衬垫116由氧化铝制造。
排气口126可限定在腔室主体102中,并且可将内部容积106耦接至泵系统128。泵系统128可包括用来排空和调节处理腔室100的内部容积106的压力的一个或多个泵和节流阀。
喷头130可支撑在腔室主体102的侧壁108上。可打开喷头130(或盖)以允许进入处理腔室100的内部容积106,并且当关闭时可提供对处理腔室100的密封。气体板158可耦接至处理腔室100以穿过喷头130或盖和喷嘴向内部容积106提供处理气体和/或清洗气体。喷头130可用于处理腔室,该处理腔室用于介电蚀刻(介电材料的蚀刻)。喷头130包括气体分配板(GDP)133,GDP133具有贯穿GDP133的多个气体输送孔132。喷头130可包括接合至铝基或阳极化铝基的GDP 133。GDP 133由Si或SiC组成,或可以是诸如Y2O3、Al2O3、Y3Al5O12(YAG)等的陶瓷。如下文关于图5A和图5B更详细地描述,喷头130和输送孔132可由铒基耐等离子体陶瓷涂层涂覆。
对于用于导体蚀刻(导电材料的蚀刻)的处理腔室,可使用盖而不是喷头。盖可包括装配进该盖的中心孔的中心喷嘴。该盖可以是诸如Al2O3、Y2O3、YAG的陶瓷或包含Y4Al2O9和固溶体Y2O3-ZrO2的陶瓷化合物。该喷嘴也可以是诸如Y2O3、YAG的陶瓷或包含Y4Al2O9和固溶体Y2O3-ZrO2的陶瓷化合物。根据实施例,该盖、喷头基座104、GDP133和/或喷嘴可全部由耐等离子体陶瓷涂层涂覆。
可用以在处理腔室100内处理基板的处理气体的示例包括含卤素气体(诸如C2F6、SF6、SiCl4、HBr、NF3、CF4、CHF3、CH2F3、F、NF3、Cl2、CCl4、BCl3和SiF4)以及诸如O2或N2O的其他气体。载气的示例包括N2、He、Ar和其他对处理气体惰性的气体(例如,不反应气体)。基板支撑组件148设置在处理腔室100的处于喷头130或盖下方的内部容积106中。基板支撑组件148在处理期间固持基板144。环146(例如,单环)可覆盖静电卡盘150的一部分,并且可防止所覆盖部分在处理期间暴露于等离子体。在一个实施例中,环146可以是硅或石英。
可在基板支撑组件148的周边涂覆内衬垫118。内衬垫118可以是诸如参考外衬垫116论述的耐含卤素气体材料。在一个实施例中,内衬垫118可由外衬垫116的相同材料制造。另外,内衬垫118也可由本文所描述的铒基耐等离子体陶瓷涂层涂覆。
在一个实施例中,基板支撑组件148包括支撑台座152的装配板162和静电卡盘150。静电卡盘150进一步包括导热基座164和由黏结剂138接合至导热基座的静电圆盘166,在一个实施例中,黏结剂138可以是聚硅氧黏结剂。在所图示的实施例中,静电圆盘166的上表面可由铒基耐等离子体陶瓷涂层136覆盖。铒基耐等离子体陶瓷涂层136可设置在静电卡盘150的整个暴露面上,该暴露面包括导热基座164和静电圆盘166以及在该静电卡盘中具有大深宽比的任意其他几何复杂零件或孔的外周边及侧周边。装配板162耦接至腔室主体102的底部110并包括用于将公用设施(例如,流体、电源线、传感器导线等)导引至导热基座164和静电圆盘166的通道。
导热基座164和/或静电圆盘166可包括一个或多个可选嵌入式加热组件176、嵌入式隔热器174和/或导管168、导管170以控制基板支撑组件148的侧面温度分布。导管168、导管170可流体地耦接至流体源172,流体源172穿过导管168、导管170循环温度调节流体。在一个实施例中,嵌入式隔热器174可设置在导管168、导管170之间。加热器176由加热器电源178调节。导管168、导管170和加热器176可用于控制导热基座164的温度。导管和加热器加热和/或冷却正在处理的静电圆盘166和基板(例如,晶片)144。静电圆盘166和导热基座164的温度可使用多个温度传感器190、温度传感器192监控,温度传感器190、温度传感器192可使用控制器195监控。
静电圆盘166可进一步包括多个气体通道,诸如槽、凸台和可在圆盘166的上表面中形成的其他表面特征。根据一个实施例,这些表面特征可由铒基耐等离子体陶瓷涂层涂覆。气体通道可经由在静电圆盘166中钻出的孔流体地耦接至诸如He的热传递(或背部)气体源。在操作中,可将背部气体在受控压力下提供至气体通道中以增强在静电圆盘166和基板144之间的热传递。
静电圆盘166包括由卡紧电源182控制的至少一个夹紧电极180。夹紧电极180(或设置在静电圆盘166或基座164中的其他电极)可经由匹配电路188进一步耦接至一个或多个射频(RF)功率源184、186以将由处理气体和/或其他气体形成的等离子体维持在处理腔室100内。RF功率源184、186一般能够产生具有从约50kHz至约3GHz的频率和高达约10,000瓦特的功率的RF信号。
图2描绘了根据在制品上生成或沉积铒基耐等离子体陶瓷涂层的各种ALD及CVD技术的沉积工艺。各种类型的ALD工艺存在并且可基于一些因素(诸如待涂覆的表面、涂覆材料、表面和涂覆材料之间的化学相互作用等)选出特定类型。各种ALD工艺的一般原理包含通过反复将待涂覆的表面暴露于气态化学前驱物的顺序交替脉冲来生长薄膜层,该气态化学前驱物以自限制方式一次一个地与表面发生化学反应。
类似地,各种类型的CVD工艺存在并可基于一些因素选出特定类型,该因素诸如待涂覆的表面、涂层材料、表面与涂层材料之间的化学相互作用、所期望的厚度、所期望的涂层性质等。CVD工艺的一些示例包括常压CVD(APCVD)、低压化学气相沉积(LPCVD)、等离子体增强化学气相沉积(PECVD)、气相外延等。作为NLOS工艺的这些CVD工艺的任一个可用于实施例中。对于各种CVD工艺,将制品暴露于在制品表面上反应和/或分解的一种或多种挥发性前驱物以产生所期望的涂层。可产生副产物,通过从在执行CVD工艺的沉积腔室中排空副产物来移除副产物。
图2图示了制品210,制品210具有表面205。制品210可表示各种处理腔室部件(例如,半导体处理腔室部件),各种处理腔室部件包括但不限于:基板支撑组件、静电卡盘(ESC)、环(例如,处理套组环或单环)、腔室壁、基座、气体分配板、气体管线、喷头、喷嘴、盖、衬垫、衬垫套组、遮屏、等离子体屏蔽件、气流均衡器、冷却基座、腔室观察孔、腔室盖、扩散器等。制品210也可以是电池或任意导电制品的一部分。制品210和表面205可由金属(诸如铝、不锈钢)、陶瓷、金属陶瓷复合物、聚合物、聚合物陶瓷复合物、聚酯树脂、聚酯或其他适合材料制成,并且可进一步包含诸如AlN、Si、SiC、Al2O3、SiO2等材料。
对于ALD,在前驱物与表面之间的每个单独化学反应可称为“半反应”。在每个半反应期间,将前驱物脉冲至表面上持续一段时间以足以允许该前驱物与该表面完全反应。该反应为自限制,因为该前驱物将在该表面上与有限数目的可用反应位点反应,从而在该表面上形成均匀连续薄膜层。已经与前驱物反应的任意位点将不可用于与同一前驱物的进一步反应,除非和/或直到使该已反应位点经受在均匀连续涂层上形成新反应位点的处理。示例性处理可以是等离子体处理、通过将均匀连续薄膜层暴露于自由基的处理、或引入能与在表面上生长的最新均匀连续膜层反应的不同前驱物。
在图2中,使用ALD或CVD,可将具有表面205的制品210引入至第一前驱物260持续第一持续时间直到层215完全地生长或沉积(术语生长和沉积可在本文互换地使用)。层215可以是均匀的、连续的和保形的。层215也可具有在实施例中小于1%,并在另外实施例中小于0.1%的极低孔隙率。在一些实施例中孔隙率为0%或大约0%。在使用ALD形成层215的一些实施例中,层215可具有一个原子或几个原子(例如,2-3个原子)的厚度。如若使用CVD,则层215可具有约1-100纳米的厚度。
在实施例中,层215可以是Er2O3、ErF3、Y2O3、Al2O3、YF3或ZrO2。在一些实施例中,层215是具有ErxOyFz、ErxAlyOz(例如,Er3Al5O12)、ErxZryOz、EraZrxAlyOz、YxEryOz、YxEryFz、YwErxOyFz、或EraYxZryOz(例如,Y2O3、ZrO2和Er2O3的单相固溶体)的多组分材料。层215也可以是AlN、SiC、Y3Al5O12(YAG)、Y4Al2O9(YAM)、TiO2、Y2O3稳定的ZrO2(YSZ)、或包含Y4Al2O9的陶瓷化合物和固溶体Y2O3-ZrO2中的一个。
随后,使用ALD或CVD,可将具有表面205和层215的制品210引入至第二前驱物270持续第二持续时间直到第二层220在层215上方完全地生长或沉积。第二层220可以是均匀的、连续的及保形的。第二层220也可具有在实施例中小于1%、并且在另外实施例中小于0.1%、并且在又另外实施例中0%或大约0%的极低孔隙率。在使用ALD形成第二层220的一些实施例中,第二层220可具有一个原子或几个原子(例如,2-3个原子)的厚度。如果使用CVD,则第二层220可具有约1-100纳米的厚度。
在实施例中,第二层220可以是Er2O3、ErF3、Y2O3、Al2O3、YF3或ZrO2。在一些实施例中,第二层220是具有ErxOyFz、ErxAlyOz(例如,Er3Al5O12)、ErxZryOz、EraZrxAlyOz、YxEryOz、YxEryFz、YwErxOyFz、或EraYxZryOz(例如,Y2O3、ZrO2和Er2O3的单相固溶体)的多组分材料。第二层220也可以是AlN、SiC、Y3Al5O12(YAG)、Y4Al2O9(YAM)、TiO2、Y2O3稳定的ZrO2(YSZ)、或包含Y4Al2O9的陶瓷化合物和固溶体Y2O3-ZrO2中的一个。在一个实施例中,第一层或第二层的至少一个是含铒化合物(例如,Er2O3、ErF3、ErxOyFz、ErxAlyOz、ErxZryOz、EraZrxAlyOz、YxEryOz、YxEryFz、YwErxOyFz、或EraYxZryOz)。因此,层215可以是在沉积含铒层之前沉积的中间层。或者,第二层220可以是在含铒层上方沉积的覆盖层。在一个实施例中,第二层220具有与层215相同的组成。
此后,可顺序地重复引入前驱物260和前驱物270以生长或沉积额外交替层225、230、235、240、245和250。重复顺序地引入各种前驱物N次,其中N表示基于目标涂层厚度和性质选出的层的有限数目。各种层可保持完整或在一些实施例中可相互扩散。
顺序地进行表面反应(例如,半反应),并且各种前驱物在实施例中不接触。在引入新前驱物之前,在其中进行ALD或CVD工艺的腔室可使用惰性载气(诸如氮气或空气)吹扫以将任何未反应的前驱物和/或表面前驱物反应副产物移除。根据所使用的ALD或CVD工艺,前驱物可不同或相同。在一些实施例中,使用至少一种前驱物。在其他实施例中,使用至少两种前驱物。在一些实施例中,不同前驱物可用来生长或沉积具有相同组成的薄膜层(例如,为了在彼此之上生长Er2O3的多个层)。在其他实施例中,不同前驱物可用来生长具有不同组成的不同薄膜层。
根据ALD或CVD工艺的类型,可在各种温度下实施ALD或CVD工艺。特定ALD工艺的最佳温度范围称为“ALD温度窗口”,低于ALD温度窗口的温度可引起慢生长速率和非ALD类型沉积。高于ALD温度窗口的温度可引起制品的热分解或前驱物的快速解吸附。ALD温度窗口可在从约200℃至约400℃的范围内变化。在一些实施例中,ALD温度窗口在约200-350℃之间。
ALD工艺和CVD工艺允许在具有复杂几何形状、具有大深宽比的孔和三维结构的制品和表面上具有均匀厚度的保形铒基耐等离子体陶瓷涂层。前驱物对表面的充足暴露时间使得前驱物能够扩散并完全与表面整体地(包括所有其三维复杂特征)反应。用于在高深宽比结构中获得保形ALD的暴露时间与深宽比的平方成正比,并可使用模型化技术预测。另外,ALD技术比其他经常使用的涂层技术有利,因为其允许特定组成物或调配物原位按需要材料合成而无需来源材料(诸如粉末原料和熔结靶材)的冗长且困难的制造。ALD能够比CVD更好地涂覆具有高深宽比的制品。因此,在一些实施例中,ALD用来涂覆具有约50:1和更高(例如,200:1)的深宽比的制品。
使用ALD技术,多组分薄膜(诸如ErxOyFz、ErxAlyOz(例如,Er3Al5O12)、ErxZryOz和EraZrxAlyOz、YxEryOz、YxEryFz、YwErxOyFz及EraYxZryOz(例如,Y2O3、ZrO2和Er2O3的单相固溶体))可例如经由用来生长Er2O3、ErF3、Y2O3、Al2O3、YF3和ZrO2的前驱物的适当顺序来生长或沉积,如下文示例更详细地说明。
图3A图示了根据实施例的用于在诸如处理腔室部件的制品上形成含铒耐等离子体陶瓷涂层的方法300。方法300可用于涂覆具有约10:1至约200:1的深宽比(例如,20:1、50:1、100:1、150:1等的深宽比)的制品。该方法可可选地由选择用于耐等离子体陶瓷涂层的组成开始。可由相同实体或由多个实体来执行组成选择和形成方法。
该方法可可选地包括,在框305,使用酸性溶液清洗制品。在一个实施例中,将制品浸在酸性溶液浴中。在实施例中,该酸性溶液可以是氢氟酸(HF)溶液、盐酸(HCl)溶液、硝酸(HNO3)溶液或其组合。该酸性溶液可将表面污染物从制品移除和/或可将氧化物从制品的表面移除。使用酸性溶液清洗制品可改进使用ALD沉积的涂层的质量。在一个实施例中,使用含有约0.1-5.0体积%HF的酸性溶液来清洗由石英制成的腔室部件。在一个实施例中,使用含有约0.1-20体积%HCl的酸性溶液来清洗由Al2O3制成的制品。在一个实施例中,使用含有约5-15体积%HNO3的酸性溶液来清洗由铝和其他金属制成的制品。
在框310,将制品装载进沉积腔室中。如果将执行ALD,则将制品装载进ALD沉积腔室中。如果将执行CVD,则将制品装载进CVD沉积腔室中。
按照框320,该方法包含使用ALD或CVD在制品的表面上沉积铒基耐等离子体陶瓷涂层。在一个实施例中,在框325,执行ALD以沉积铒基耐等离子体陶瓷涂层。在一个实施例中,在框330,执行CVD以沉积铒基耐等离子体陶瓷涂层。在实施例中,ALD和CVD是与已执行工艺保形的工艺,其可导致铒基耐等离子体陶瓷涂层的表面粗糙度与已涂覆的制品的下表面的表面粗糙度匹配。在一些实施例中,铒基耐等离子体陶瓷涂层可具有单层厚(例如,约0.1-2纳米)至约100纳米厚的厚度。在其他实施例中,铒基耐等离子体陶瓷涂层可具有约100纳米至约1微米的厚度。铒基耐等离子体陶瓷涂层可具有0%(或约0%)的孔隙率和约+/-5%或更少的厚度变化。
铒基耐等离子体陶瓷涂层是含铒氧化物、含铒氧氟化物或含铒氟化物。在实施例中,使用铒基材料来形成耐等离子体陶瓷涂层,因为铒基氧化物、铒基氟化物和铒基氧氟化物通常具有高稳定性、高硬度和优良的耐侵蚀性质。例如,Er2O3在298K具有-1808.70kJ/摩尔的吉布斯形成自由能,其指示Er2O3很稳定并将在处理期间具有与氧的低反应速率。另外,Er2O3在低于约2300℃的温度处具有单斜晶立方结构,其为Er2O3贡献了9.75+/-3.38GPa的高硬度和约2.2-4.0MPa·m1/2的断裂韧性(抗断裂的能力)。根据本文的实施例沉积的Er2O3耐等离子体陶瓷涂层也可具有对于多种等离子体和化学环境的低侵蚀速率,诸如当在2000瓦特的偏压下暴露于CCl4/CHF3等离子体化学物质中时具有约0.0187μm/hr的侵蚀速率。
可形成的耐等离子体陶瓷涂层的含铒化合物的示例包括Er2O3、ErF3、ErxOyFz、ErxAlyOz(例如,Er3Al5O12)、ErxZryOz、EraZrxAlyOz、YxEryOz、YxEryFz、YwErxOyFz和EraYxZryOz(例如Y2O3、ZrO2和Er2O3的单相固溶体)。在耐等离子体陶瓷涂层中的铒含量可在从约0.1原子%到近似于100原子%的范围内变化。对于含铒氧化物,铒含量可在从约0.1原子%到近似于100原子%的范围内变化,且氧含量可在从约0.1原子%到近似于100原子%的范围内变化。对于含铒氟化物,铒含量可在从约0.1原子%到近似于100原子%的范围内变化,并且氟含量可在从约0.1原子%到近似于100原子%的范围内变化。对于含铒氟氧化物,铒含量可在从约0.1原子%到近似于100原子%的范围内变化,氧含量可在从约0.1原子%到近似于100原子%的范围内变化,且氟含量可在从约0.1原子%到近似于100原子%的范围内变化。
有利地,Y2O3与Er2O3可混溶。Y2O3与Er2O3的任意组合可形成为单相固溶体。例如,恰好高于0摩尔%Y2O3与恰好低于100摩尔%Er2O3的混合物可经组合以形成为单相固溶体的耐等离子体陶瓷涂层。另外,恰好高于0摩尔%E2O3与恰好低于100摩尔%Y2O3的混合物可经组合以形成为单相固溶体的耐等离子体陶瓷涂层。YxEryOz的耐等离子体陶瓷涂层可含有高于0摩尔%至低于100摩尔%之间的Y2O3和高于0摩尔%至低于100摩尔%之间的Er2O3。一些显著示例包括:1-10摩尔%Y2O3和90-99摩尔%Er2O3、11-20摩尔%Y2O3和80-89摩尔%Er2O3、21-30摩尔%Y2O3和70-79摩尔%Er2O3、31-40摩尔%Y2O3和60-69摩尔%Er2O3、41-50摩尔%Y2O3和50-59摩尔%Er2O3、51-60摩尔%Y2O3和40-49摩尔%Er2O3、61-70摩尔%Y2O3和30-39摩尔%Er2O3、71-80摩尔%Y2O3和20-29摩尔%Er2O3、81-90摩尔%Y2O3和10-19摩尔%Er2O3、和1-10摩尔%Er2O3和90-99摩尔%Y2O3。YxEryOz的单相固溶体可在低于约2330℃的温度下具有单斜晶立方状态。
有利地,ZrO2可与Y2O3和Er2O3组合以形成含有ZrO2、Y2O3和Er2O3的混合物(例如,EraYxZryOz)的单相固溶体。EraYxZryOz的固溶体可具有立方的、六角形的、四角形的和/或立方萤石的结构。EraYxZryOz的固溶体可含有高于0摩尔%至60摩尔%ZrO2、高于0摩尔%至99摩尔%Er2O3、和高于0摩尔%至99摩尔%Y2O3。可使用的一些显著数量的ZrO2包括2摩尔%、5摩尔%、10摩尔%、15摩尔%、20摩尔%、30摩尔%、50摩尔%和60摩尔%。可使用的Er2O3和/或Y2O3的一些显著数量包括10摩尔%、20摩尔%、30摩尔%、40摩尔%、50摩尔%、60摩尔%、70摩尔%、80摩尔%和90摩尔%。
EraZrxAlyOz的耐等离子体陶瓷涂层可含有高于0%至60摩尔%ZrO2、高于0摩尔%至99摩尔%Er2O3和高于0摩尔%至60摩尔%Al2O3。可使用的ZrO2的一些显著数量包括2摩尔%、5摩尔%、10摩尔%、15摩尔%、20摩尔%、30摩尔%、50摩尔%和60摩尔%。可使用的Er2O3的一些显著数量包括10摩尔%、20摩尔%、30摩尔%、40摩尔%、50摩尔%、60摩尔%、70摩尔%、80摩尔%和90摩尔%。可使用的Al2O3的一些显著数量包括2摩尔%、5摩尔%、10摩尔%、20摩尔%、30摩尔%、40摩尔%、50摩尔%及60摩尔%。在一个示例中,EraZrxAlyOz的耐等离子体陶瓷涂层含有42摩尔%Y2O3,40摩尔%ZrO2和18摩尔%Er2O3并具有层状结构。在另一示例中,EraZrxAlyOz的耐等离子体陶瓷涂层含有63摩尔%Y2O3,10摩尔%ZrO2和27摩尔%Er2O3并具有层状结构。
YxEryFz的耐等离子体陶瓷涂层可含有恰好高于0摩尔%YF3及恰好低于100摩尔%ErF3的混合物。另外,恰好高于0摩尔%ErF3和恰好低于100摩尔%YF3的混合物可经组合以形成耐等离子体陶瓷涂层。YxEryFz的耐等离子体陶瓷涂层可含有高于0摩尔%至低于100摩尔%YF3及高于0摩尔%至低于100摩尔%ErF3的混合物。一些显著示例包括:1-10摩尔%YF3和90-99摩尔%ErF3、11-20摩尔%YF3和80-89摩尔%ErF3、21-30摩尔%YF3和70-79摩尔%ErF3、31-40摩尔%YF3和60-69摩尔%ErF3、41-50摩尔%YF3和50-59摩尔%ErF3、51-60摩尔%YF3和40-49摩尔%ErF3、61-70摩尔%YF3和30-39摩尔%ErF3、71-80摩尔%YF3和20-29摩尔%ErF3、81-90摩尔%YF3和10-19摩尔%ErF3、以及1-10摩尔%ErF3和90-99摩尔%YF3
Y2O3、Er2O3、YF3和ErF3的三种以上可经组合以形成YwErxOyFz的固溶体。YwErxOyFz的固溶体可含有:高于0摩尔%至小于100摩尔%Y2O3、高于0摩尔%至小于100摩尔%Er2O3、高于0摩尔%至小于100摩尔%YF3和/或高于0摩尔%至小于100摩尔%ErF3。可使用的Er2O3、Y2O3、YF3和/或ErF3的一些显著数量包括10摩尔%、20摩尔%、30摩尔%、40摩尔%、50摩尔%、60摩尔%、70摩尔%、80摩尔%和90摩尔%。
在实施例中,Er2O3、ErF3、ErxOyFz、ErxAlyOz(例如,Er3Al5O12)、ErxZryOz、EraZrxAlyOz、YxEryOz、YxEryFz、YwErxOyFz或EraYxZryOz的铒基耐等离子体陶瓷涂层具有低释气率、大约约200V/μm的介电击穿电压、和小于约10-9托的厄米性(漏泄率)。
图3B图示了根据实施例在诸如处理腔室部件的制品上形成铒基耐等离子体陶瓷涂层的方法350。该方法可可选地由选择用于耐等离子体陶瓷涂层的组成开始。可由相同实体或由多个实体来执行组成选择和形成方法。
在方法350的框352处,使用酸性溶液清洗制品的(例如,处理腔室部件的)表面。酸性溶液可以是上文参考方法300的框305所描述的酸性溶液的任一种。随后可将制品装载进ALD沉积腔室中。
按照框355,该方法包含经由ALD在制品的表面上沉积Er2O3、Al2O3、ErF3、YF3、Y2O3或ZrO2的第一层。按照框360,该方法进一步包含经由ALD在制品的表面上沉积具有第二氧化物或第二氟化物的额外层。第二氧化物或氟化物为Er2O3、Al2O3、ErF3、Y2O3或ZrO2的一个。在一个实施例中,额外层为不同于第一层的材料。
在一些实施例中,按照框370,该方法可进一步包含确定是否添加额外层。确定是否添加额外层和/或添加多少层可以原位进行或者在开始沉积之前(例如,在可选的多组分组成物选择过程中)进行。如果将添加额外层,则可重复框360的操作,并可经由ALD沉积Er2O3、Al2O3、ErF3、YF3、Y2O3或ZrO2的额外层。该额外层可以是与第一层或一个或多个上述额外层相同的材料。或者,该额外层可以是与第一层和上述额外层不同的材料。如果不再添加额外层,则方法可进行至框375。
在一些实施例中,当第一层或任意额外层包含氧化钇时,至少一种氧化钇前驱物可从用于ALD的三(N,N-双(三甲基硅基)酰胺)钇(III)或钇(III)丁氧化物中选出。在一些实施例中,当第一层或额外层的任一层包含氟化钇时,至少一种氟化钇前驱物可从用于ALD的Y(thd)3(其中thd=2,2,6,6-四甲基-3,5-庚烷二酮)和TiF4、TaF5或NH4F的组合中选出。
在一些实施例中,当第一层或额外层的任一层包含氧化铝时,至少一种氧化铝前驱物可从用于ALD的二乙基氧化铝、三(乙基甲基酰胺基)铝、二级丁氧化铝、三溴化铝、三氯化铝、三乙基铝、三异丁基铝、三甲基铝或三(二乙基酰胺基)铝中选出。在一些实施例中,当第一层或额外层的任一层包含氧化锆时,至少一种氧化锆前驱物可从用于ALD的溴化锆(IV)、氯化锆(IV)、三级丁氧化锆(IV)、四(二乙基酰胺基)锆(IV)、四(二甲基酰胺基)锆(IV)、或四(乙基甲基基酰胺基)锆(IV)中选出。
在一些实施例中,当第一层或额外层的任一层包含氧化铒时,至少一种氧化铒前驱物可从用于ALD的三甲基环戊二烯基铒(III)(Er(MeCp)3)、铒硼烷酰胺(Er(BA)3)、Er(TMHD)3、三(2,2,6,6-四甲基-3,5-庚二酮酸)铒(III)、或三(丁基环戊二烯基)铒(III)中选出。在一些实施例中,当第一层或额外层的任一层包含氧化铒时,使用三(2,2,6,6-四甲基-3,5-庚二酮酸)铒(Er(thd)3)和臭氧作为前驱物以形成Er2O3。在一些实施例中,当第一层或额外层的任一层包含氧化铒时,使用Er(CpMe)3和水作为前驱物以形成Er2O3。在一些实施例中,当第一层或额外层的任一层包含氧化铒时,使用Er(thd)3和氧自由基作为前驱物以形成Er2O3。在一些实施例中,当第一层或额外层的任一层包含氧化铒时,使用Er(PrCp)3、Er(CpMe)2和/或Er(BuCp)3与臭氧或者水作为前驱物以形成Er2O3
每次使用ALD生长Er2O3、Al2O3、ErF3、Y2O3、YF3或ZrO2层时,可以使用所列前驱物或任何其他适合前驱物的至少一个,而不管其是第一薄膜层、第二薄膜层还是第N薄膜层,其中该第N薄膜层表示在制品的表面上生长并基于目标防护涂层厚度和性质选出的薄膜层的有限数目。
在框375处,退火制品(例如,腔室部件)和在腔室部件上的耐等离子体陶瓷涂层的所有层。在一些实施例中,该退火可产生多组分组成物,该多组分组成物包含沉积在制品的表面上的一些或全部薄膜层的相互扩散固态相。可在从约300℃至约1800℃、从约300℃至约1500℃、从约300℃至约1000℃、或从约300℃至约500℃的范围内变化的温度下执行退火。可基于制品的结构、表面和薄膜层的材料选择退火温度,以便维持其完整性并避免这些部件的任一个或全部变形、分解或熔融。
图4A至图4D描绘根据不同实施例的铒基耐等离子体陶瓷涂层的变化。图4A图示根据一个实施例的具有用于制品410的表面405的多组分组成物的铒基耐等离子体陶瓷涂层。表面405可以是各种制品410的表面。例如,制品410可包括各种半导体处理腔室部件,该各种半导体处理腔室部件包括但不限于:基板支撑组件、静电卡盘(ESC)、环(例如,处理套组环或单环)、腔室壁、基座、气体分配板、气体管线、喷头、喷嘴、盖、衬垫、衬垫套组、遮屏、等离子体屏蔽件、气流均衡器、冷却基座、腔室观察孔、腔室盖等。该半导体处理腔室部件可由金属(诸如铝、不锈钢)、陶瓷、金属陶瓷复合物、聚合物、聚合物陶瓷复合物或其他适宜材料制成,并且可进一步包含诸如AlN、Si、SiC、Al2O3、SiO2等的材料。
在图4A中,多组分涂层组成物包含使用ALD或CVD工艺在制品410的表面405上涂覆的氧化铒或氟化铒的至少一个第一薄膜层415,和使用ALD工艺在制品410的表面405上涂覆的额外氧化物或额外氟化物的至少一个第二薄膜层425。
图4A图示了一个实施例,其中该铒基耐等离子体陶瓷涂层包含第一层415和第二层425的交替层堆叠,其中该层是完整的而未相互扩散,其中存在相同数目的这些层的每一个(四个415层和四个425层),并且其中所有层具有相等的均匀厚度。在一些实施例中,在沉积第二薄膜层之前沉积第一薄膜层,并且该第二薄膜层沉积在该第一薄膜层上方。在一些实施例中,可以颠倒顺序。或者,该层可具有不同厚度。
图4B图示实施例,其中铒基等离子体陶瓷涂层具有多组分涂层组成物。该铒基耐等离子体陶瓷涂层沉积在制品410(例如,如上所述的半导体处理腔室部件)的表面405上并包含第一层415、第二层425和至少一个额外层435的交替层堆叠。该层为完整的并按预定顺序沉积和/或生长并具有均匀相等的厚度。然而,层的数目可以是相等的且某些层可比其他层更多(例如,三个415层、三个425层、两个435层)。
在一些实施例中,一个或多个层为单层或具有在从约0.1纳米至约100纳米的范围内变化的均匀厚度的薄层。一个或多个层可以是具有在从约100纳米至约1微米的范围内变化的均匀厚度的厚层。
图4C图示了一个实施例,其中铒基耐等离子体陶瓷涂层为多组分涂层。该铒基耐等离子体陶瓷涂层沉积在制品410的表面405上并包含无固定顺序和固定厚度的完整均匀薄膜层的堆叠。该多组分涂层包含具有第一厚度的第一厚层420、具有不同于该第一厚度的第二厚度的第二厚层430、及具有不同于该第一厚度和该第二厚度的第三厚度的至少一个额外厚层440。某些层可比其他层更多以便获得多组分涂层(两个420层、一个430层、一个440层)的某些性质(诸如耐侵蚀性/耐腐蚀性)。
在一些实施例中,在图4A至图4C中图示的各种薄膜层可具有相同组成物。在其他实施例中,该层的组成物可以是不同的。在一些实施例中,各种薄膜层可具有类似性质,诸如厚度、孔隙率、耐等离子体、CTE。在其他实施例中,每个薄膜层可具有不同性质。应当理解,尽管在图4A至图4C中描绘了若干薄膜层,但该图并不旨在限制,并且在某些实施例中更多或更少薄膜层可沉积在表面上。在一些实施例中,可涂覆制品的整个表面。在其他实施例中,可涂覆制品表面的至少一部分。
图4D图示一个实施例,其中铒基耐等离子体陶瓷涂层具有多组分涂层组成物450。该铒基耐等离子体陶瓷涂层沉积在制品410的表面405上并包含多个层的相互扩散固态相。在一些实施例中,不管是否包含完整层或相互扩散固态相,铒基耐等离子体陶瓷涂层都从由Er2O3、ErF3、ErxOyFz、ErxAlyOz(例如,Er3Al5O12)、ErxZryOz、EraZrxAlyOz、YxEryOz、YxEryFz、YwErxOyFz和EraYxZryOz(例如,Y2O3、ZrO2和Er2O3的单相固溶体)组成的群组中选出。在下文示例中图示了用于建立这些各种多组分涂层组成物的一些示例工艺。
图5A图示了喷头500的仰视图。下文示范性提供的喷头只是示范性腔室部件,其性能可通过使用在本文实施例中阐明的铒基耐等离子体陶瓷涂层来改进。应当理解,当涂覆有本文所公开的铒基耐等离子体陶瓷涂层时,也可改进其他腔室部件的性能。选择本文所描绘的喷头500作为半导体处理腔室部件的说明,该半导体处理腔室部件具有复杂几何形状的表面和大深宽比的孔。
复杂几何形状底面505可涂覆根据本文实施例铒基耐等离子体陶瓷涂层。喷头500的底面505限定在均匀分布的同心环中布置的气体导管510。在其他实施例中,气体导管510可以被配置为交替几何结构配置并且可根据所使用的反应器和/或工艺的类型具有所需的多个或少数个气体导管。使用ALD技术或CVD技术在表面505上和在气体导管孔510中生长或沉积铒基耐等离子体陶瓷涂层,该ALD技术或CVD技术实现在表面上以及气体导管孔中的相对均匀厚度的保形涂层,尽管有复杂几何形状和大深宽比的孔。
喷头500可暴露于诸如氟的腐蚀性化学剂中并可由于等离子体与该喷头的相互作用而受到侵蚀。铒基耐等离子体陶瓷涂层可减小该等离子体相互作用并改进该喷头的耐久性。使用ALD或CVD沉积的铒基耐等离子体陶瓷涂层维持底面505和气体导管510的相对形状及几何结构,以便不妨害该喷头的功能。类似地,当应用于其他腔室部件时,该耐等离子体陶瓷涂层可维持其意图涂覆的表面的形状及几何结构,以便不妨害部件的功能、提供耐等离子体性、并改进遍及整个表面的耐侵蚀性和/或耐腐蚀性。
在经涂覆的部件的操作和暴露于等离子体的整个持续时间中,通过可具有微米/小时(μm/hr)的单位的“蚀刻速率”(ER)来测量涂层材料的耐等离子体性。可在不同的处理时间后进行测量。例如,可在处理之前、在50个处理小时之后、150个处理小时之后、200个处理小时之后等进行测量。在喷头或任何其他处理腔室部件上沉积的铒基耐等离子体陶瓷涂层的组成变化可导致多个不同耐等离子体性或侵蚀速率值。另外,暴露于各种等离子体中的具有单一组成的铒基耐等离子体陶瓷涂层可具有多个不同耐等离子体性或侵蚀速率值。例如,耐等离子体材料可具有第一耐等离子体性或与第一类等离子体关联的侵蚀速率和第二耐等离子体性或与第二类等离子体关联的侵蚀速率。
图5B描绘根据一个实施例被涂覆的具有大深宽比的气体导管510的放大视图。气体导管510可具有长度L和直径D。气体导管510可具有定义为L:D的大深宽比,其中该深宽比可在从约50:1至约100:1的范围内变化。在一些实施例中,该深宽比可比50:1更低或比100:1更大(例如,高达200:1)。
气体导管510可具有可以涂覆有铒基耐等离子体陶瓷涂层的内表面555。该铒基耐等离子体陶瓷涂层可包含至少一个第一层560并且且可以可选地包含第二层565和可选地包含一个或多个额外层(未图示)。第一层560可包含铒基氧化物、铒基氟化物或铒基氟氧化物。第一层560可具有上文所描述的铒基材料组成物的任一个。第二层565和/或一个或多个额外层可每一个包含额外氧化物或额外氟化物(例如,氧化钇、氟化钇、氧化锆、氧化铝等)。在一些实施例中,第二层565是另一铒基材料,并且可具有上文所描述的铒基材料组成物的任一个。所有层可使用ALD工艺或CVD工艺来涂覆。ALD工艺和CVD工艺可遍及气体导管510(尽管其具有大深宽比)的内表面生长均匀厚度的保形涂层,同时确保最终多组分涂层也可以足够薄以便不塞住喷头中的气体导管。
在一些实施例中,含铒耐等离子体陶瓷涂层可包含具有至少一个第一层、至少一个第二层、并且可选地包含至少一个额外层的完整层。在一个实施例中,该第一层、第二层和任一可选额外层可按预定顺序交替。在另一实施例中,该第一层、第二层和任一可选额外层可以任意顺序出现。在一些实施例中,可存在相等数目的该第一层、该第二层和任一可选额外层中的每一个。在其他实施例中,一些层可比其他层更多以便获得耐等离子体陶瓷涂层的某些性质。某些性质可以是将改进被涂覆的半导体处理腔室部件的耐久性的耐等离子体性及耐侵蚀性/耐腐蚀性。
在一些实施例中,完整层可包含单层或均匀厚度的薄层。每个单层或薄层可具有在从约0.1纳米至约100纳米的范围内变化的厚度。在其他实施例中,完整层可包含均匀厚度的厚层。每个厚层可具有在自约100纳米至约1微米的范围内变化的厚度。在又一实施例中,完整层可包含单层、薄层和/或厚层的组合。
在其他实施例中,铒基耐等离子体陶瓷涂层可包含至少一个第一层、至少一个第二层、及可选地至少一个额外层的相互扩散固态相。在一个实施例中,各层的相互扩散固态相可通过退火来获得。层的组成物、层数、每个层的频率和层的厚度将全部对铒基耐等离子体陶瓷涂层的最后性质有贡献。
阐述以下示例以帮助理解本文所述的实施例,并且不应解释为具体限制本文描述和要求保护的实施例。这些变化包括现在已知的或以后开发的将在本领域技术人员的权限范围内的所有等同物的替换以及配方的变化或实验设计的微小变化,这些变化将认为是属于本文所包括实施例的范围。这些示例可通过执行上述方法300或方法350来达成。
示例1-由氧化铒和氟化铒层形成ErxOyFz涂层
第一层可以是使用ALD由从上述所提及的氧化铒前驱物中的任一个中选出的前驱物或一对前驱物生长的氧化铒单层。第二层可以是使用ALD由氟化铒前驱物生长的氟化铒单层。可生长氧化铒和/或氟化铒的一个或多个额外层。所得涂层在退火后可包含ErxOyFz,其中X、Y和Z取决于氧化铒层与氟化铒层的比。
示例2-由氧化铒和氧化铝层形成ErxAlyOz涂层
第一层可以是使用ALD由上述提到的氧化铒前驱物或其他氧化铒前驱物中的一个或多个生长的氧化铒单层。第二层可以是使用ALD由上述提到的氧化铝前驱物或其他氧化铝前驱物中的任一个生长的氧化铝单层。可生长一个或多个额外氧化铒层和/或氧化铝层。所得涂层在退火后可包含ErxAlyOz,其中X、Y和Z取决于氧化铒层与氧化铝层的比。
示例3-由氧化铒和氧化锆层形成ErxZryOz涂层
第一层可以是使用ALD由上述提到的氧化铒前驱物或其他氧化铒前驱物中的一个或多个生长的氧化铒单层。第二层可以是使用ALD由上述提到的氧化锆前驱物或其他氧化锆前驱物中的一个或多个生长的氧化锆单层。可生长一个或多个额外氧化铒层和/或氧化锆层。所得涂层在退火后可包含ErxZryOz,其中X、Y和Z取决于氧化铒层与氧化锆层的比。
示例4-由氧化钇、氧化锆和氧化铝层形成EraZrxAlyOz涂层
第一层可以是使用ALD由上述提到的氧化铒前驱物或其他氧化铒前驱物中的一个或多个生长的氧化铒单层。第二层可以是使用ALD由上述提到的氧化锆前驱物或其他氧化锆前驱物中的一个或多个生长的氧化锆单层。第三层可以是使用ALD由上述提到的氧化铝前驱物或其他氧化铝前驱物的任一个生长的氧化铝单层。可生长氧化铒、氧化锆和/或氧化铝中的一个或多个额外层。所得涂层在退火后可包含EraZrxAlyOz,其中A、X、Y和Z取决于氧化铒层、氧化铝层与氧化锆层的数目和比。
示例5-由氧化钇和氧化铒层形成YxEryOz涂层
第一层可以是使用ALD由上述提到的氧化铒前驱物或其他氧化铒前驱物中的一个或多个生长的氧化铒单层。第二层可以是使用ALD由上述提到的氧化钇前驱物或其他氧化钇前驱物中的一个或多个生长的氧化钇单层。可生长氧化铒和/或氧化钇中的一个或多个额外层。所得涂层在退火后可包含YxEryOz,其中X、Y和Z取决于氧化铒层与氧化钇层的比。
示例6-由氧化钇、氧化铒和氧化锆层形成EraYxZryOz涂层
第一层可以是使用ALD由上述提到的氧化铒前驱物或其他氧化铒前驱物中的一个或多个生长的氧化铒单层。第二层可以是使用ALD由上述提到的氧化钇前驱物或其他氧化钇前驱物中的一个或多个生长的氧化钇单层。第三层可以是使用ALD由上述提到的氧化锆前驱物或其他氧化锆前驱物中的一个或多个生长的氧化锆单层。可生长氧化铒、氧化钇和/或氧化锆中的一个或多个额外层,所得涂层在退火后可包含EraYxZryOz,其中A、X、Y和Z取决于氧化铒层、氧化钇层与氧化锆层的数目和比。因此,所得铒基耐等离子体陶瓷涂层可以是含有Y2O3、ZrO2和Er2O3的混合物的单相固溶体。
图6是比较不同陶瓷每小时以微米为单位的等离子体蚀刻侵蚀速率的图表。如图所示,当块体Er2O3在2000瓦特的偏压下暴露于CCl4/CHF3的等离子体蚀刻化学物时,其侵蚀速率小于0.02μm/hr。如图所示,块体Er2O3的蚀刻速率比Y2O3和YF3的蚀刻速率更低。ALD和CVD沉积的Er2O3比块体Er2O3更密集(例如,具有更低的孔隙率),并且也具有比块体Er2O3更低的蚀刻速率。
为了提供对本发明的若干实施例的良好理解,上文说明阐述了许多具体细节,例如具体系统、部件、方法等的示例。然而,对于本领域技术人员将显而易见的是,可以在没有这些具体细节的情况下实践本发明的至少一些实施例。在其他情况下,熟知部件或方法不进行详细描述或以简单框图形式呈现以避免不必要地模糊本发明。因此,所阐述的具体细节仅为示例性的。具体实施方式可以与这些示例性细节不同,并且仍然被认为在本发明的范围内。
贯穿本说明书的对“一个实施例”或“实施例”的引用意味着关于该实施例描述的特定特征、结构或特性归入在至少一个实施例中。因此,在整个说明书中的各个地方出现的词组“在一个实施例中”或“在实施例中”不一定都代表相同实施例。另外,术语“或”旨在包括性的”或”而非排他性的“或”。当术语“约”或“大约”在本文使用时,此意指出现的标称值精确在±10%内。
尽管以特定顺序示出和描述了本文方法的操作,但是可以改变每个方法的操作的顺序,使得可以相反的顺序执行某些操作,或者可以至少部分地与其他操作同时地执行某些操作。在另一实施例中,不同操作的指令或子操作可以间歇的和/或交替方式进行。
应当理解,以上描述旨在说明而非限制。在阅读和理解以上描述之后,许多其他实施例对于本领域技术人员将是显而易见的。因此,本发明的范围应当参考所附权利要求以及这些权利要求所赋予的等效物的全部范围来确定。

Claims (20)

1.一种制品,包含:
表面;以及
耐等离子体陶瓷涂层,所述耐等离子体陶瓷涂层在所述制品的所述表面上,其中所述耐等离子体陶瓷涂层具有约零孔隙率并具有均匀厚度,所述均匀厚度具有小于+/-5%的厚度变化,并且其中所述耐等离子体陶瓷涂层选自由以下各项组成的组:
含铒氟化物YxEryFz,其中x、y和z被选择使得所述含铒氟化物YxEryFz包含高于0摩尔%至低于100摩尔%的YF3和高于0摩尔%至低于100摩尔%的ErF3,以及
含铒氟氧化物YwErxOyFz,其中w、x、y和z被选择使得所述含铒氟氧化物YwErxOyFz包含高于0摩尔%至低于100摩尔%的Y2O3,YF3,Er2O3和ErF3中的二者或更多者,
其中所述制品包含具有在10:1与200:1之间的深宽比的部分,并且其中涂覆有所述耐等离子体陶瓷涂层的所述制品的所述表面包括所述制品的所述部分。
2.如权利要求1所述的制品,其中所述制品是腔室部件,所述腔室部件选自由喷头、扩散器、喷嘴和气体管线组成的群组。
3.如权利要求1所述的制品,其中所述制品包括导管,其中在其上沉积所述耐等离子体陶瓷涂层的所述制品的所述表面包括具有在50:1与200:1之间的深宽比的所述导管的内表面。
4.如权利要求1所述的制品,其中所述耐等离子体陶瓷涂层具有2nm至1微米的厚度。
5.一种腔室部件,包含:
部分,所述部分具有在10:1与200:1之间的深宽比;以及
耐等离子体陶瓷涂层,所述耐等离子体陶瓷涂层在所述腔室部件的表面上,其中所述耐等离子体陶瓷涂层具有约零孔隙率并具有均匀厚度,所述均匀厚度具有小于+/-5%的厚度变化,并且其中所述耐等离子体陶瓷涂层包含多层堆叠,所述多层堆叠包含:
第一层,所述第一层本质上由Er2O3或ErF3组成;以及
第二层,所述第二层由不同于所述第一层的材料组成,其中所述第二层本质上由Er2O3、Al2O3、ErF3、Y2O3、YF3或ZrO2组成。
6.如权利要求5所述的腔室部件,其中所述腔室部件选自由喷头、扩散器、喷嘴和气体管线组成的群组。
7.如权利要求5所述的腔室部件,其中所述深宽比在50:1与200:1之间。
8.如权利要求7所述的腔室部件,其中具有所述深宽比的所述部分是导管。
9.如权利要求5所述的腔室部件,其中所述第一层本质上由Er2O3组成并且所述第二层本质上由YF3组成。
10.如权利要求5所述的腔室部件,其中所述耐等离子体陶瓷涂层进一步包括一层或多层额外层,所述一层或多层额外层中的每一层本质上由Er2O3、Al2O3、ErF3、Y2O3或YF3组成。
11.如权利要求5所述的腔室部件,其中所述第一层本质上由Er2O3组成并且所述第二层本质上由Al2O3组成。
12.如权利要求5所述的腔室部件,其中所述第一层本质上由Er2O3组成并且所述第二层本质上由Y2O3组成。
13.如权利要求5所述的腔室部件,其中所述第一层本质上由Er2O3组成并且所述第二层本质上由ErF3组成。
14.如权利要求5所述的腔室部件,其中所述第一层本质上由Er2O3组成并且所述第二层本质上由YF3组成。
15.如权利要求5所述的腔室部件,其中所述第一层本质上由Er2O3组成并且所述第二层本质上由ZrO2组成。
16.如权利要求5所述的腔室部件,其中所述第一层本质上由Er2O3组成并且所述第二层本质上由Y2O3组成,所述多层堆叠进一步包含:
第三层,所述第三层本质上由ZrO2或Al2O3组成。
17.如权利要求5所述的腔室部件,其中所述第一层和所述第二层中的每一层具有0.1nm-100nm的厚度。
18.一种制品,包括:
表面;以及
耐等离子体陶瓷涂层,所述耐等离子体陶瓷涂层在所述制品的所述表面上,其中所述耐等离子体陶瓷涂层具有约零孔隙率并具有均匀厚度,所述均匀厚度具有小于+/-5%的厚度变化,并且其中所述耐等离子体陶瓷涂层是多层交替层的相互扩散的混合物,所述多层交替层包括:
第一多层,所述第一多层本质上由Er2O3或ErF3组成;以及
第二多层,所述第二多层由不同于所述第一多层的材料组成,其中所述第二多层本质上由Er2O3、Al2O3、ErF3、Y2O3、YF3或ZrO2组成,
其中所述制品包含具有在10:1与200:1之间的深宽比的部分,并且其中涂覆有所述耐等离子体陶瓷涂层的所述制品的所述表面包括所述制品的所述部分。
19.一种方法,包括:
使用原子层沉积工艺在腔室部件的表面上沉积多层堆叠,其中所述多层堆叠包含含铒氧化物、含铒氟氧化物、或含铒氟化物中的至少一者,并且其中沉积所述多层堆叠包含:
使用所述原子层沉积工艺沉积第一层,所述第一层由Er2O3或ErF3组成;
使用所述原子层沉积工艺沉积第二层,所述第二层由不同于所述第一层的材料组成,其中所述第二层由Er2O3、Al2O3、ErF3、Y2O3或YF3组成;以及
使用所述原子层沉积工艺沉积一层或多层额外层,所述一层或多层额外层中的每一层由Er2O3、Al2O3、ErF3、Y2O3或YF3中的一者组成;以及
退火包含所述多层堆叠的所述腔室部件,其中所述退火导致所述第一层、所述第二层和所述一层或多层额外层相互扩散并转化为单层的耐等离子体陶瓷涂层,所述单层包含固态相。
20.如权利要求19所述的方法,其中所述耐等离子体陶瓷涂层由以下各项中的一者或多者组成:
Er3Al5O12
YxEryOz,其中x、y和z被选择使得所述含铒氧化物YxEryOz包含高于0摩尔%至低于100摩尔%的Y2O3和高于0摩尔%至低于100摩尔%的Er2O3
ErxOyFz,其中x、y和z被选择使得所述含铒氟氧化物ErxOyFz包含高于0.1原子%至低于100原子%的Er、高于0.1原子%至低于100原子%的O和高于0.1原子%至低于100原子%的F;
YxEryFz,其中x、y和z被选择使得所述含铒氟化物YxEryFz包含高于0摩尔%至低于100摩尔%的YF3和高于0摩尔%至低于100摩尔%的ErF3;以及
YwErxOyFz,其中w、x、y和z被选择使得所述含铒氟氧化物YwErxOyFz包含高于0摩尔%至低于100摩尔%的Y2O3,YF3,Er2O3和ErF3中的三者或更多者。
CN202310842884.4A 2016-06-23 2017-01-23 铒基耐等离子体陶瓷涂层的非直视性沉积 Pending CN116815158A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US15/191,269 2016-06-23
US15/191,269 US9850573B1 (en) 2016-06-23 2016-06-23 Non-line of sight deposition of erbium based plasma resistant ceramic coating
CN201780038885.6A CN109417021B (zh) 2016-06-23 2017-01-23 铒基耐等离子体陶瓷涂层的非直视性沉积
PCT/US2017/014617 WO2017222601A1 (en) 2016-06-23 2017-01-23 Non-line of sight deposition of erbium based plasma resistant ceramic coating

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN201780038885.6A Division CN109417021B (zh) 2016-06-23 2017-01-23 铒基耐等离子体陶瓷涂层的非直视性沉积

Publications (1)

Publication Number Publication Date
CN116815158A true CN116815158A (zh) 2023-09-29

Family

ID=60674665

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201780038885.6A Active CN109417021B (zh) 2016-06-23 2017-01-23 铒基耐等离子体陶瓷涂层的非直视性沉积
CN202310842884.4A Pending CN116815158A (zh) 2016-06-23 2017-01-23 铒基耐等离子体陶瓷涂层的非直视性沉积

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN201780038885.6A Active CN109417021B (zh) 2016-06-23 2017-01-23 铒基耐等离子体陶瓷涂层的非直视性沉积

Country Status (6)

Country Link
US (2) US9850573B1 (zh)
JP (2) JP6859371B2 (zh)
KR (2) KR102195757B1 (zh)
CN (2) CN109417021B (zh)
TW (1) TWI762463B (zh)
WO (1) WO2017222601A1 (zh)

Families Citing this family (210)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9828672B2 (en) 2015-03-26 2017-11-28 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11326253B2 (en) 2016-04-27 2022-05-10 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9850573B1 (en) * 2016-06-23 2017-12-26 Applied Materials, Inc. Non-line of sight deposition of erbium based plasma resistant ceramic coating
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10186400B2 (en) * 2017-01-20 2019-01-22 Applied Materials, Inc. Multi-layer plasma resistant coating by atomic layer deposition
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11289355B2 (en) 2017-06-02 2022-03-29 Lam Research Corporation Electrostatic chuck for use in semiconductor processing
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US20190078206A1 (en) * 2017-09-08 2019-03-14 Applied Materials, Inc. Fluorinated rare earth oxide ald coating for chamber productivity enhancement
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
KR20200086750A (ko) 2017-12-07 2020-07-17 램 리써치 코포레이션 챔버 내 산화 내성 보호 층 컨디셔닝
US10760158B2 (en) * 2017-12-15 2020-09-01 Lam Research Corporation Ex situ coating of chamber components for semiconductor processing
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
CN111670491A (zh) 2018-01-31 2020-09-15 朗姆研究公司 静电卡盘(esc)基座电压隔离
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11086233B2 (en) * 2018-03-20 2021-08-10 Lam Research Corporation Protective coating for electrostatic chucks
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US20210388212A1 (en) * 2018-10-11 2021-12-16 Fundacion Tecnalia Research & Innovation A highly corrosion protective thin bi-layer stack for steel
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11629403B2 (en) * 2018-10-19 2023-04-18 Rosemount Aerospace Inc. Air data probe corrosion protection
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11562890B2 (en) 2018-12-06 2023-01-24 Applied Materials, Inc. Corrosion resistant ground shield of processing chamber
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
WO2020172070A1 (en) * 2019-02-22 2020-08-27 Lam Research Corporation Electrostatic chuck with powder coating
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
US10858741B2 (en) 2019-03-11 2020-12-08 Applied Materials, Inc. Plasma resistant multi-layer architecture for high aspect ratio parts
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
CN113924387A (zh) * 2019-05-22 2022-01-11 应用材料公司 用于高温腐蚀环境的基板支承件盖
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11540432B2 (en) * 2019-09-26 2022-12-27 Applied Materials, Inc. Ultrathin conformal coatings for electrostatic dissipation in semiconductor process tools
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20210150978A (ko) * 2020-06-03 2021-12-13 에이에스엠 아이피 홀딩 비.브이. 샤워 플레이트, 기판 처리 장치 및 기판 처리 방법
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202212615A (zh) * 2020-06-25 2022-04-01 美商葛林陀德科技公司 藉由ald沉積的混合、實質均勻塗層
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
US20220081282A1 (en) * 2020-09-17 2022-03-17 Applied Materials, Inc. Micro-electromechanical device for use in a flow control apparatus
USD1012873S1 (en) * 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US20230097687A1 (en) * 2021-09-30 2023-03-30 Entegris, Inc. Additive manufactured articles having coated surfaces and related methods

Family Cites Families (131)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03115535A (ja) 1989-09-28 1991-05-16 Nippon Mining Co Ltd 希土類金属の酸素低減方法
US5805973A (en) 1991-03-25 1998-09-08 General Electric Company Coated articles and method for the prevention of fuel thermal degradation deposits
US5322813A (en) 1992-08-31 1994-06-21 International Business Machines Corporation Method of making supersaturated rare earth doped semiconductor layers by chemical vapor deposition
US5630314A (en) 1992-09-10 1997-05-20 Hitachi, Ltd. Thermal stress relaxation type ceramic coated heat-resistant element
US5758858A (en) 1996-03-15 1998-06-02 Barnes; Renny H. Paralleling device and method of using
JP3362113B2 (ja) 1997-07-15 2003-01-07 日本碍子株式会社 耐蝕性部材、ウエハー設置部材および耐蝕性部材の製造方法
US6129029A (en) 1998-08-21 2000-10-10 Watson; Ronald R. Method and apparatus for accessing safe deposit box
US6432256B1 (en) 1999-02-25 2002-08-13 Applied Materials, Inc. Implanatation process for improving ceramic resistance to corrosion
JP3510993B2 (ja) 1999-12-10 2004-03-29 トーカロ株式会社 プラズマ処理容器内部材およびその製造方法
FI117979B (fi) 2000-04-14 2007-05-15 Asm Int Menetelmä oksidiohutkalvojen valmistamiseksi
US6685991B2 (en) 2000-07-31 2004-02-03 Shin-Etsu Chemical Co., Ltd. Method for formation of thermal-spray coating layer of rare earth fluoride
EP1239055B1 (en) 2001-03-08 2017-03-01 Shin-Etsu Chemical Co., Ltd. Thermal spray spherical particles, and sprayed components
JP2002356387A (ja) 2001-03-30 2002-12-13 Toshiba Ceramics Co Ltd 耐プラズマ性部材
JP2002306957A (ja) 2001-04-11 2002-10-22 Matsushita Electric Ind Co Ltd プラズマ処理装置
JP4277973B2 (ja) 2001-07-19 2009-06-10 日本碍子株式会社 イットリア−アルミナ複合酸化物膜の製造方法、イットリア−アルミナ複合酸化物膜および耐蝕性部材
JP4921652B2 (ja) 2001-08-03 2012-04-25 エイエスエム インターナショナル エヌ.ヴェー. イットリウム酸化物およびランタン酸化物薄膜を堆積する方法
US20030029563A1 (en) 2001-08-10 2003-02-13 Applied Materials, Inc. Corrosion resistant coating for semiconductor processing chamber
US7371467B2 (en) 2002-01-08 2008-05-13 Applied Materials, Inc. Process chamber component having electroplated yttrium containing coating
US20080213496A1 (en) * 2002-02-14 2008-09-04 Applied Materials, Inc. Method of coating semiconductor processing apparatus with protective yttrium-containing coatings
DE10208450B4 (de) 2002-02-27 2004-09-16 Infineon Technologies Ag Verfahren zum Abscheiden dünner Schichten mittels ALD/CVD-Prozessen in Verbindung mit schnellen thermischen Prozessen
JP2003277051A (ja) 2002-03-22 2003-10-02 Ngk Insulators Ltd イットリア−アルミナ複合酸化物膜を有する積層体、イットリア−アルミナ複合酸化物膜、耐蝕性部材、耐蝕性膜およびイットリア−アルミナ複合酸化物膜の製造方法
EP1386979B1 (en) 2002-08-02 2008-03-05 Fujikura Ltd. Method of producing polycrystalline thin film and method of producing an oxide superconducting element
US6902628B2 (en) * 2002-11-25 2005-06-07 Applied Materials, Inc. Method of cleaning a coated process chamber component
US7780786B2 (en) 2002-11-28 2010-08-24 Tokyo Electron Limited Internal member of a plasma processing vessel
US20040136681A1 (en) 2003-01-10 2004-07-15 Novellus Systems, Inc. Erbium-doped oxide glass
JP2004241203A (ja) 2003-02-04 2004-08-26 Hitachi High-Technologies Corp プラズマ処理室壁処理方法
WO2004095530A2 (en) 2003-03-31 2004-11-04 Tokyo Electron Limited Adjoining adjacent coatings on an element
US6866886B2 (en) * 2003-04-02 2005-03-15 Battelle Memorial Institute Method of coating the interior surface of hollow objects with a diffusion coating
CN1288108C (zh) 2003-10-24 2006-12-06 东芝陶瓷股份有限会社 耐等离子体构件、其制造方法及形成热喷涂涂层的方法
JP4606121B2 (ja) 2004-01-29 2011-01-05 京セラ株式会社 耐食膜積層耐食性部材およびその製造方法
JP2006082474A (ja) 2004-09-17 2006-03-30 Tosoh Corp 樹脂部材
JP2006186306A (ja) 2004-09-30 2006-07-13 Toshiba Ceramics Co Ltd ガス拡散プレートおよびその製造方法
JP5137304B2 (ja) 2004-10-18 2013-02-06 株式会社日本セラテック 耐食性部材およびその製造方法
WO2006043429A1 (ja) 2004-10-18 2006-04-27 Nihon Ceratec Co., Ltd. 耐食性部材およびその製造方法
KR101226120B1 (ko) 2004-10-26 2013-01-24 쿄세라 코포레이션 내식성 부재 및 그 제조방법
US7208044B2 (en) 2004-11-24 2007-04-24 Mark A. Zurbuchen Topotactic anion exchange oxide films and method of producing the same
US7608151B2 (en) * 2005-03-07 2009-10-27 Sub-One Technology, Inc. Method and system for coating sections of internal surfaces
US7544398B1 (en) * 2005-04-26 2009-06-09 The Regents Of The Univesity Of California Controlled nano-doping of ultra thin films
US20090194233A1 (en) 2005-06-23 2009-08-06 Tokyo Electron Limited Component for semicondutor processing apparatus and manufacturing method thereof
EP1780298A4 (en) * 2005-07-29 2009-01-07 Tocalo Co Ltd Part Coated with Y203 Thermally Sprayed Film and Method of Making the Same
US7968205B2 (en) 2005-10-21 2011-06-28 Shin-Etsu Chemical Co., Ltd. Corrosion resistant multilayer member
JP2007217782A (ja) 2006-02-20 2007-08-30 Showa Denko Kk 希土類元素のフッ化物皮膜を有する耐食性皮膜およびその製造方法
US7582549B2 (en) 2006-08-25 2009-09-01 Micron Technology, Inc. Atomic layer deposited barium strontium titanium oxide films
JP4546447B2 (ja) 2006-12-22 2010-09-15 トーカロ株式会社 耐プラズマエロージョン性に優れる溶射皮膜被覆部材およびその製造方法
JP5252613B2 (ja) 2006-12-25 2013-07-31 国立大学法人東北大学 イオン注入装置およびイオン注入方法
US10622194B2 (en) 2007-04-27 2020-04-14 Applied Materials, Inc. Bulk sintered solid solution ceramic which exhibits fracture toughness and halogen plasma resistance
US7696117B2 (en) * 2007-04-27 2010-04-13 Applied Materials, Inc. Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
TWI351057B (en) 2007-04-27 2011-10-21 Applied Materials Inc Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
CN101755078B (zh) * 2007-07-31 2012-06-06 北陆成型工业株式会社 喷嘴部件及其制造方法
US8367227B2 (en) * 2007-08-02 2013-02-05 Applied Materials, Inc. Plasma-resistant ceramics with controlled electrical resistivity
US8252410B2 (en) 2007-09-05 2012-08-28 Applied Materials, Inc. Ceramic cover wafers of aluminum nitride or beryllium oxide
US8129029B2 (en) 2007-12-21 2012-03-06 Applied Materials, Inc. Erosion-resistant plasma chamber components comprising a metal base structure with an overlying thermal oxidation coating
CN101469409B (zh) * 2007-12-27 2011-04-20 北京有色金属研究总院 一种由氧化铝和氧化铒构成的阻氢涂层及其制备方法
KR101660052B1 (ko) * 2008-06-05 2016-09-26 레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 란탄족 함유 전구체의 제조 및 란탄족 함유 필름의 증착 방법
US9222172B2 (en) 2008-08-20 2015-12-29 Applied Materials, Inc. Surface treated aluminum nitride baffle
US8206829B2 (en) * 2008-11-10 2012-06-26 Applied Materials, Inc. Plasma resistant coatings for plasma chamber components
US8858745B2 (en) 2008-11-12 2014-10-14 Applied Materials, Inc. Corrosion-resistant bonding agents for bonding ceramic components which are exposed to plasmas
US9017765B2 (en) 2008-11-12 2015-04-28 Applied Materials, Inc. Protective coatings resistant to reactive plasma processing
WO2010097280A1 (en) * 2009-02-27 2010-09-02 Unilever Plc A spray nozzle
TW201100578A (en) 2009-06-19 2011-01-01 Saint Gobain Ceramics & Plastics Inc Sealed plasma coatings
WO2011049938A2 (en) 2009-10-20 2011-04-28 Saint-Gobain Ceramics & Plastics, Inc. Microelectronic processing component having a corrosion-resistant layer, microelectronic workpiece processing apparatus incorporating same, and method of forming an article having the corrosion-resistant layer
WO2011150311A1 (en) 2010-05-28 2011-12-01 Praxair Technology, Inc. Substrate supports for semiconductor applications
US8916021B2 (en) 2010-10-27 2014-12-23 Applied Materials, Inc. Electrostatic chuck and showerhead with enhanced thermal properties and methods of making thereof
KR20130025025A (ko) 2011-09-01 2013-03-11 주식회사 코미코 정전척
JP6034156B2 (ja) * 2011-12-05 2016-11-30 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
TWI432096B (zh) * 2011-12-27 2014-03-21 Ind Tech Res Inst 燈管控制系統、燈管節能系統及其節能方法
US9212099B2 (en) 2012-02-22 2015-12-15 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
US9090046B2 (en) 2012-04-16 2015-07-28 Applied Materials, Inc. Ceramic coated article and process for applying ceramic coating
US9394615B2 (en) 2012-04-27 2016-07-19 Applied Materials, Inc. Plasma resistant ceramic coated conductive article
KR101637801B1 (ko) 2012-05-22 2016-07-07 가부시끼가이샤 도시바 플라즈마 처리 장치용 부품 및 플라즈마 처리 장치용 부품의 제조 방법
US8846543B2 (en) * 2012-05-24 2014-09-30 Jinhong Tong Methods of atomic layer deposition of hafnium oxide / erbium oxide bi-layer as advanced gate dielectrics
US9343289B2 (en) 2012-07-27 2016-05-17 Applied Materials, Inc. Chemistry compatible coating material for advanced device on-wafer particle performance
CN103794460B (zh) 2012-10-29 2016-12-21 中微半导体设备(上海)有限公司 用于半导体装置性能改善的涂层
CN103794458B (zh) * 2012-10-29 2016-12-21 中微半导体设备(上海)有限公司 用于等离子体处理腔室内部的部件及制造方法
CN103794445B (zh) 2012-10-29 2016-03-16 中微半导体设备(上海)有限公司 用于等离子体处理腔室的静电夹盘组件及制造方法
US9556507B2 (en) 2013-03-14 2017-01-31 Applied Materials, Inc. Yttria-based material coated chemical vapor deposition chamber heater
US9887121B2 (en) * 2013-04-26 2018-02-06 Applied Materials, Inc. Protective cover for electrostatic chuck
GB2513575B (en) * 2013-04-29 2017-05-31 Keronite Int Ltd Corrosion and erosion-resistant mixed oxide coatings for the protection of chemical and plasma process chamber components
US9666466B2 (en) * 2013-05-07 2017-05-30 Applied Materials, Inc. Electrostatic chuck having thermally isolated zones with minimal crosstalk
US9708713B2 (en) * 2013-05-24 2017-07-18 Applied Materials, Inc. Aerosol deposition coating for semiconductor chamber components
US9850568B2 (en) 2013-06-20 2017-12-26 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US9711334B2 (en) * 2013-07-19 2017-07-18 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based thin film coatings on process rings
US9583369B2 (en) 2013-07-20 2017-02-28 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles
US9624593B2 (en) 2013-08-29 2017-04-18 Applied Materials, Inc. Anodization architecture for electro-plate adhesion
US20150079370A1 (en) * 2013-09-18 2015-03-19 Applied Materials, Inc. Coating architecture for plasma sprayed chamber components
US9440886B2 (en) 2013-11-12 2016-09-13 Applied Materials, Inc. Rare-earth oxide based monolithic chamber material
US9725799B2 (en) 2013-12-06 2017-08-08 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
SG10201806706VA (en) 2014-02-07 2018-09-27 Entegris Inc Electrostatic chuck and method of making same
KR20160119187A (ko) 2014-03-31 2016-10-12 가부시끼가이샤 도시바 내플라즈마 부품 및 내플라즈마 부품의 제조 방법 및 내플라즈마 부품의 제조에 사용하는 막 퇴적 장치
US9869013B2 (en) 2014-04-25 2018-01-16 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
US20150311043A1 (en) * 2014-04-25 2015-10-29 Applied Materials, Inc. Chamber component with fluorinated thin film coating
US9976211B2 (en) 2014-04-25 2018-05-22 Applied Materials, Inc. Plasma erosion resistant thin film coating for high temperature application
US10730798B2 (en) * 2014-05-07 2020-08-04 Applied Materials, Inc. Slurry plasma spray of plasma resistant ceramic coating
US10196728B2 (en) 2014-05-16 2019-02-05 Applied Materials, Inc. Plasma spray coating design using phase and stress control
US9551070B2 (en) 2014-05-30 2017-01-24 Applied Materials, Inc. In-situ corrosion resistant substrate support coating
CN105225997B (zh) 2014-06-12 2018-01-23 中微半导体设备(上海)有限公司 一种静电夹盘及静电夹盘的制造方法
US10266943B2 (en) 2014-06-27 2019-04-23 Applied Materials, Inc. Plasma corrosion resistive heater for high temperature processing
US9460898B2 (en) * 2014-08-08 2016-10-04 Applied Materials, Inc. Plasma generation chamber with smooth plasma resistant coating
KR20160030812A (ko) 2014-09-11 2016-03-21 삼성전자주식회사 플라즈마 처리 장치
US10141582B2 (en) 2014-12-22 2018-11-27 Sonata Scientific LLC SOFC interconnect barriers and methods of making same
SG11201706564UA (en) 2015-02-13 2017-09-28 Entegris Inc Coatings for enhancement of properties and performance of substrate articles and apparatus
TWI683888B (zh) 2015-03-18 2020-02-01 美商恩特葛瑞斯股份有限公司 塗佈有經氟退火膜之物品
US9790582B2 (en) 2015-04-27 2017-10-17 Lam Research Corporation Long lifetime thermal spray coating for etching or deposition chamber application
US20160358749A1 (en) * 2015-06-04 2016-12-08 Lam Research Corporation Plasma etching device with plasma etch resistant coating
US20160379806A1 (en) 2015-06-25 2016-12-29 Lam Research Corporation Use of plasma-resistant atomic layer deposition coatings to extend the lifetime of polymer components in etch chambers
US20160375515A1 (en) 2015-06-29 2016-12-29 Lam Research Corporation Use of atomic layer deposition coatings to protect brazing line against corrosion, erosion, and arcing
US20170040146A1 (en) 2015-08-03 2017-02-09 Lam Research Corporation Plasma etching device with plasma etch resistant coating
KR101916872B1 (ko) 2015-10-15 2018-11-08 아이원스 주식회사 반도체 공정 장비 부품의 코팅층 재생 방법 및 이에 따른 반도체 공정 장비 부품
JP2017092156A (ja) 2015-11-03 2017-05-25 ナショナル チュン−シャン インスティテュート オブ サイエンス アンド テクノロジー 高密度のプラズマ及び高温の半導体製造プロセスに用いられる窒化アルミニウムの静電チャンク
KR101817779B1 (ko) * 2015-12-31 2018-01-11 (주)코미코 내플라즈마 코팅막 및 이의 형성방법
US10612121B2 (en) * 2016-03-14 2020-04-07 Applied Materials, Inc. Plasma resistant coating with tailorable coefficient of thermal expansion
US20170291856A1 (en) * 2016-04-06 2017-10-12 Applied Materials, Inc. Solution precursor plasma spray of ceramic coating for semiconductor chamber applications
US11326253B2 (en) * 2016-04-27 2022-05-10 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
US9850573B1 (en) * 2016-06-23 2017-12-26 Applied Materials, Inc. Non-line of sight deposition of erbium based plasma resistant ceramic coating
US20180016678A1 (en) * 2016-07-15 2018-01-18 Applied Materials, Inc. Multi-layer coating with diffusion barrier layer and erosion resistant layer
US10186400B2 (en) * 2017-01-20 2019-01-22 Applied Materials, Inc. Multi-layer plasma resistant coating by atomic layer deposition
US10975469B2 (en) * 2017-03-17 2021-04-13 Applied Materials, Inc. Plasma resistant coating of porous body by atomic layer deposition
US10755900B2 (en) * 2017-05-10 2020-08-25 Applied Materials, Inc. Multi-layer plasma erosion protection for chamber components
US10443125B2 (en) * 2017-05-10 2019-10-15 Applied Materials, Inc. Flourination process to create sacrificial oxy-flouride layer
US20180337026A1 (en) * 2017-05-19 2018-11-22 Applied Materials, Inc. Erosion resistant atomic layer deposition coatings
US20190136372A1 (en) * 2017-08-14 2019-05-09 Applied Materials, Inc. Atomic layer deposition coatings for high temperature heaters
US20190078199A1 (en) * 2017-09-08 2019-03-14 Applied Materials, Inc. Rare-earth-based oxyfluoride ald coating for chamber productivity enhancement
US11279656B2 (en) * 2017-10-27 2022-03-22 Applied Materials, Inc. Nanopowders, nanoceramic materials and methods of making and use thereof
US10766824B2 (en) * 2017-11-08 2020-09-08 Applied Materials, Inc. Methods of minimizing particles on wafer from plasma spray coatings
US10748774B2 (en) * 2017-11-30 2020-08-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10443126B1 (en) * 2018-04-06 2019-10-15 Applied Materials, Inc. Zone-controlled rare-earth oxide ALD and CVD coatings
US10957572B2 (en) * 2018-05-02 2021-03-23 Applied Materials, Inc. Multi-zone gasket for substrate support assembly
US11639547B2 (en) * 2018-05-03 2023-05-02 Applied Materials, Inc. Halogen resistant coatings and methods of making and using thereof
US11401599B2 (en) * 2018-06-18 2022-08-02 Applied Materials, Inc. Erosion resistant metal silicate coatings
US20200024735A1 (en) * 2018-07-18 2020-01-23 Applied Materials, Inc. Erosion resistant metal fluoride coatings deposited by atomic layer deposition
US11667575B2 (en) * 2018-07-18 2023-06-06 Applied Materials, Inc. Erosion resistant metal oxide coatings

Also Published As

Publication number Publication date
JP2021107580A (ja) 2021-07-29
CN109417021B (zh) 2023-07-18
US20180094348A1 (en) 2018-04-05
US9850573B1 (en) 2017-12-26
JP7134283B2 (ja) 2022-09-09
JP2019522113A (ja) 2019-08-08
CN109417021A (zh) 2019-03-01
TWI762463B (zh) 2022-05-01
US20170369993A1 (en) 2017-12-28
KR102195757B1 (ko) 2020-12-28
TW201809337A (zh) 2018-03-16
KR102308849B1 (ko) 2021-10-01
KR20200143533A (ko) 2020-12-23
KR20190009429A (ko) 2019-01-28
WO2017222601A1 (en) 2017-12-28
US10676819B2 (en) 2020-06-09
JP6859371B2 (ja) 2021-04-14

Similar Documents

Publication Publication Date Title
CN109417021B (zh) 铒基耐等离子体陶瓷涂层的非直视性沉积
JP7454612B2 (ja) 半導体処理チャンバコンポーネント用の保護コーティングの原子層堆積
TWI748046B (zh) 原子層沉積之多孔體的抗電漿塗佈
KR102481950B1 (ko) 확산 장벽 층 및 내침식성 층을 갖는 다층 코팅
US11180847B2 (en) Atomic layer deposition coatings for high temperature ceramic components
TW201920742A (zh) 用於高溫加熱器的原子層沉積塗層
KR20200000244U (ko) 원자 층 증착에 의해 증착되는 내부식성 금속 플루오르화물 코팅들

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination