TWI762463B - 鉺基耐電漿陶瓷塗層的非直視性沉積 - Google Patents

鉺基耐電漿陶瓷塗層的非直視性沉積 Download PDF

Info

Publication number
TWI762463B
TWI762463B TW106101500A TW106101500A TWI762463B TW I762463 B TWI762463 B TW I762463B TW 106101500 A TW106101500 A TW 106101500A TW 106101500 A TW106101500 A TW 106101500A TW I762463 B TWI762463 B TW I762463B
Authority
TW
Taiwan
Prior art keywords
layer
mol
erbium
ceramic coating
resistant ceramic
Prior art date
Application number
TW106101500A
Other languages
English (en)
Other versions
TW201809337A (zh
Inventor
語南 孫
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW201809337A publication Critical patent/TW201809337A/zh
Application granted granted Critical
Publication of TWI762463B publication Critical patent/TWI762463B/zh

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/403Oxides of aluminium, magnesium or beryllium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32467Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • H01J37/32495Means for protecting the vessel against plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

本發明描述了一種使用非直視性(non-line-of-sight; NLOS)沉積製程在腔室部件之表面上沉積耐電漿陶瓷塗層之方法,該非直視性沉積製程諸如原子層沉積(atomic layer deposition; ALD)及化學氣相沉積(chemical vapor deposition; CVD)。耐電漿陶瓷塗層由含鉺氧化物、含鉺氟氧化物或含鉺氟化物組成。本發明亦描述了具有含鉺氧化物、含鉺氟氧化物或含鉺氟化物之耐電漿陶瓷塗層之腔室部件。

Description

鉺基耐電漿陶瓷塗層的非直視性沉積
本揭示案之實施例係關於一種使用非直視性沉積(non-line of sight deposition; NLOS)技術塗覆具有含鉺陶瓷塗層之腔室部件的方法。
各製造製程將半導體處理腔室部件暴露於高溫、高能電漿、腐蝕性氣體之混合物、高應力及其組合中。該等極端條件可侵蝕及/或腐蝕腔室部件,從而增大腔室部件對缺陷的敏感性。希望減小該等缺陷並改進部件在極端環境中之耐侵蝕性及/或耐腐蝕性。
通常經由各種方法,諸如熱噴塗、濺射或蒸發技術,在腔室部件上沉積防護層。在該等技術中,不直接暴露於塗層材料源(例如,不直視材料源)之腔室部件之表面由比直接暴露於塗層材料源之表面明顯更薄之塗層塗覆。這可能導致劣質薄膜、低密度薄膜,或腔室部件之一部分完全未塗覆。
一些材料比其他材料對特定惡劣環境具有更強之耐侵蝕性及/或耐腐蝕性。
本文所描述之實施例之一些包括一種使用含鉺氧化物、含鉺氟氧化物、或含鉺氟化物以經由非直視性(non-line of sight; NLOS)沉積方法在腔室部件上建立耐電漿陶瓷塗層之方法。在實施例中可使用之該等NLOS方法為化學氣相沉積(Chemical Vapor Deposition; CVD)及原子層沉積(Atomic Layer Deposition; ALD)。
在一些實施例中,腔室部件包括具有在10:1與200:1之間的深寬比之部分。該腔室部件另外包括在該腔室部件之部分之表面上的耐電漿陶瓷塗層。該耐電漿陶瓷塗層由含鉺氧化物、含鉺氧氟化物或含鉺氟化物組成。該耐電漿陶瓷塗層具有約零孔隙率且具有均勻厚度,該均勻厚度具有小於+/-5%之厚度波動。
在一些實施例中,腔室部件包括具有在10:1與200:1之間的深寬比之部分。該腔室部件另外包括在該腔室部件之部分之表面上的耐電漿陶瓷塗層。該耐電漿陶瓷塗層由Er2 O3 組成。該耐電漿陶瓷塗層具有約零孔隙率且具有均勻厚度,該均勻厚度具有小於+/-5%之厚度波動。
本文所描述之實施例包括使用非直視性(non-line of sight; NLOS)沉積製程在腔室部件或其他製品上沉積含有鉺基氧化物、鉺基氟化物、鉺基氟氧化物之鉺基耐電漿陶瓷塗層之方法。NLOS沉積製程可為化學氣相沉積(chemical vapor deposition; CVD)或原子層沉積(atomic layer deposition; ALD),亦稱為原子層磊晶、原子單層磊晶及原子層化學氣相沉積。在一些實施例中,耐電漿塗層可由多層堆疊組成。該多層堆疊可包括含鉺氧化物層或含鉺氟化物層作為一層、不同氧化物或氟化物材料作為另一層、及Er2 O3 、ErF3 、Al2 O3 、YF3 、Y2 O3 或ZrO2 之一或多個額外層。可重複該等層序列直到達到所期望之厚度。可退火此多層堆疊以在第一層、第二層與任意額外層之間建立一個或多於一個相互擴散之固態相。該相互擴散多層堆疊可為包括不同層之組成材料的均質或大致均質之塗層。
本文所描述之實施例使得高深寬比特徵之腔室部件及其他製品能夠由含鉺氧化物、含鉺氟化物及含鉺氧氟化物之耐電漿陶瓷塗層有效地塗覆。該耐電漿陶瓷塗層為保形的(例如,具有小於約+/-5%之厚度波動)並且很緻密(例如,具有0%或約0%之孔隙率)。含鉺氧化物、含鉺氟化物及含鉺氧氟化物之耐電漿陶瓷塗層可對諸如CCl4 /CHF3 電漿蝕刻化學物質之特定電漿蝕刻化學物質具有特定耐腐蝕性及耐侵蝕性。
CVD允許材料在製品表面上保形沉積。揮發性前驅物或前驅物之混合物以穩定速率流經處理腔室。前驅物將以在製品表面上沉積期望產物之方式在腔室內反應。所沉積材料之數量為沉積時間之函數。沉積時間越長,所得薄膜越厚。亦將經常產生化學反應之其他副產物;該等副產物經由穿過腔室的氣流來移除。CVD製程可在自常壓至低壓(即:~10-8 托)之壓力範圍內。
ALD允許材料在與製品之表面之化學反應過程中的受控自限制沉積。除了為保形製程外,ALD亦為均勻製程。製品之所有暴露面將具有相同或大致相同數量之沉積材料。ALD製程之典型反應循環從前驅物大量湧進ALD腔室開始。隨後在第二前驅物引入ALD腔室中並隨後沖淨之前,將前驅物從ALD腔室沖淨。製品表面與化學前驅物之反應產生一個原子層厚度之化學接合層。沖凈可由製程產生之過剩材料。不同於CVD,使用ALD生長之材料之厚度不取決於沉積時間。對於ALD,材料之最終厚度取決於運行之反應循環之數目,因為每個反應循環將生長出為一個原子層厚度之層。
處理腔室部件,諸如噴頭、噴嘴、擴散器及氣體管線,將受益於具有該等耐電漿含鉺陶瓷塗層以在極端蝕刻環境中保護它們。該等腔室部件之許多具有範圍介於10:1與200:1之間的深寬比,其使它們難以使用常規視線沉積方法很好地塗覆。本文所描述之實施例使得諸如前述處理腔室部件之高深寬比製品能夠由保護製品之耐電漿陶瓷塗層塗覆。例如,實施例使得氣體管線之內部、噴嘴之內部、噴頭中孔之內部等能夠塗覆有含鉺陶瓷塗層。
第1圖為根據本發明之實施例的具有由耐電漿陶瓷塗層(即,氧化鉺基塗層、氟化鉺基塗層或氟氧化鉺基塗層)塗覆之一或多個腔室部件之半導體處理腔室100的剖面圖。處理腔室100可用於在其中提供具有電漿處理條件之腐蝕性電漿環境的製程。例如,處理腔室100可為用於電漿蝕刻器或電漿蝕刻反應器、電漿清洗器等之腔室。可包括耐電漿陶瓷塗層之腔室部件之實例包括具有複雜形狀之腔室部件及具有大深寬比之孔。一些示範性腔室部件包括:基板支撐組件148、靜電卡盤(electrostatic chuck; ESC)150、環(例如,處理套組環或單環)、腔室壁、基座、氣體分配板、處理腔室之噴頭、氣體管線、噴嘴、蓋、襯墊、襯墊套組、遮屏、電漿篩、氣流均衡篩、冷卻基座、腔室觀察孔、腔室蓋等。在下文更詳細描述之耐電漿陶瓷塗層由諸如ALD及CVD之非直視性(non-line of sight; NLOS)沉積製程施加。ALD允許在包括具有複雜形狀之部件及具有大深寬比之孔的所有類型之部件上施加基本上均勻厚度之保形塗層。類似地,CVD亦允許施加相對均勻厚度之保形塗層。
可使用具有各種含鉺陶瓷之ALD或CVD,該等陶瓷諸如包括氧化鉺(Er2 O3 )、氟化鉺(ErF3 )及/或氟氧化鉺(Erx Oy Fz )之陶瓷,生長或沉積耐電漿陶瓷塗層。含鉺陶瓷亦可包括一定量鋯、釔及/或鋁。例如,含鉺陶瓷可包括氧化鋯(ZrO2 )、氧化鋁(Al2 O3 )、氧化釔(Y2 O3 )、氟化鋯(ZrF4 )、氟化鋁(AlF3 )及/或氟化釔(YF3 )。含鉺陶瓷可為例如Erx Oy Fz 、Erx Aly Oz (例如、Er3 Al5 O12 )、Erx Zry Oz 、Era Zrx Aly Oz 、Yx Ery Oz 、Yx Ery Fz 、Yw Erx Oy Fz 或Erw Yx Zry Oz
如圖所示,根據一個實施例,基板支撐組件148具有鉺基耐電漿陶瓷塗層136。然而,應當理解,其他腔室部件之任一者,諸如噴頭、氣體管線、靜電卡盤、噴嘴及其他等,亦可由多組分塗層塗覆。
在一個實施例中,處理腔室100包括封閉內部容積106之腔室主體102及噴頭130。噴頭130可包括噴頭基座及噴頭氣體分配板。或者,在一些實施例中,噴頭130可由蓋及噴嘴替代。腔室主體102可由鋁、不銹鋼或其他適合材料製造。腔室主體102一般包括側壁108及底部110。噴頭130(或蓋及/或噴嘴)、側壁108及/或底部110之任一者可包括鉺基耐電漿陶瓷塗層。
外襯墊116可設置為鄰近側壁108以保護腔室主體102。外襯墊116可由多組分塗層製造及/或塗覆。在一個實施例中,外襯墊116由氧化鋁製造。
排氣口126可限定在腔室主體102中,並且可將內部容積106耦接至泵系統128。泵系統128可包括用以排空及調節處理腔室100之內部容積106之壓力的一或多個泵及節流閥。
噴頭130可支撐在腔室主體102之側壁108上。可打開噴頭130(或蓋)以允許進入處理腔室100之內部容積106,並且當關閉時可提供對處理腔室100之密封。氣體板158可耦接至處理腔室100以穿過噴頭130或蓋及噴嘴向內部容積106提供處理及/或清洗氣體。噴頭130可用於用以介電蝕刻(介電材料之蝕刻)之處理腔室。噴頭130包括具有貫穿氣體分配板(gas distribution plate; GDP)133之多個氣體輸送孔132之氣體分配板(GDP) 133。噴頭130可包括接合至鋁基或陽極化鋁基之GDP 133。GDP 133由Si或SiC組成,或可為諸如Y2 O3 、Al2 O3 、Y3 Al5 O12 (YAG)等之陶瓷。如下文關於第5A圖及第5B圖更詳細地描述,噴頭130及輸送孔132可由鉺基耐電漿陶瓷塗層塗覆。
對於用於導體蝕刻(導電材料之蝕刻)之處理腔室,可使用蓋而非噴頭。蓋可包括裝配進該蓋之中心孔之中心噴嘴。該蓋可為諸如Al2 O3 、Y2 O3 、YAG的陶瓷或包含Y4 Al2 O9 及固溶體Y2 O3 -ZrO2 之陶瓷化合物。該噴嘴亦可為諸如Y2 O3 、YAG的陶瓷或包含Y4 Al2 O9 及固溶體Y2 O3 -ZrO2 之陶瓷化合物。根據一實施例,該蓋、噴頭基座104、GDP133及/或噴嘴可全部由耐電漿陶瓷塗層塗覆。
可用以在處理腔室100內處理基板之處理氣體之實例包括含鹵素氣體,諸如C2 F6 、SF6 、SiCl4 、HBr、NF3 、CF4 、CHF3 、CH2 F3 、F、NF3 、Cl2 、CCl4 、BCl3 及SiF4 ,以及諸如O2 或N2 O之其他氣體。載氣之實例包括N2 、He、Ar及其他對處理氣體惰性之氣體(例如,不反應氣體)。基板支撐組件148設置在處理腔室100的處於噴頭130或蓋下方之內部容積106中。基板支撐組件148在處理期間固持基板144。環146(例如,單環)可覆蓋靜電卡盤150之一部分,並且可防止所覆蓋部分在處理期間暴露於電漿。在一個實施例中,環146可為矽或石英。
可在基板支撐組件148之周邊塗覆內襯墊118。內襯墊118可為諸如參考外襯墊116論述之彼等材料之耐含鹵素氣體材料。在一個實施例中,內襯墊118可由外襯墊116之相同材料製造。另外,內襯墊118亦可由本文所描述之鉺基耐電漿陶瓷塗層塗覆。
在一個實施例中,基板支撐組件148包括支撐台座152之裝配板162及靜電卡盤150。靜電卡盤150進一步包括導熱基座164及由黏結劑138接合至導熱基座之靜電圓盤166,在一個實施例中,黏結劑138可為聚矽氧黏結劑。在所圖示之實施例中,靜電圓盤166之上表面可由鉺基耐電漿陶瓷塗層136覆蓋。鉺基耐電漿陶瓷塗層136可設置在靜電卡盤150之整個暴露面上,該暴露面包括導熱基座164及靜電圓盤166,以及在該靜電卡盤中具有大深寬比之任意其他幾何複雜零件或孔的外周邊及側周邊。裝配板162耦接至腔室主體102之底部110並包括用於將公用設施(例如,流體、電源線、感測器導線等)導引至導熱基座164及靜電圓盤166之通道。
導熱基座164及/或靜電圓盤166可包括一或多個可選嵌入式加熱元件176、嵌入式隔熱器174及/或導管168、導管170以控制基板支撐組件148之側面溫度分佈。導管168、導管170可流體地耦接至流體源172,流體源172穿過導管168、導管170循環溫度調節流體。在一個實施例中,嵌入式隔熱器174可設置在導管168、導管170之間。加熱器176由加熱器電源178調節。導管168、導管170及加熱器176可用以控制導熱基座164之溫度。導管及加熱器加熱及/或冷卻正在處理之靜電圓盤166及基板(例如,晶圓)144。靜電圓盤166及導熱基座164之溫度可使用複數個溫度感測器190、溫度感測器192監控,溫度感測器190、溫度感測器192可使用控制器195監控。
靜電圓盤166可進一步包括多個氣體通道,諸如槽、凸台及可在圓盤166之上表面中形成之其他表面特徵。根據一實施例,該等表面特徵可由鉺基耐電漿陶瓷塗層塗覆。氣體通道可經由在靜電圓盤166中鑽出之孔流體地耦接至諸如He之熱傳遞(或背部)氣體來源。在操作中,可將背部氣體在受控壓力下提供至氣體通道中以增強在靜電圓盤166與基板144之間的熱傳遞。
靜電圓盤166包括由卡緊電源182控制之至少一個夾緊電極180。夾緊電極180(或設置在靜電圓盤166或基座164中之其他電極)可經由匹配電路188進一步耦接至一或多個射頻(RF)功率源184、186以將由處理氣體及/或其他氣體形成之電漿維持在處理腔室100內。RF功率源184、186一般能夠產生具有從約50kHz至約3GHz之頻率及高達約10,000瓦特之功率之RF訊號。
第2圖描繪了根據在製品上生成或沉積鉺基耐電漿陶瓷塗層之各種ALD及CVD技術之沉積製程。各種類型之ALD製程存在並且可基於一些因素,諸如待塗覆之表面、塗覆材料、表面及塗覆材料之間的化學相互作用等,選出特定類型。各種ALD製程之一般原理包含藉由反覆將待塗覆之表面暴露於氣態化學前驅物之順序交替脈衝來生長薄膜層,該氣態化學前驅物以自限制方式一次一個地與表面發生化學反應。
類似地,各種類型之CVD製程存在並可基於一些因素選出特定類型,該等因素諸如待塗覆之表面、塗層材料、表面與塗層材料之間的化學相互作用、所期望之厚度、所期望之塗層性質等。CVD製程之一些實例包括常壓CVD(atmospheric CVD; APCVD)、低壓化學氣相沉積(low pressures CVD; LPCVD)、電漿增強化學氣相沉積(plasma enhanced CVD; PECVD)、氣相磊晶等。為NLOS製程之該等CVD製程之任一者可用於實施例中。對於各種CVD製程,將製品暴露於在製品表面上反應及/或分解之一或多種揮發性前驅物以產生所期望之塗層。可產生副產物,藉由從在執行CVD製程之沉積腔室中排空副產物來移除。
第2圖圖示了製品210,製品210具有表面205。製品210可表示各種處理腔室部件(例如,半導體處理腔室部件),各種處理腔室部件包括但不限於:基板支撐組件、靜電卡盤(electrostatic chuck; ESC)、環(例如,處理套組環或單環)、腔室壁、基座、氣體分配板、氣體管線、噴頭、噴嘴、蓋、襯墊、襯墊套組、遮屏、電漿篩、氣流均衡篩、冷卻基座、腔室觀察孔、腔室蓋、擴散器等。製品210亦可為電池或任意導電製品之一部分。製品210及表面205可由金屬(諸如鋁、不銹鋼)、陶瓷、金屬陶瓷複合物、聚合物、聚合物陶瓷複合物、聚酯樹脂、聚酯或其他適合材料製成,並且可進一步包含諸如AlN、Si、SiC、Al2 O3 、SiO2 等之材料。
對於ALD,在前驅物與表面之間的每個單獨化學反應可稱為「半反應」。在每個半反應期間,將前驅物脈衝至表面上持續足夠之一段時間以允許該前驅物與該表面完全反應。該反應為自限制,因為該前驅物將在該表面上與有限數目之可用反應位點反應,從而在該表面上形成均勻連續薄膜層。已經與前驅物反應之任意位點將不可用於與同一前驅物之進一步反應,除非及/或直到使該已反應位點經受在均勻連續塗層上形成新反應位點之處理。示範性處理可為電漿處理、藉由將均勻連續薄膜層暴露於自由基之處理、或引入能與在表面上生長之最新均勻連續膜層反應之不同前驅物。
在第2圖中,使用ALD或CVD,可將具有表面205之製品210引入至第一前驅物260持續第一持續時間直到層215完全地生長或沉積(術語生長及沉積可在本文互換地使用)。層215可為均勻的、連續的及保形的。層215亦可具有在實施例中小於1%,並在另外實施例中小於0.1%之極低孔隙率。在一些實施例中孔隙率為0%或大約0%。在使用ALD形成層215之一些實施例中,層215可具有一個原子或幾個原子(例如,2-3個原子)之厚度。如若使用CVD,則層215可具有約1-100奈米之厚度。
在實施例中,層215可為Er2 O3 、ErF3 、Y2 O3 、Al2 O3 、YF3 或ZrO2 。在一些實施例中,層215為具有Erx Oy Fz 、Erx Aly Oz (例如,Er3 Al5 O12 )、Erx Zry Oz 、Era Zrx Aly Oz 、Yx Ery Oz 、Yx Ery Fz 、Yw Erx Oy Fz 、或Era Yx Zry Oz (例如,具有Y2 O3 、ZrO2 及Er2 O3 之單相固溶體)之多組分材料。層215亦可為AlN、SiC、Y3 Al5 O12 (YAG)、Y4 Al2 O9 (YAM)、TiO2 、Y2 O3 穩定之ZrO2 (YSZ)、或包含Y4 Al2 O9 之陶瓷化合物及固溶體Y2 O3 -ZrO2 中之一者。
隨後,使用ALD或CVD,可將具有表面205及層215之製品210引入至第二前驅物270持續第二持續時間直到第二層220在層215上方完全地生長或沉積。第二層220可為均勻的、連續的及保形的。第二層220亦可具有在實施例中小於1%、及在另外實施例中小於0.1%、及在又另外實施例中0%或大約0%之極低孔隙率。在使用ALD形成第二層220之一些實施例中,第二層220可具有一個原子或幾個原子(例如,2-3個原子)之厚度。如若使用CVD,則第二層220可具有約1-100奈米之厚度。
在實施例中,第二層220可為Er2 O3 、ErF3 、Y2 O3 、Al2 O3 、YF3 或ZrO2 。在一些實施例中,第二層220為具有Erx Oy Fz 、Erx Aly Oz (例如,Er3 Al5 O12 )、Erx Zry Oz 、Era Zrx Aly Oz 、Yx Ery Oz 、Yx Ery Fz 、Yw Erx Oy Fz 、或Era Yx Zry Oz (例如,Y2 O3 、ZrO2 及Er2 O3 之單相固溶體)之多組分材料。第二層220亦可為AlN、SiC、Y3 Al5 O12 (YAG)、Y4 Al2 O9 (YAM)、TiO2 、Y2 O3 穩定之ZrO2 (YSZ)、或包含Y4 Al2 O9 之陶瓷化合物及固溶體Y2 O3 -ZrO2 中之一者。在一個實施例中,第一層或第二層之至少一者為含鉺化合物(例如,Er2 O3 、ErF3 、Erx Oy Fz 、Erx Aly Oz 、Erx Zry Oz 、Era Zrx Aly Oz 、Yx Ery Oz 、Yx Ery Fz 、Yw Erx Oy Fz 、或Era Yx Zry Oz )。因此,層215可為在沉積含鉺層之前沉積之中間層。或者,第二層220可為在含鉺層上方沉積之覆蓋層。在一個實施例中,第二層220具有與層215相同之組成。
此後,可順序地重複引入前驅物260及前驅物270以生長或沉積額外交替層225、230、235、240、245及250。重複順序地引入各種前驅物N次,其中N表示基於目標塗層厚度及性質選出之層之有限數目。各種層可保持完整或在一些實施例中可相互擴散。
順序地進行表面反應(例如,半反應),並且各種前驅物在實施例中不接觸。在引入新前驅物之前,在其中進行ALD或CVD製程之腔室可使用惰性載氣(諸如氮氣或空氣)吹掃以將任何未反應之前驅物及/或表面前驅物反應副產物移除。根據所使用之ALD或CVD製程,前驅物可不同或相同。在一些實施例中,使用至少一種前驅物。在其他實施例中,使用至少兩種前驅物。在一些實施例中,不同前驅物可用以生長或沉積具有相同組成之薄膜層(例如,以生長彼此之上之Er2 O3 之多個層)。在其他實施例中,不同前驅物可用以生長具有不同組成之不同薄膜層。
根據ALD或CVD製程之類型,可在各種溫度下實施ALD或CVD製程。特定ALD製程之最佳溫度範圍稱為「ALD溫度窗口」,低於ALD溫度窗口之溫度可引起慢生長速率及非ALD類型沉積。高於ALD溫度窗口之溫度可引起製品之熱分解或前驅物之快速解吸附。ALD溫度窗口可在自約200℃至約400℃之範圍內變化。在一些實施例中,ALD溫度窗口在約200-350℃之間。
ALD製程及CVD製程允許在具有複雜幾何形狀、具有大深寬比之孔及三維結構的製品及表面上具有均勻厚度之保形鉺基耐電漿陶瓷塗層。前驅物與表面之充足暴露時間使得前驅物能夠擴散並完全與表面整體地(包括所有其三維複雜特徵)反應。用以在高深寬比結構中獲得保形ALD之暴露時間與深寬比之平方成正比,並可使用模型化技術預測。另外,ALD技術比其他經常使用之塗層技術有利,因為其允許特定組成物或調配物原位按需要材料合成而無需來源材料(諸如粉末原料及熔結靶材)之冗長及困難之製造。ALD能夠比CVD更好地塗覆具有高深寬比之製品。因此,在一些實施例中,ALD用以塗覆具有約50:1及更高(例如,200:1)之深寬比之製品。
使用ALD技術,多組分薄膜,諸如Erx Oy Fz 、Erx Aly Oz (例如,Er3 Al5 O12 )、Erx Zry Oz 及Era Zrx Aly Oz 、Yx Ery Oz 、Yx Ery Fz 、Yw Erx Oy Fz 及Era Yx Zry Oz (例如,Y2 O3 、ZrO2 及Er2 O3 之單相固溶體),可例如經由用以生長Er2 O3 、ErF3 、Y2 O3 、Al2 O3 、YF3 及ZrO2 之前驅物之適當順序來生長或沉積,如下文實例更詳細地說明。
第3A圖圖示了根據實施例之用以在諸如處理腔室部件之製品上形成含鉺耐電漿陶瓷塗層之方法300。方法300可用以塗覆具有約10:1至約200:1之深寬比(例如,20:1、50:1、100:1、150:1等之深寬比)之製品。該方法可視情況開始於選擇用於耐電漿陶瓷塗層之組成。可由相同實體或由多個實體來執行組成選擇及形成方法。
該方法可視情況包括,在方塊305,使用酸性溶液清洗製品。在一個實施例中,將製品浸在酸性溶液之浴中。在實施例中,該酸性溶液可為氫氟酸(HF)溶液、鹽酸(HCl)溶液、硝酸(HNO3 )溶液或其組合。該酸性溶液可將表面污染物自製品移除及/或可將氧化物自製品之表面移除。使用酸性溶液清洗製品可改進使用ALD沉積之塗層之品質。在一個實施例中,含有約0.1-5.0體積%HF之酸性溶液用以清洗由石英製成之腔室部件。在一個實施例中,含有約0.1-20體積%HCl之酸性溶液用以清洗由Al2 O3 製成之製品。在一個實施例中,含有約5-15體積%HNO3 之酸性溶液用以清洗由鋁及其他金屬製成之製品。
在方塊310,將製品裝載進沉積腔室中。如若將執行ALD,則將製品裝載進ALD沉積腔室中。如若將執行CVD,則隨後將製品裝載進CVD沉積腔室中。
按照方塊320,該方法包含使用ALD或CVD任一者在製品之表面上沉積鉺基耐電漿陶瓷塗層。在一個實施例中,在方塊325,執行ALD以沉積鉺基耐電漿陶瓷塗層。在一個實施例中,在方塊330,執行CVD以沉積鉺基耐電漿陶瓷塗層。在實施例中,ALD及CVD為與已執行製程保形之製程,其可導致鉺基耐電漿陶瓷塗層之表面粗糙度匹配已塗覆之製品之下表面的表面粗糙度。在一些實施例中,鉺基耐電漿陶瓷塗層可具有單層厚(例如,約0.1-2奈米)至約100奈米厚之厚度。在其他實施例中,鉺基耐電漿陶瓷塗層可具有約100奈米至約1微米之厚度。鉺基耐電漿陶瓷塗層可具有0%(或約0%)之孔隙率及約+/-5%或更少之厚度波動。
鉺基耐電漿陶瓷塗層為含鉺氧化物、含鉺氧氟化物或含鉺氟化物。在實施例中,鉺基材料用以形成耐電漿陶瓷塗層,因為鉺基氧化物、鉺基氟化物及鉺基氧氟化物通大體具有高穩定性、高硬度及優良耐侵蝕性質。例如,Er2 O3 在298K具有-1808.70kJ/莫耳之吉布斯形成自由能,其指示Er2 O3 很穩定並將在處理期間具有與氧之低反應速率。另外,Er2 O3 在低於約2300℃之溫度處具有單斜晶立方結構,其為Er2 O3 貢獻了9.75+/-3.38GPa之高硬度及約2.2-4.0
Figure 02_image001
之斷裂韌性(抗斷裂之能力)。根據本文實施例沉積之Er2 O3 耐電漿陶瓷塗層亦可具有對多種電漿及化學環境之低侵蝕速率,諸如當在2000瓦特之偏壓下暴露於CCl4 /CHF3 電漿化學物質中時具有約0.0187 μm/hr之侵蝕速率。
可由含鉺化合物形成之耐電漿陶瓷塗層之實例包括Er2 O3 、ErF3 、Erx Oy Fz 、Erx Aly Oz (例如,Er3 Al5 O12 )、Erx Zry Oz 、Era Zrx Aly Oz 、Yx Ery Oz 、Yx Ery Fz 、Yw Erx Oy Fz 及Era Yx Zry Oz (例如Y2 O3 、ZrO2 及Er2 O3 之單相固溶體)。在耐電漿陶瓷塗層中之鉺含量可在自約0.1原子%至近乎100原子%之範圍內變化。對於含鉺氧化物,鉺含量可自約0.1原子%至近乎100原子%之範圍內變化,且氧含量可自約0.1原子%至近乎100原子%之範圍內變化。對於含鉺氟化物,鉺含量可自約0.1原子%至近乎100原子%之範圍內變化,以及氟含量可自約0.1原子%至近乎100原子%之範圍內變化。對於含鉺氟氧化物,鉺含量可自約0.1原子%至近乎100原子%之範圍內變化,氧含量可自約0.1原子%至近乎100原子%之範圍內變化,且氟含量可自約0.1原子%至近乎100原子%之範圍內變化。
有利地,Y2 O3 與Er2 O3 可混溶。Y2 O3 與Er2 O3 之任意組合可形成為單相固溶體。例如,恰好高於0莫耳%Y2 O3 與恰好低於100莫耳%Er2 O3 之混合物可經組合以形成為單相固溶體之耐電漿陶瓷塗層。另外,恰好高於0莫耳%E2 O3 與恰好低於100莫耳%Y2 O3 之混合物可經組合以形成為單相固溶體之耐電漿陶瓷塗層。Yx Ery Oz 之耐電漿陶瓷塗層可含有高於0莫耳%至低於100莫耳%之間的Y2 O3 及高於0莫耳%至低於100莫耳%之間的Er2 O3 。一些顯著實例包括:1-10莫耳%Y2 O3 及90-99莫耳%Er2 O3 、11-20莫耳%Y2 O3 及80-89莫耳%Er2 O3 、21-30莫耳%Y2 O3 及70-79莫耳%Er2 O3 、31-40莫耳%Y2 O3 及60-69莫耳%Er2 O3 、41-50莫耳%Y2 O3 及50-59莫耳%Er2 O3 、51-60莫耳%Y2 O3 及40-49莫耳%Er2 O3 、61-70莫耳%Y2 O3 及30-39莫耳%Er2 O3 、71-80莫耳%Y2 O3 及20-29莫耳%Er2 O3 、81-90莫耳%Y2 O3 及10-19莫耳%Er2 O3 、及1-10莫耳%Er2 O3 及90-99莫耳%Y2 O3 。Yx Ery Oz 之單相固溶體可在低於約2330℃之溫度下具有單斜晶立方狀態。
有利地,ZrO2 可與Y2 O3 及Er2 O3 組合以形成含有ZrO2 、Y2 O3 及Er2 O3 之混合物(例如,Era Yx Zry Oz )之單相固溶體。Era Yx Zry Oz 之固溶體可具有立方的、六角形的、四角形的及/或立方螢石的結構。Era Yx Zry Oz 之固溶體可含有高於0莫耳%至60莫耳%ZrO2 、高於0莫耳%至99莫耳%Er2 O3 、及高於0莫耳%至99莫耳%Y2 O3 。可使用之一些顯著數量之ZrO2 包括2莫耳%、5莫耳%、10莫耳%、15莫耳%、20莫耳%、30莫耳%、50莫耳%及60莫耳%。可使用之Er2 O3 及/或Y2 O3 之一些顯著數量包括10莫耳%、20莫耳%、30莫耳%、40莫耳%、50莫耳%、60莫耳%、70莫耳%、80莫耳%及90莫耳%。
Era Zrx Aly Oz 之耐電漿陶瓷塗層可含有高於0%至60莫耳%ZrO2 、高於0莫耳%至99莫耳%Er2 O3 及高於0莫耳%至60莫耳%Al2 O3 。可使用之ZrO2 之一些顯著數量包括2莫耳%、5莫耳%、10莫耳%、15莫耳%、20莫耳%、30莫耳%、50莫耳%及60莫耳%。可使用之Er2 O3 之一些顯著數量包括10莫耳%、20莫耳%、30莫耳%、40莫耳%、50莫耳%、60莫耳%、70莫耳%、80莫耳%及90莫耳%。可使用之Al2 O3 之一些顯著數量包括2莫耳%、5莫耳%、10莫耳%、20莫耳%、30莫耳%、40莫耳%、50莫耳%及60莫耳%。在一個實例中,Era Zrx Aly Oz 之耐電漿陶瓷塗層含有42莫耳%Y2 O3 ,40莫耳%ZrO2 及18莫耳%Er2 O3 並具有層狀結構。在另一實例中,Era Zrx Aly Oz 之耐電漿陶瓷塗層含有63莫耳%Y2 O3 ,10莫耳%ZrO2 及27莫耳%Er2 O3 並具有層狀結構。
Yx Ery Fz 之耐電漿陶瓷塗層可含有恰好高於0莫耳%YF3 及恰好低於100莫耳%ErF3 之混合物。另外,恰好高於0莫耳%ErF3 及恰好低於100莫耳%YF3 之混合物可經組合以形式耐電漿陶瓷塗層。Yx Ery Fz 之耐電漿陶瓷塗層可含有高於0莫耳%至低於100莫耳%YF3 及高於0莫耳%至低於100莫耳%ErF3 之混合物。一些顯著實例包括:1-10莫耳%YF3 及90-99莫耳%ErF3 、11-20莫耳%YF3 及80-89莫耳%ErF3 、21-30莫耳%YF3 及70-79莫耳%ErF3 、31-40莫耳%YF3 及60-69莫耳%ErF3 、41-50莫耳%YF3 及50-59莫耳%ErF3 、51-60莫耳%YF3 及40-49莫耳%ErF3 、61-70莫耳%YF3 及30-39莫耳%ErF3 、71-80莫耳%YF3 及20-29莫耳%ErF3 、81-90莫耳%YF3 及10-19莫耳%ErF3 、以及1-10莫耳%ErF3 及90-99莫耳%YF3
Y2 O3 、Er2 O3 、YF3 及ErF3 之三種以上可經組合以形成Yw Erx Oy Fz 之固溶體。Yw Erx Oy Fz 之固溶體可含有:高於0莫耳%至小於100莫耳%Y2 O3 、高於0莫耳%至小於100莫耳%Er2 O3 、高於0莫耳%至小於100莫耳%YF3 及/或高於0莫耳%至小於100莫耳%ErF3 。可使用之Er2 O3 、Y2 O3 、YF3 及/或ErF3 之一些顯著數量包括10莫耳%、20莫耳%、30莫耳%、40莫耳%、50莫耳%、60莫耳%、70莫耳%、80莫耳%及90莫耳%。
在實施例中,Er2 O3 、ErF3 、Erx Oy Fz 、Erx Aly Oz (例如,Er3 Al5 O12 )、Erx Zry Oz 、Era Zrx Aly Oz 、Yx Ery Oz 、Yx Ery Fz 、Yw Erx Oy Fz 或Era Yx Zry Oz 之鉺基耐電漿陶瓷塗層具有低釋氣率、大約約200 V/μm之介電崩潰電壓、及小於約10-9 托之厄米性(漏泄率)。
第3B圖圖示了根據一實施例在諸如處理腔室部件之製品上形成鉺基耐電漿陶瓷塗層之方法350。該方法可視情況開始於選擇用於耐電漿陶瓷塗層之組成。可由相同實體或由多個實體來執行組成選擇及形成方法。
在方法350之方塊352處,使用酸性溶液清洗製品之(例如,處理腔室部件之)表面。酸性溶液可為上文參考方法300之方塊305所描述的酸性溶液之任一種。隨後可將製品裝載進ALD沉積腔室中。
按照方塊355,該方法包含經由ALD在製品之表面上沉積Er2 O3 、Al2 O3 、ErF3 、YF3 、Y2 O3 或ZrO2 之第一層。按照方塊360,該方法進一步包含經由ALD在製品之表面上沉積具有第二氧化物或第二氟化物之額外層。第二氧化物或氟化物為Er2 O3 、Al2 O3 、ErF3 Y2 O3 或ZrO2 之一者。在一個實施例中,額外層為不同於第一層之材料。
在一些實施例中,按照方塊370,該方法可進一步包含決定是否添加額外層。決定是否添加額外層及/或添加多少層可以原位進行或者在開始沉積之前(例如,在可選的多組分組成物選擇製程中)進行。如若將添加額外層,則可重複方塊360之操作,並可經由ALD沉積Er2 O3 、Al2 O3 、ErF3 、YF3 、Y2 O3 或ZrO2 之額外層。該額外層可為與第一層或一或多個上述額外層相同之材料。或者,該額外層可為與第一層及上述額外層不同之材料。如若不再添加額外層,則方法可進行至方塊375。
在一些實施例中,當第一層或任意額外層包含氧化釔時,至少一種氧化釔前驅物可從用於ALD之叁(N,N-雙(三甲基矽基)醯胺)釔(III)或釔(III)丁氧化物中選出。在一些實施例中,當第一層或額外層之任一層包含氟化釔時,至少一種氟化釔前驅物可從用於ALD之Y(thd)3 (其中thd=2,2,6,6-四甲基-3,5-庚烷二酮)及TiF4 、TaF5 或NH4 F之組合中選出。
在一些實施例中,當第一層或額外層之任一層包含氧化鋁時,至少一種氧化鋁前驅物可從用於ALD之二乙基氧化、叁(乙基甲基醯胺基)鋁、二級丁氧化、三溴化、三氯化、三乙基、三異丁基鋁、三甲基或叁(二乙基醯胺基)鋁中選出。在一些實施例中,當第一層或額外層之任一層包含氧化鋯時,至少一種氧化鋯前驅物可從用於ALD之溴化鋯(IV)、氯化鋯(IV)、三級丁氧化鋯(IV)、肆(二乙基醯胺基)锆(IV)、肆(二甲基酰胺基)锆(IV)、或肆(乙基甲基基醯胺基)锆(IV)中選出。
在一些實施例中,當第一層或額外層之任一層包含氧化鉺時,至少一種氧化鉺前驅物可從用於ALD之叁甲基戊二烯基铒(III)(Er(MeCp)3 、鉺硼烷醯胺(Er(BA)3 )、Er(TMHD)3 、叁(2,2,6,6 -四甲基-3,5-庚二酮酸)鉺(III)、或叁(丁基戊二烯基)鉺(III)中選出。在一些實施例中,當第一層或額外層之任一層包含氧化鉺時,使用叁(2,2,6,6-四甲基-3,5-庚二酮酸)鉺(Er(thd)3 )及臭氧作為前驅物以形成Er2 O3 。在一些實施例中,當第一層或額外層之任一者包含氧化鉺時,使用Er(CpMe)3 及水作為前驅物以形成Er2 O3 。在一些實施例中,當第一層或額外層之任一者包含氧化鉺時,使用Er(thd)3 及氧自由基作為前驅物以形成Er2 O3 。在一些實施例中,當第一層或額外層之任一層包含氧化鉺時,使用Er(PrCp)3 、Er(CpMe)2 及/或Er(BuCp)3 與臭氧或者水任一者作為前驅物以形成Er2 O3
每次使用ALD生長Er2 O3 、Al2 O3 、ErF3 、Y2 O3 、YF3 或ZrO2 層時,可以使用所列前驅物或任何其他適合前驅物之至少一者,而不管其是第一薄膜層、第二薄膜層還是第N薄膜層,其中該第N薄膜層表示在製品之表面上生長並基於目標防護塗層厚度及性質選出之薄膜層的有限數目。
在方塊375處,退火製品(例如,腔室部件)及在腔室部件上之耐電漿陶瓷塗層之所有層。在一些實施例中,該退火可產生包含沉積在製品之表面上的一些或全部薄膜層之相互擴散固態相的多組分組成物。可在自約300℃至約1800℃、自約300℃至約1500℃、自約300℃至約1000℃、或自約300℃至約500℃之範圍內變化之溫度下執行退火。可基於製品之結構、表面及薄膜層之材料選擇退火溫度,以便維持其完整性並避免該等部件之任一者或全部變形、分解或熔融。
第4A圖至第4D圖描繪根據不同實施例之鉺基耐電漿陶瓷塗層之變化。第4A圖圖示根據一實施例的具有用於製品410之表面405之多組分組成物的鉺基耐電漿陶瓷塗層。表面405可為各種製品410之表面。例如,製品410可包括各種半導體處理腔室部件,該各種半導體處理腔室部件包括但不限於:基板支撐組件、靜電卡盤(electrostatic chuck; ESC)、環(例如,處理套組環或單環)、腔室壁、基座、氣體分配板、氣體管線、噴頭、噴嘴、蓋、襯墊、襯墊套組、遮屏、電漿篩、氣流均衡篩、冷卻基座、腔室觀察孔、腔室蓋等。該半導體處理腔室部件可由金屬(諸如鋁、不銹鋼)、陶瓷、金屬陶瓷複合物、聚合物、聚合物陶瓷複合物或其他適宜材料製成,並且可進一步包含諸如AlN、Si、SiC、Al2 O3 、SiO2 等之材料。
在第4A圖中,多組分塗層組成物包含使用ALD或CVD製程在製品410之表面405上塗覆之氧化鉺或氟化鉺之至少一個薄膜層415,及使用ALD製程在製品410之表面405上塗覆之額外氧化物或額外氟化物之至少一個第二薄膜層425。
第4A圖圖示了一實施例,其中該鉺基耐電漿陶瓷塗層包含第一層415及第二層425之交替層堆疊,其中該等層為完整的而未相互擴散,其中存在相同數目之該等層之每一者(四個415層及四個425層),並且其中所有層具有相等之均勻厚度。在一些實施例中,在沉積第二薄膜層之前沉積第一薄膜層,並且該第二薄膜層沉積在該第一薄膜層上方。在一些實施例中,可以顛倒順序。或者,該等層可具有不同厚度。
第4B圖圖示一實施例,其中鉺基電漿陶瓷塗層具有多組分塗層組成物。該鉺基耐電漿陶瓷塗層沉積在製品410(例如,如上所述之半導體處理腔室部件)之表面405上並包含第一層415、第二層425及至少一個額外層435之交替層堆疊。該等層為完整的並按預定順序沉積及/或生長並具有均勻相等之厚度。然而,層之數目可為相等的且某些層可比其他層更多(例如,三個415層、三個425層、兩個435層)。
在一些實施例中,一或多個層為單層或具有自約0.1奈米至約100奈米之範圍內變化之均勻厚度的薄層。一或多個層可為具有自約100奈米至約1微米之範圍內變化的均勻厚度之厚層。
第4C圖圖示了一實施例,其中鉺基耐電漿陶瓷塗層為多組分塗層。該鉺基耐電漿陶瓷塗層沉積在製品410之表面405上並包含無固定順序及固定厚度之完整均勻薄膜層之堆疊。該多組分塗層包含具有第一厚度之第一厚層420、具有不同於該第一厚度之第二厚度之第二厚層430、及具有不同於該第一厚度及該第二厚度之第三厚度的至少一個額外厚層440。某些層可比其他層更多以便獲得多組分塗層(兩個420層、一個430層、一個440層)之某些性質(諸如耐侵蝕性/耐腐蝕性)。
在一些實施例中,在第4A圖至第4C圖中圖示之各種薄膜層可具有相同組成物。在其他實施例中,該等層之組成物可為不同的。在一些實施例中,各種薄膜層可具有類似性質,諸如厚度、孔隙率、耐電漿、CTE。在其他實施例中,每個薄膜層可具有不同性質。應當理解,儘管在第4A圖至第4C圖中描繪了若干薄膜層,但彼些圖並不意指限制,並且在某些實施例中更多或更少薄膜層可沉積在表面上。在一些實施例中,可塗覆製品之整個表面。在其他實施例中,可塗覆製品表面之至少一部分。
第4D圖圖示一實施例,其中鉺基耐電漿陶瓷塗層具有多組分塗層組成物450。該鉺基耐電漿陶瓷塗層沉積在製品410之表面405上並包含多個層之相互擴散固態相。在一些實施例中,不管是否包含完整層或相互擴散固態相,鉺基耐電漿陶瓷塗層都從由Er2 O3 、ErF3 、Erx Oy Fz 、Erx Aly Oz (例如,Er3 Al5 O12 )、Erx Zry Oz 、Era Zrx Aly Oz 、Yx Ery Oz 、Yx Ery Fz 、Yw Erx Oy Fz 及Era Yx Zry Oz (例如,Y2 O3 、ZrO2 及Er2 O3 之單相固溶體)組成之群組中選出。在下文實例中圖示了用於建立該等各種多組分塗層組成物之一些實例製程。
第5A圖圖示了噴頭500之仰視圖。下文示範性提供之噴頭僅為示範性腔室部件,其效能可經由使用在本文實施例中闡明之鉺基耐電漿陶瓷塗層來改進。應當理解,當塗覆有本文所揭示之鉺基耐電漿陶瓷塗層時,亦可改進其他腔室部件之效能。此處所描繪之噴頭500經選中作為半導體處理腔室部件之說明,該半導體處理腔室部件具有複雜幾何形狀之表面及大深寬比之孔。
複雜幾何形狀底面505可塗覆根據本文實施例鉺基耐電漿陶瓷塗層。噴頭500之底面505限定在均勻分佈之同心環中佈置之氣體導管510。在其他實施例中,氣體導管510可以配置為交替幾何結構配置並且可根據所使用之反應器及/或製程之類型具有所需之多個或少數個氣體導管。使用ALD技術或CVD技術在表面505上及在氣體導管孔510中生長或沉積鉺基耐電漿陶瓷塗層,該ALD技術或CVD技術使得相對均勻厚度之保形塗層能夠沉積在表面上以及氣體導管孔中,儘管該氣體導管具有複雜幾何尺寸及大深寬比之孔。
噴頭500可暴露於諸如氟之腐蝕性化學劑中並可由於電漿與該噴頭之相互作用而受到侵蝕。鉺基耐電漿陶瓷塗層可減小該電漿相互作用並改進該噴頭之耐久性。使用ALD或CVD沉積之鉺基耐電漿陶瓷塗層維持底面505及氣體導管510之相對形狀及幾何結構,以便不妨害該噴頭之功能。類似地,當應用於其他腔室部件時,該耐電漿陶瓷塗層可維持其意圖塗覆之表面之形狀及幾何結構,以便不妨害部件之功能、提供耐電漿性、並改進遍及整個表面之耐侵蝕性及耐腐蝕性。
在塗覆部件之操作及暴露於電漿之整個持續時間中,經由可具有微米/小時(μm/hr)之單位之「蝕刻速率」(etch rate; ER)來量測塗層材料之耐電漿性。可在不同之處理時間後進行量測。例如,可在處理之前、在50個處理小時之後、150個處理小時之後、200個處理小時之後等進行量測。在噴頭或任何其他處理腔室部件上沉積之鉺基耐電漿陶瓷塗層之組成變化可導致多個不同耐電漿性或侵蝕速率值。另外,暴露於各種電漿中的具有單一組成之鉺基耐電漿陶瓷塗層可具有多個不同耐電漿性或侵蝕速率值。例如,耐電漿材料可具有第一耐電漿性或與第一類型電漿關聯之侵蝕速率及第二耐電漿性或與第二類型電漿關聯之侵蝕速率。
第5B圖描繪根據一實施例已塗覆的具有大深寬比之氣體導管510之分解視圖。氣體導管510可具有長度L及直徑D。氣體導管510可具有定義為L:D之大深寬比,其中該深寬比可自約50:1至約100:1之範圍內變化。在一些實施例中,該深寬比可比50:1更低或比100:1更大(例如,高達200:1)。
氣體導管510可具有塗覆有鉺基耐電漿陶瓷塗層之內表面555。該鉺基耐電漿陶瓷塗層可包含至少一個第一層560且可選地包含第二層565及可選的一或多個額外層(未圖示)。第一層560可包含鉺基氧化物、鉺基氟化物或鉺基氟氧化物。第一層560可具有上文所描述之鉺基材料組成物之任一者。第二層565及/或一或多個額外層可每一者包含額外氧化物或額外氟化物(例如,氧化釔、氟化釔、氧化鋯、氧化鋁等)。在一些實施例中,第二層565為另一鉺基材料,並且可具有上文所描述之鉺基材料組成物之任一者。所有層可使用ALD製程或CVD製程來塗覆。ALD製程及CVD製程可遍及氣體導管510(儘管其具有大深寬比)之內表面生長均勻厚度之保形塗層,同時確保最終多組分塗層亦可足夠薄以便不塞住噴頭中之氣體導管。
在一些實施例中,含鉺耐電漿陶瓷塗層可包含至少一個第一層、至少一個第二層、及可選地至少一個額外層之完整層。在一實施例中,該第一層、第二層及任一可選額外層可按預定順序交替。在另一實施例中,該第一層、第二層及任一可選額外層可以任意順序出現。在一些實施例中,可存在相等數目之該第一層、該第二層及任一可選額外層之每一者。在其他實施例中,一些層可比其他層更多以便獲得耐電漿陶瓷塗層之某些性質。某些性質可為將改進已塗覆之半導體處理腔室部件之耐久性的耐電漿性及耐侵蝕性/耐腐蝕性。
在一些實施例中,完整層可包含單層或均勻厚度之薄層。每個單層或薄層可具有在自約0.1奈米至約100奈米之範圍內變化之厚度。在其他實施例中,完整層可包含均勻厚度之厚層。每個厚層可具有在自約100奈米至約1微米之範圍內變化之厚度。在又一實施例中,完整層可包含單層、薄層及/或厚層之組合。
在其他實施例中,鉺基耐電漿陶瓷塗層可包含至少一個第一層、至少一個第二層、及可選地至少一個額外層之相互擴散固態相。在一實施例中,各層之相互擴散固態相可經由退火來獲得。層之組成物、層數、每個層之頻率及層之厚度將全部對鉺基耐電漿陶瓷塗層之最後性質有貢獻。
闡述以下實例以幫助理解本文所述的實施例,並且不應解釋為具體限制本文描述和要求保護的實施例。該等變化包括現在已知的或以後開發的將在一般技藝人士之權限範圍內之所有等同物之替換以及調配物之變化或實驗設計之微小變化,此等變化將認為是屬於本文所包括實施例之範圍。該等實例可藉由執行上述方法300或方法350來達成。實例 1- 自氧化鉺及氟化鉺層形成 Erx Oy Fz 塗層
第一層可為使用ALD自從上述所提及之氧化鉺前驅物之任一者中選出的前驅物或一對前驅物生長之氧化鉺單層。第二層可為使用ALD自氟化鉺前驅物生長之氟化鉺單層。可生長氧化鉺及/或氟化鉺之一或多個額外層。所得塗層在退火後可包含Erx Oy Fz ,其中X、Y及Z取決於氧化鉺層與氟化鉺層之比。實例 2- 自氧化鉺及氧化鋁層形成 Erx Aly Oz 塗層
第一層可為使用ALD自上述提到之氧化鉺前驅物或其他氧化鉺前驅物之一或多個生長的氧化鉺單層。第二層可為使用ALD自上述提到之氧化鋁前驅物或其他氧化鋁前驅物之任一者生長的氧化鋁單層。可生長一或多個額外氧化鉺層及/或氧化鋁層。所得塗層在退火後可包含Erx Aly Oz ,其中X、Y及Z取決於氧化鉺層與氧化鋁層之比。實例 3- 自氧化鉺及氧化鋯層形成 Erx Zry Oz 塗層
第一層可為使用ALD自上述提到之氧化鉺前驅物或其他氧化鉺前驅物之一或多個生長的氧化鉺單層。第二層可為使用ALD自上述提到之氧化鋯前驅物或其他氧化鋯前驅物之一或多個生長的氧化鋯單層。可生長一或多個額外氧化鉺層及/或氧化鋯層。所得塗層在退火後可包含Erx Zry Oz ,其中X、Y及Z取決於氧化鉺層與氧化鋯層之比。實例 4- 自氧化釔、氧化鋯及氧化鋁層形成 Era Zrx Aly Oz 塗層
第一層可為使用ALD自上述提到之氧化鉺前驅物或其他氧化鉺前驅物之一或多個生長的氧化鉺單層。第二層可為使用ALD自上述提到之氧化鋯前驅物或其他氧化鋯前驅物之一或多個生長的氧化鋯單層。第三層可為使用ALD自上述提到之氧化鋁前驅物或其他氧化鋁前驅物之任一者生長的氧化鋁單層。可生長氧化鉺、氧化鋯及/或氧化鋁之一或多個額外層。所得塗層在退火後可包含Era Zrx Aly Oz ,其中A、X、Y及Z取決於氧化鉺層、氧化鋁層與氧化鋯層之數目及比。實例 5- 自氧化釔及氧化鉺層形成 Yx Ery Oz 塗層
第一層可為使用ALD自上述提到之氧化鉺前驅物或其他氧化鉺前驅物之一或多個生長的氧化鉺單層。第二層可為使用ALD自上述提到之氧化釔前驅物或其他氧化釔前驅物之一或多個生長的氧化釔單層。可生長氧化鉺及/或氧化釔之一或多個額外層。所得塗層在退火後可包含Yx Ery Oz ,其中X、Y及Z取決於氧化鉺層與氧化釔層之比。實例 6- 自氧化釔、氧化鉺及氧化鋯層形成 Era Yx Zry Oz 塗層
第一層可為使用ALD自上述提到之氧化鉺前驅物或其他氧化鉺前驅物之一或多個生長的氧化鉺單層。第二層可為使用ALD自上述提到之氧化釔前驅物或其他氧化釔前驅物之一或多個生長的氧化釔單層。第三層可為使用ALD自上述提到之氧化鋯前驅物或其他氧化鋯前驅物之一或多個生長的氧化鋯單層。可生長氧化鉺、氧化釔及/或氧化鋯之一或多個額外層,所得塗層在退火後可包含Era Yx Zry Oz ,其中A、X、Y及Z取決於氧化鉺層、氧化釔層與氧化鋯層之數目及比。因此,所得鉺基耐電漿陶瓷塗層可為含有Y2 O3 、ZrO2 及Er2 O3 之混合物之單相固溶體。
6 為比較不同陶瓷每小時以微米為單位之電漿蝕刻侵蝕速率的圖表。如圖所示,當塊體Er2 O3 在2000瓦特之偏壓下暴露於CCl4 /CHF3 之電漿蝕刻化學物時,其侵蝕速率小於0.02 μm/hr。如圖所示,塊體Er2 O3 之蝕刻速率比Y2 O3 及YF3 之蝕刻速率更低。ALD及CVD沉積之Er2 O3 比塊體Er2 O3 更密集(例如,具有更低之孔隙率),並且亦具有比塊體Er2 O3 更低之蝕刻速率。
為了提供對本發明之若干實施例的良好理解,上文說明闡述了許多具體細節,例如具體系統、部件、方法等之實例。然而,對於一般技藝人士顯而易見的是,可以在無該等具體細節之情況下實踐本發明之至少一些實施例。在其他情況下,熟知部件或方法不進行詳細描述或以簡單方塊圖形式呈現以避免不必要地模糊本發明。因此,所闡述之具體細節僅為示範性的。具體實施方式可以與該等示範性細節不同,並且仍然被認為在本發明之範圍內。
貫穿本說明書之對「一個實施例」或「一實施例」之引用意謂關於該實施例描述之特定特徵、結構或特性歸入在至少一個實施例中。因此,在整個說明書中之各個地方出現之片語「在一個實施例中」或「在一實施例中」不一定都代表相同實施例。另外,術語「或」意謂包括性之「或」而非排他性之「或」。當術語「約」或「大約」在本文使用時,此意指出現之標稱值精確在±10%內。
儘管以特定順序示出和描述了本文方法之操作,但是可以改變每個方法之操作之順序,使得可以相反之順序執行某些操作,或者可以與其他操作至少部分地、同時地執行某些操作。在另一實施例中,不同操作之指令或子操作可以間歇的及/或交替方式進行。
應當理解,以上描述意謂說明而非限制。在閱讀和理解以上描述之後,許多其他實施例對於一般技藝人士將是顯而易見的。因此,本發明之範圍應當參考所附請求項以及該等請求項所賦予之等效物之全部範圍來確定。
102 腔室主體 104 噴頭基座 106 內部容積 108 側壁 110 底部 116 外襯墊 118 內襯墊 126 排氣口 128 泵系統 130 噴頭 132 孔 136 含鉺耐電漿陶瓷塗層 138 黏結劑 144 基板 146 環 148 基板支撐組件 150 靜電卡盤 152 支撐台座 158 氣體板 162 裝配板 164 導熱基座 166 靜電圓盤 168 導管 170 導管 172 流體源 174 嵌入式隔熱器 176 嵌入式加熱元件 178 加熱器電源 180 夾緊電極 184 功率源 186 射頻(RF)功率源 190 溫度感測器 192 溫度感測器 205 表面 210 製品 215 層 220 第二層 225 額外交替層 230 額外交替層 235 額外交替層 240 額外交替層 245 額外交替層 250 額外交替層 260 第一前驅物 270 第二前驅物 300 方法 305 方塊 310 方塊 320 方塊 325 方塊 330 方塊 350 方法 352 方塊 355 方塊 360 方塊 370 方塊 375 方塊 405 表面 410 製品 415 薄膜層 420 第一厚層 425 第二薄膜層 430 第二厚層 435 額外層 440 額外厚層 450 多組分塗層組成物 500 噴頭 505 底面 510 氣體導管 555 內表面 560 第一層 565 第二層
在以下附圖之圖中藉由實例而非限制之方式說明本揭示案,其中相同之元件符號指示相似元件。應當注意,在本揭示案中對「一」或「一個」實施例之不同引用不一定指同一實施例,並且該引用意指至少一個。
1 描繪了處理腔室之一個實施例之剖面圖。
2 描繪了根據實施例之根據各種原子層沉積技術及化學氣相沉積技術之沉積製程。
3A 圖示根據實施例之使用原子層沉積或化學氣相沉積任一者建立陶瓷塗層之方法。
3B 圖示根據一個實施例之使用原子層沉積建立陶瓷塗層之方法。
4A 4D 描繪根據不同實施例之多組分塗層組成之變化。
5A 描繪根據一實施例之腔室部件(噴頭)。
5B 描繪根據一實施例之具有大深寬比之氣體導管的分解視圖,其中該氣體導管之內部有塗層。
6 為比較不同陶瓷每小時以微米為單位之電漿蝕刻侵蝕速率之圖表。
(請換頁單獨記載) 無
300‧‧‧方法
305‧‧‧方塊
310‧‧‧方塊
320‧‧‧方塊
325‧‧‧方塊
330‧‧‧方塊

Claims (16)

  1. 一種塗覆腔室部件之方法,包含以下步驟:使用一化學氣相沉積(CVD)製程或一原子層沉積(ALD)製程在一腔室部件之一表面上沉積一多層堆疊;以及對包含該多層堆疊之該腔室部件進行退火,以將該多層堆疊轉變為一耐電漿陶瓷塗層,其中該耐電漿陶瓷塗層係選自由以下所組成之群組:一含鉺氟氧化物YwErxOyFz,其中w、x、y及z係經選擇以使得該含鉺氟氧化物YwErxOyFz含有高於0莫耳%至低於100莫耳%之Y2O3、YF3、Er2O3及ErF3中之三或更多者,及一含鉺氟化物YxEryFz,其中w、x、y及z係經選擇以使得該含鉺氟化物YxEryFz含有高於0莫耳%至低於100莫耳%之YF3及高於0莫耳%至低於100莫耳%之ErF3
  2. 如請求項1所述之方法,其中沉積該耐電漿陶瓷塗層之步驟包含以下步驟:使用ALD製程沉積一第一層,該第一層由Er2O3或ErF3組成;以及使用ALD製程沉積一第二層,該第二層由不同於該第一層之一材料組成,其中該第二層由Er2O3、Al2O3、 ErF3、Y2O3、或YF3組成。
  3. 如請求項2所述之方法,進一步包含以下步驟:使用ALD製程沉積一或多個額外層,該一或多個額外層之每一者由Er2O3、ErF3、Y2O3、或YF3之一者組成;其中該退火步驟導致該第一層、該第二層及該一或多個額外層相互擴散成一固態相。
  4. 如請求項1所述之方法,其中退火係於300℃至1000℃之溫度下執行。
  5. 如請求項1所述之方法,其中在其上沉積該耐電漿陶瓷塗層之該腔室部件之該表面具有在10:1與200:1之間的一深寬比。
  6. 如請求項1所述之方法,其中該耐電漿陶瓷塗層具有一零孔隙率。
  7. 如請求項1所述之方法,進一步包含以下步驟:在沉積該耐電漿陶瓷塗層之步驟之前,使用一酸性溶液清洗該腔室部件之該表面,該酸性溶液包含0.1-5體積%氫氟酸、0.1-20體積%鹽酸、或5-15體積%硝酸之至少一者,以改進該耐電漿陶瓷塗層對該腔室部件之一黏著。
  8. 如請求項1所述之方法,其中該腔室部件為一半導體處理腔室之一腔室部件,該腔室部件選自由一噴頭、一擴散器、一噴嘴及一氣體管線組成之一群組。
  9. 一種腔室部件,包含:一部分,該部分具有在10:1與200:1之間的一深寬比;以及一耐電漿陶瓷塗層,該耐電漿陶瓷塗層在該腔室部件之該部分之一表面上,其中該耐電漿陶瓷塗層係由以下所組成:一含鉺氟氧化物YwErxOyFz、或一含鉺氟化物YxEryFz,其中該耐電漿陶瓷塗層具有一約零孔隙率並具有一均勻厚度,該均勻厚度具有小於+/-5%之一厚度波動。
  10. 如請求項9所述之腔室部件,其中該製品為從由一噴頭、一擴散器、一噴嘴及一氣體管線組成之一組群中選出的一腔室部件。
  11. 如請求項9所述之腔室部件,其中該深寬比在50:1與200:1之間。
  12. 如請求項9所述之腔室部件,其中該耐電漿陶瓷塗層包含一多層堆疊,該多層堆疊包含: 一第一層,該第一層由Er2O3或ErF3組成;以及一第二層,該第二層由不同於該第一層之一材料組成,其中該第二層由Er2O3、ErF3、Y2O3、或YF3組成。
  13. 如請求項9所述之腔室部件,其中該耐電漿陶瓷塗層係由YwErxOyFz所組成,其中w、x、y及z係經選擇以使得該含鉺氟氧化物YwErxOyFz含有高於0莫耳%至低於100莫耳%之Y2O3、YF3、Er2O3及ErF3中之三或更多者。
  14. 如請求項9所述之腔室部件,其中該耐電漿陶瓷塗層係由YxEryFz所組成,其中w、x、y及z係經選擇以使得該含鉺氟化物YxEryFz含有高於0莫耳%至低於100莫耳%之YF3及高於0莫耳%至低於100莫耳%之ErF3
  15. 如請求項9所述之腔室部件,其中該耐電漿陶瓷塗層具有2奈米至1微米之厚度。
  16. 一種腔室部件,包含:一部分,該部分具有在10:1與200:1之間的一深寬比;以及一耐電漿陶瓷塗層,該耐電漿陶瓷塗層在該腔室部件之該部分之一表面上,其中該耐電漿陶瓷塗層具有一約零孔隙率並具有一均勻厚度,該均勻厚度具有小 於+/-5%之一厚度波動,且其中該耐電漿陶瓷塗層包含一多層堆疊,該多層堆疊包含:一第一層,該第一層實質上由Er2O3或ErF3組成;以及一第二層,該第二層由不同於該第一層之一材料組成,其中該第二層實質上由Er2O3、Al2O3、ErF3、Y2O3、YF3或ZrO2組成。
TW106101500A 2016-06-23 2017-01-17 鉺基耐電漿陶瓷塗層的非直視性沉積 TWI762463B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/191,269 2016-06-23
US15/191,269 US9850573B1 (en) 2016-06-23 2016-06-23 Non-line of sight deposition of erbium based plasma resistant ceramic coating

Publications (2)

Publication Number Publication Date
TW201809337A TW201809337A (zh) 2018-03-16
TWI762463B true TWI762463B (zh) 2022-05-01

Family

ID=60674665

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106101500A TWI762463B (zh) 2016-06-23 2017-01-17 鉺基耐電漿陶瓷塗層的非直視性沉積

Country Status (6)

Country Link
US (2) US9850573B1 (zh)
JP (2) JP6859371B2 (zh)
KR (2) KR102195757B1 (zh)
CN (2) CN116815158A (zh)
TW (1) TWI762463B (zh)
WO (1) WO2017222601A1 (zh)

Families Citing this family (210)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9828672B2 (en) 2015-03-26 2017-11-28 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11326253B2 (en) 2016-04-27 2022-05-10 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9850573B1 (en) * 2016-06-23 2017-12-26 Applied Materials, Inc. Non-line of sight deposition of erbium based plasma resistant ceramic coating
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10186400B2 (en) * 2017-01-20 2019-01-22 Applied Materials, Inc. Multi-layer plasma resistant coating by atomic layer deposition
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11289355B2 (en) 2017-06-02 2022-03-29 Lam Research Corporation Electrostatic chuck for use in semiconductor processing
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US20190078206A1 (en) * 2017-09-08 2019-03-14 Applied Materials, Inc. Fluorinated rare earth oxide ald coating for chamber productivity enhancement
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
US11761079B2 (en) 2017-12-07 2023-09-19 Lam Research Corporation Oxidation resistant protective layer in chamber conditioning
US10760158B2 (en) * 2017-12-15 2020-09-01 Lam Research Corporation Ex situ coating of chamber components for semiconductor processing
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
KR102655866B1 (ko) 2018-01-31 2024-04-05 램 리써치 코포레이션 정전 척 (electrostatic chuck, ESC) 페데스탈 전압 분리
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11086233B2 (en) * 2018-03-20 2021-08-10 Lam Research Corporation Protective coating for electrostatic chucks
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
EP3864188A1 (en) * 2018-10-11 2021-08-18 Fundación Tecnalia Research & Innovation A highly corrosion protective thin bi-layer stack for steel
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11629403B2 (en) * 2018-10-19 2023-04-18 Rosemount Aerospace Inc. Air data probe corrosion protection
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11562890B2 (en) 2018-12-06 2023-01-24 Applied Materials, Inc. Corrosion resistant ground shield of processing chamber
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US20220130705A1 (en) * 2019-02-22 2022-04-28 Lam Research Corporation Electrostatic chuck with powder coating
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US10858741B2 (en) * 2019-03-11 2020-12-08 Applied Materials, Inc. Plasma resistant multi-layer architecture for high aspect ratio parts
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
JP7427031B2 (ja) * 2019-05-22 2024-02-02 アプライド マテリアルズ インコーポレイテッド 高温腐食環境用の基板支持体カバー
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11540432B2 (en) * 2019-09-26 2022-12-27 Applied Materials, Inc. Ultrathin conformal coatings for electrostatic dissipation in semiconductor process tools
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20210150978A (ko) * 2020-06-03 2021-12-13 에이에스엠 아이피 홀딩 비.브이. 샤워 플레이트, 기판 처리 장치 및 기판 처리 방법
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202212615A (zh) * 2020-06-25 2022-04-01 美商葛林陀德科技公司 藉由ald沉積的混合、實質均勻塗層
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
US20220081282A1 (en) * 2020-09-17 2022-03-17 Applied Materials, Inc. Micro-electromechanical device for use in a flow control apparatus
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US20230097687A1 (en) * 2021-09-30 2023-03-30 Entegris, Inc. Additive manufactured articles having coated surfaces and related methods

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040151841A1 (en) * 2003-02-04 2004-08-05 Trickett Douglas M. Method for coating internal surface of plasma processing chamber
TW200704823A (en) * 2005-07-29 2007-02-01 Tocalo Co Ltd Y2O3 spray-coated member and production method thereof
US20080032115A1 (en) * 2004-10-18 2008-02-07 Nihon Ceratec Co., Ltd. Corrosion-Resistant Member and Method for Manufacture Thereof
TW201030891A (en) * 2008-11-10 2010-08-16 Applied Materials Inc Plasma resistant coatings for plasma chamber components
US20160042924A1 (en) * 2014-08-08 2016-02-11 Applied Materials, Inc. Plasma generation chamber with smooth plasma resistant coating

Family Cites Families (126)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03115535A (ja) 1989-09-28 1991-05-16 Nippon Mining Co Ltd 希土類金属の酸素低減方法
US5805973A (en) 1991-03-25 1998-09-08 General Electric Company Coated articles and method for the prevention of fuel thermal degradation deposits
US5322813A (en) 1992-08-31 1994-06-21 International Business Machines Corporation Method of making supersaturated rare earth doped semiconductor layers by chemical vapor deposition
US5630314A (en) 1992-09-10 1997-05-20 Hitachi, Ltd. Thermal stress relaxation type ceramic coated heat-resistant element
US5758858A (en) 1996-03-15 1998-06-02 Barnes; Renny H. Paralleling device and method of using
JP3362113B2 (ja) 1997-07-15 2003-01-07 日本碍子株式会社 耐蝕性部材、ウエハー設置部材および耐蝕性部材の製造方法
US6129029A (en) 1998-08-21 2000-10-10 Watson; Ronald R. Method and apparatus for accessing safe deposit box
US6432256B1 (en) 1999-02-25 2002-08-13 Applied Materials, Inc. Implanatation process for improving ceramic resistance to corrosion
JP3510993B2 (ja) 1999-12-10 2004-03-29 トーカロ株式会社 プラズマ処理容器内部材およびその製造方法
FI117979B (fi) 2000-04-14 2007-05-15 Asm Int Menetelmä oksidiohutkalvojen valmistamiseksi
US6685991B2 (en) 2000-07-31 2004-02-03 Shin-Etsu Chemical Co., Ltd. Method for formation of thermal-spray coating layer of rare earth fluoride
EP1239055B1 (en) 2001-03-08 2017-03-01 Shin-Etsu Chemical Co., Ltd. Thermal spray spherical particles, and sprayed components
JP2002356387A (ja) 2001-03-30 2002-12-13 Toshiba Ceramics Co Ltd 耐プラズマ性部材
JP2002306957A (ja) 2001-04-11 2002-10-22 Matsushita Electric Ind Co Ltd プラズマ処理装置
JP4277973B2 (ja) 2001-07-19 2009-06-10 日本碍子株式会社 イットリア−アルミナ複合酸化物膜の製造方法、イットリア−アルミナ複合酸化物膜および耐蝕性部材
JP4921652B2 (ja) 2001-08-03 2012-04-25 エイエスエム インターナショナル エヌ.ヴェー. イットリウム酸化物およびランタン酸化物薄膜を堆積する方法
US20030029563A1 (en) 2001-08-10 2003-02-13 Applied Materials, Inc. Corrosion resistant coating for semiconductor processing chamber
US7371467B2 (en) 2002-01-08 2008-05-13 Applied Materials, Inc. Process chamber component having electroplated yttrium containing coating
US20080213496A1 (en) * 2002-02-14 2008-09-04 Applied Materials, Inc. Method of coating semiconductor processing apparatus with protective yttrium-containing coatings
DE10208450B4 (de) 2002-02-27 2004-09-16 Infineon Technologies Ag Verfahren zum Abscheiden dünner Schichten mittels ALD/CVD-Prozessen in Verbindung mit schnellen thermischen Prozessen
JP2003277051A (ja) 2002-03-22 2003-10-02 Ngk Insulators Ltd イットリア−アルミナ複合酸化物膜を有する積層体、イットリア−アルミナ複合酸化物膜、耐蝕性部材、耐蝕性膜およびイットリア−アルミナ複合酸化物膜の製造方法
EP1386979B1 (en) 2002-08-02 2008-03-05 Fujikura Ltd. Method of producing polycrystalline thin film and method of producing an oxide superconducting element
US6902628B2 (en) * 2002-11-25 2005-06-07 Applied Materials, Inc. Method of cleaning a coated process chamber component
TW200423195A (en) 2002-11-28 2004-11-01 Tokyo Electron Ltd Internal member of a plasma processing vessel
US20040136681A1 (en) 2003-01-10 2004-07-15 Novellus Systems, Inc. Erbium-doped oxide glass
WO2004095530A2 (en) 2003-03-31 2004-11-04 Tokyo Electron Limited Adjoining adjacent coatings on an element
US6866886B2 (en) * 2003-04-02 2005-03-15 Battelle Memorial Institute Method of coating the interior surface of hollow objects with a diffusion coating
KR100618630B1 (ko) 2003-10-24 2006-09-13 도시바세라믹스가부시키가이샤 내플라즈마 부재 및 그 제조방법 및 열분사막 형성방법
JP4606121B2 (ja) 2004-01-29 2011-01-05 京セラ株式会社 耐食膜積層耐食性部材およびその製造方法
JP2006082474A (ja) 2004-09-17 2006-03-30 Tosoh Corp 樹脂部材
JP2006186306A (ja) 2004-09-30 2006-07-13 Toshiba Ceramics Co Ltd ガス拡散プレートおよびその製造方法
JP5137304B2 (ja) 2004-10-18 2013-02-06 株式会社日本セラテック 耐食性部材およびその製造方法
KR101226120B1 (ko) 2004-10-26 2013-01-24 쿄세라 코포레이션 내식성 부재 및 그 제조방법
US7208044B2 (en) 2004-11-24 2007-04-24 Mark A. Zurbuchen Topotactic anion exchange oxide films and method of producing the same
US7608151B2 (en) * 2005-03-07 2009-10-27 Sub-One Technology, Inc. Method and system for coating sections of internal surfaces
US7544398B1 (en) * 2005-04-26 2009-06-09 The Regents Of The Univesity Of California Controlled nano-doping of ultra thin films
KR100915722B1 (ko) 2005-06-23 2009-09-04 도쿄엘렉트론가부시키가이샤 반도체 처리 장치용의 구성 부재 및 그 제조 방법, 및반도체 처리 장치
US7968205B2 (en) 2005-10-21 2011-06-28 Shin-Etsu Chemical Co., Ltd. Corrosion resistant multilayer member
JP2007217782A (ja) 2006-02-20 2007-08-30 Showa Denko Kk 希土類元素のフッ化物皮膜を有する耐食性皮膜およびその製造方法
US7582549B2 (en) 2006-08-25 2009-09-01 Micron Technology, Inc. Atomic layer deposited barium strontium titanium oxide films
JP4546447B2 (ja) 2006-12-22 2010-09-15 トーカロ株式会社 耐プラズマエロージョン性に優れる溶射皮膜被覆部材およびその製造方法
JP5252613B2 (ja) 2006-12-25 2013-07-31 国立大学法人東北大学 イオン注入装置およびイオン注入方法
TWI654159B (zh) * 2007-04-27 2019-03-21 美商應用材料股份有限公司 減小曝露於含鹵素電漿下之表面腐蝕速率的方法與設備
US7696117B2 (en) * 2007-04-27 2010-04-13 Applied Materials, Inc. Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
US10622194B2 (en) 2007-04-27 2020-04-14 Applied Materials, Inc. Bulk sintered solid solution ceramic which exhibits fracture toughness and halogen plasma resistance
KR101157528B1 (ko) * 2007-07-31 2012-06-22 도쿄엘렉트론가부시키가이샤 노즐 부재 및 그의 제조 방법
US8367227B2 (en) * 2007-08-02 2013-02-05 Applied Materials, Inc. Plasma-resistant ceramics with controlled electrical resistivity
US8252410B2 (en) 2007-09-05 2012-08-28 Applied Materials, Inc. Ceramic cover wafers of aluminum nitride or beryllium oxide
US8129029B2 (en) 2007-12-21 2012-03-06 Applied Materials, Inc. Erosion-resistant plasma chamber components comprising a metal base structure with an overlying thermal oxidation coating
CN101469409B (zh) * 2007-12-27 2011-04-20 北京有色金属研究总院 一种由氧化铝和氧化铒构成的阻氢涂层及其制备方法
WO2009149372A1 (en) * 2008-06-05 2009-12-10 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Preparation of lanthanide-containing precursors and deposition of lanthanide-containing films
US9222172B2 (en) 2008-08-20 2015-12-29 Applied Materials, Inc. Surface treated aluminum nitride baffle
US8858745B2 (en) 2008-11-12 2014-10-14 Applied Materials, Inc. Corrosion-resistant bonding agents for bonding ceramic components which are exposed to plasmas
US9017765B2 (en) 2008-11-12 2015-04-28 Applied Materials, Inc. Protective coatings resistant to reactive plasma processing
WO2010097280A1 (en) * 2009-02-27 2010-09-02 Unilever Plc A spray nozzle
TW201100578A (en) 2009-06-19 2011-01-01 Saint Gobain Ceramics & Plastics Inc Sealed plasma coatings
WO2011049938A2 (en) 2009-10-20 2011-04-28 Saint-Gobain Ceramics & Plastics, Inc. Microelectronic processing component having a corrosion-resistant layer, microelectronic workpiece processing apparatus incorporating same, and method of forming an article having the corrosion-resistant layer
WO2011150311A1 (en) 2010-05-28 2011-12-01 Praxair Technology, Inc. Substrate supports for semiconductor applications
US8916021B2 (en) 2010-10-27 2014-12-23 Applied Materials, Inc. Electrostatic chuck and showerhead with enhanced thermal properties and methods of making thereof
KR20130025025A (ko) 2011-09-01 2013-03-11 주식회사 코미코 정전척
JP6034156B2 (ja) * 2011-12-05 2016-11-30 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
TWI432096B (zh) * 2011-12-27 2014-03-21 Ind Tech Res Inst 燈管控制系統、燈管節能系統及其節能方法
US9212099B2 (en) 2012-02-22 2015-12-15 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
US9090046B2 (en) 2012-04-16 2015-07-28 Applied Materials, Inc. Ceramic coated article and process for applying ceramic coating
US9394615B2 (en) 2012-04-27 2016-07-19 Applied Materials, Inc. Plasma resistant ceramic coated conductive article
CN104364887B (zh) 2012-05-22 2017-09-22 株式会社东芝 等离子体处理装置用部件和等离子体处理装置用部件的制造方法
US8846543B2 (en) * 2012-05-24 2014-09-30 Jinhong Tong Methods of atomic layer deposition of hafnium oxide / erbium oxide bi-layer as advanced gate dielectrics
US9343289B2 (en) 2012-07-27 2016-05-17 Applied Materials, Inc. Chemistry compatible coating material for advanced device on-wafer particle performance
CN103794445B (zh) 2012-10-29 2016-03-16 中微半导体设备(上海)有限公司 用于等离子体处理腔室的静电夹盘组件及制造方法
CN103794458B (zh) * 2012-10-29 2016-12-21 中微半导体设备(上海)有限公司 用于等离子体处理腔室内部的部件及制造方法
CN103794460B (zh) 2012-10-29 2016-12-21 中微半导体设备(上海)有限公司 用于半导体装置性能改善的涂层
US9556507B2 (en) * 2013-03-14 2017-01-31 Applied Materials, Inc. Yttria-based material coated chemical vapor deposition chamber heater
US9887121B2 (en) * 2013-04-26 2018-02-06 Applied Materials, Inc. Protective cover for electrostatic chuck
GB2513575B (en) * 2013-04-29 2017-05-31 Keronite Int Ltd Corrosion and erosion-resistant mixed oxide coatings for the protection of chemical and plasma process chamber components
US9666466B2 (en) * 2013-05-07 2017-05-30 Applied Materials, Inc. Electrostatic chuck having thermally isolated zones with minimal crosstalk
US9708713B2 (en) * 2013-05-24 2017-07-18 Applied Materials, Inc. Aerosol deposition coating for semiconductor chamber components
US9850568B2 (en) * 2013-06-20 2017-12-26 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US9711334B2 (en) 2013-07-19 2017-07-18 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based thin film coatings on process rings
US9583369B2 (en) * 2013-07-20 2017-02-28 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles
US9624593B2 (en) 2013-08-29 2017-04-18 Applied Materials, Inc. Anodization architecture for electro-plate adhesion
US10468235B2 (en) * 2013-09-18 2019-11-05 Applied Materials, Inc. Plasma spray coating enhancement using plasma flame heat treatment
US9440886B2 (en) 2013-11-12 2016-09-13 Applied Materials, Inc. Rare-earth oxide based monolithic chamber material
US9725799B2 (en) 2013-12-06 2017-08-08 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
SG10201806706VA (en) 2014-02-07 2018-09-27 Entegris Inc Electrostatic chuck and method of making same
JPWO2015151857A1 (ja) 2014-03-31 2017-04-13 株式会社東芝 耐プラズマ部品及び耐プラズマ部品の製造方法及び耐プラズマ部品の製造に用いる膜堆積装置
US9869013B2 (en) * 2014-04-25 2018-01-16 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
US9976211B2 (en) 2014-04-25 2018-05-22 Applied Materials, Inc. Plasma erosion resistant thin film coating for high temperature application
US20150311043A1 (en) * 2014-04-25 2015-10-29 Applied Materials, Inc. Chamber component with fluorinated thin film coating
US10730798B2 (en) * 2014-05-07 2020-08-04 Applied Materials, Inc. Slurry plasma spray of plasma resistant ceramic coating
US10196728B2 (en) 2014-05-16 2019-02-05 Applied Materials, Inc. Plasma spray coating design using phase and stress control
US9551070B2 (en) 2014-05-30 2017-01-24 Applied Materials, Inc. In-situ corrosion resistant substrate support coating
CN105225997B (zh) 2014-06-12 2018-01-23 中微半导体设备(上海)有限公司 一种静电夹盘及静电夹盘的制造方法
US10266943B2 (en) 2014-06-27 2019-04-23 Applied Materials, Inc. Plasma corrosion resistive heater for high temperature processing
KR20160030812A (ko) 2014-09-11 2016-03-21 삼성전자주식회사 플라즈마 처리 장치
US10141582B2 (en) 2014-12-22 2018-11-27 Sonata Scientific LLC SOFC interconnect barriers and methods of making same
WO2016131024A1 (en) 2015-02-13 2016-08-18 Entegris, Inc. Coatings for enhancement of properties and performance of substrate articles and apparatus
EP3271494A1 (en) 2015-03-18 2018-01-24 Entegris, Inc. Articles coated with fluoro-annealed films
US9790582B2 (en) 2015-04-27 2017-10-17 Lam Research Corporation Long lifetime thermal spray coating for etching or deposition chamber application
US20160358749A1 (en) * 2015-06-04 2016-12-08 Lam Research Corporation Plasma etching device with plasma etch resistant coating
US20160379806A1 (en) 2015-06-25 2016-12-29 Lam Research Corporation Use of plasma-resistant atomic layer deposition coatings to extend the lifetime of polymer components in etch chambers
US20160375515A1 (en) 2015-06-29 2016-12-29 Lam Research Corporation Use of atomic layer deposition coatings to protect brazing line against corrosion, erosion, and arcing
US20170040146A1 (en) 2015-08-03 2017-02-09 Lam Research Corporation Plasma etching device with plasma etch resistant coating
KR101916872B1 (ko) 2015-10-15 2018-11-08 아이원스 주식회사 반도체 공정 장비 부품의 코팅층 재생 방법 및 이에 따른 반도체 공정 장비 부품
JP2017092156A (ja) 2015-11-03 2017-05-25 ナショナル チュン−シャン インスティテュート オブ サイエンス アンド テクノロジー 高密度のプラズマ及び高温の半導体製造プロセスに用いられる窒化アルミニウムの静電チャンク
KR101817779B1 (ko) * 2015-12-31 2018-01-11 (주)코미코 내플라즈마 코팅막 및 이의 형성방법
US10612121B2 (en) * 2016-03-14 2020-04-07 Applied Materials, Inc. Plasma resistant coating with tailorable coefficient of thermal expansion
US20170291856A1 (en) * 2016-04-06 2017-10-12 Applied Materials, Inc. Solution precursor plasma spray of ceramic coating for semiconductor chamber applications
US11326253B2 (en) * 2016-04-27 2022-05-10 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
US9850573B1 (en) * 2016-06-23 2017-12-26 Applied Materials, Inc. Non-line of sight deposition of erbium based plasma resistant ceramic coating
US20180016678A1 (en) * 2016-07-15 2018-01-18 Applied Materials, Inc. Multi-layer coating with diffusion barrier layer and erosion resistant layer
US10186400B2 (en) * 2017-01-20 2019-01-22 Applied Materials, Inc. Multi-layer plasma resistant coating by atomic layer deposition
US10975469B2 (en) * 2017-03-17 2021-04-13 Applied Materials, Inc. Plasma resistant coating of porous body by atomic layer deposition
US10563303B2 (en) * 2017-05-10 2020-02-18 Applied Materials, Inc. Metal oxy-flouride films based on oxidation of metal flourides
US10755900B2 (en) * 2017-05-10 2020-08-25 Applied Materials, Inc. Multi-layer plasma erosion protection for chamber components
US20180337026A1 (en) * 2017-05-19 2018-11-22 Applied Materials, Inc. Erosion resistant atomic layer deposition coatings
US20190136372A1 (en) * 2017-08-14 2019-05-09 Applied Materials, Inc. Atomic layer deposition coatings for high temperature heaters
US20190078199A1 (en) * 2017-09-08 2019-03-14 Applied Materials, Inc. Rare-earth-based oxyfluoride ald coating for chamber productivity enhancement
US11279656B2 (en) * 2017-10-27 2022-03-22 Applied Materials, Inc. Nanopowders, nanoceramic materials and methods of making and use thereof
US10766824B2 (en) * 2017-11-08 2020-09-08 Applied Materials, Inc. Methods of minimizing particles on wafer from plasma spray coatings
US10748774B2 (en) * 2017-11-30 2020-08-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10443126B1 (en) * 2018-04-06 2019-10-15 Applied Materials, Inc. Zone-controlled rare-earth oxide ALD and CVD coatings
US10957572B2 (en) * 2018-05-02 2021-03-23 Applied Materials, Inc. Multi-zone gasket for substrate support assembly
US11639547B2 (en) * 2018-05-03 2023-05-02 Applied Materials, Inc. Halogen resistant coatings and methods of making and using thereof
US11401599B2 (en) * 2018-06-18 2022-08-02 Applied Materials, Inc. Erosion resistant metal silicate coatings
US11667575B2 (en) * 2018-07-18 2023-06-06 Applied Materials, Inc. Erosion resistant metal oxide coatings
US20200024735A1 (en) * 2018-07-18 2020-01-23 Applied Materials, Inc. Erosion resistant metal fluoride coatings deposited by atomic layer deposition

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040151841A1 (en) * 2003-02-04 2004-08-05 Trickett Douglas M. Method for coating internal surface of plasma processing chamber
US20080032115A1 (en) * 2004-10-18 2008-02-07 Nihon Ceratec Co., Ltd. Corrosion-Resistant Member and Method for Manufacture Thereof
TW200704823A (en) * 2005-07-29 2007-02-01 Tocalo Co Ltd Y2O3 spray-coated member and production method thereof
TW201030891A (en) * 2008-11-10 2010-08-16 Applied Materials Inc Plasma resistant coatings for plasma chamber components
US20160042924A1 (en) * 2014-08-08 2016-02-11 Applied Materials, Inc. Plasma generation chamber with smooth plasma resistant coating

Also Published As

Publication number Publication date
JP7134283B2 (ja) 2022-09-09
KR20200143533A (ko) 2020-12-23
US9850573B1 (en) 2017-12-26
CN116815158A (zh) 2023-09-29
JP2019522113A (ja) 2019-08-08
KR20190009429A (ko) 2019-01-28
CN109417021B (zh) 2023-07-18
KR102308849B1 (ko) 2021-10-01
US20170369993A1 (en) 2017-12-28
CN109417021A (zh) 2019-03-01
JP2021107580A (ja) 2021-07-29
US20180094348A1 (en) 2018-04-05
KR102195757B1 (ko) 2020-12-28
TW201809337A (zh) 2018-03-16
US10676819B2 (en) 2020-06-09
JP6859371B2 (ja) 2021-04-14
WO2017222601A1 (en) 2017-12-28

Similar Documents

Publication Publication Date Title
TWI762463B (zh) 鉺基耐電漿陶瓷塗層的非直視性沉積
JP7454612B2 (ja) 半導体処理チャンバコンポーネント用の保護コーティングの原子層堆積
JP7481317B2 (ja) 原子層堆積による多層耐プラズマ性コーティング
JP7296698B2 (ja) 原子層堆積による多孔質体の耐プラズマ性コーティング
US20180337026A1 (en) Erosion resistant atomic layer deposition coatings
US11180847B2 (en) Atomic layer deposition coatings for high temperature ceramic components
TWI811232B (zh) 用於高溫加熱器的原子層沉積塗層
TW201920744A (zh) 用於腔室產量提升之稀土基氧氟化物原子層沉積塗層