KR20090018080A - 유전체 필름의 형성 방법, 신규 전구체 및 그의 반도체 제조에서의 용도 - Google Patents

유전체 필름의 형성 방법, 신규 전구체 및 그의 반도체 제조에서의 용도 Download PDF

Info

Publication number
KR20090018080A
KR20090018080A KR1020087029349A KR20087029349A KR20090018080A KR 20090018080 A KR20090018080 A KR 20090018080A KR 1020087029349 A KR1020087029349 A KR 1020087029349A KR 20087029349 A KR20087029349 A KR 20087029349A KR 20090018080 A KR20090018080 A KR 20090018080A
Authority
KR
South Korea
Prior art keywords
mecp
formula
nme
etcp
net
Prior art date
Application number
KR1020087029349A
Other languages
English (en)
Inventor
크리스띠앙 뒤싸라뜨
니꼴라스 블라스코
오드리 핀샤트
크리스토프 라쇼
Original Assignee
레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=37192518&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=KR20090018080(A) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by 레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 filed Critical 레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드
Publication of KR20090018080A publication Critical patent/KR20090018080A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F17/00Metallocenes
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic System
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic System
    • C07F7/003Compounds containing elements of Groups 4 or 14 of the Periodic System without C-Metal linkages
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/308Oxynitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/403Oxides of aluminium, magnesium or beryllium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/407Oxides of zinc, germanium, cadmium, indium, tin, thallium or bismuth
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02142Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides
    • H01L21/02148Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides the material containing hafnium, e.g. HfSiOx or HfSiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02142Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides
    • H01L21/02159Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides the material containing zirconium, e.g. ZrSiOx
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02189Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing zirconium, e.g. ZrO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02194Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing more than one metal element
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3141Deposition using atomic layer deposition techniques [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31641Deposition of Zirconium oxides, e.g. ZrO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31645Deposition of Hafnium oxides, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02192Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing at least one rare earth metal element, e.g. oxides of lanthanides, scandium or yttrium

Abstract

본 발명은
- 단계 a) 기판을 반응 챔버에 제공하는 단계;
- 단계 b) 하기 화학식 II의 1종 이상의 M1 금속 함유 전구체를 증발시켜 제1 기체 상 금속 공급원을 형성하는 단계; 및
- 단계 c) 상기 제1 기체 상 금속 공급원 및 임의의 상기 제2 기체 상 금속 공급원을 상기 기판과 접촉시키기 위해 반응 챔버에 도입하여 하기 정의된 바와 같은 화학식 I의 화합물을 포함하는 금속 함유 유전체 필름을 상기 기판 상에 침착시키는 단계를 포함하는, 하기 화학식 I의 화합물을 포함하는 금속 함유 유전체 필름의 기판 상 침착 방법에 관한 것이다.
<화학식 I>
(M1 1-aM2 a)ObNc
<화학식 II>
(R1 yOp)x (R2 tCp)z M1R'4-x-z
(상기 식에서,
0 ≤ a < 1;
0 < b ≤ 3;
O ≤ c ≤ 1;
M1은 (Hf), (Zr) 및 (Ti)으로부터 선택된 금속을 나타내고;
M2는 금속 원자를 나타내고;
0 ≤ x ≤ 3, 바람직하게는 x = 0 또는 1;
0 ≤ z ≤ 3, 바람직하게는 z = 1 또는 2;
1 ≤ (x + z) ≤ 4;
0 ≤ y ≤ 7, 바람직하게는 y = 2, 0 ≤ t ≤ 5, 바람직하게는 t = 1;
(R1 yOp)는 비치환되거나, 치환된 펜타디에닐 리간드를 나타내고;
(R2 tCp)는 비치환되거나, 치환된 시클로펜타디에닐 (Cp) 리간드를 나타낸다. 또한, 본 발명은 x = 0, z = 1 및 R'은 N(R39)(R40) 기를 나타내는 제1항에 기재된 바와 같은 화학식 II에 상응하는 하기 화학식 II1의 화합물에 관한 것이다.
<화학식 II1>
(R2 tCp)M1[N(R39)(R40)]3
금속 함유 유전체 필름, 침착 방법

Description

유전체 필름의 형성 방법, 신규 전구체 및 그의 반도체 제조에서의 용도 {METHOD OF FORMING DIELECTRIC FILMS, NEW PRECURSORS AND THEIR USE IN THE SEMI-CONDUCTOR MANUFACTURING}
본 발명은 고-k 유전체 필름, 예컨대 하프늄 또는 지르코늄 옥시드 또는 옥시니트라이드의 형성 방법 및 그의 반도체 제조에서의 용도에 관한 것이다.
차세대 반도체 장치의 임계 치수 축소와 관련하여, 특히 고 유전 상수를 갖는 신규 물질의 도입이 요구되고 있다. CMOS 아키텍쳐(architecture)에서는, 전형적으로 약 1 nm의 SiO2 등가물 두께를 갖는 고-k 유전체가 그의 물리적 한계에 도달한 SiO2를 대신할 필요가 있다.
유사하게, 고-k 유전체가 RAM용 금속-절연체-금속 아키텍쳐에서 요구된다. 다양한 금속 조성물이 재료의 요건 (유전 상수, 누출 전류, 결정화 온도, 전하 트래핑) 및 집적화 요건 (계면에서의 열 안정성, 건식 에칭 가능성 등)을 모두 만족시키기 위해서 고려되었다.
IV족 기재 물질, 예컨대 HfO2, HfSiO4, ZrO2, ZrSiO4, HfZrO4, HfLnOx (Ln은 스칸듐, 이트륨 및 희토류 원소를 포함하는 군으로부터 선택됨) 및 보다 일반적으 로는 HfMOx 및 ZrMOx (M은 II족, IIIa족 및 IIIb족 또는 전이 금속으로부터 선택된 원소임)가 가장 전도유망한 물질이다. 또한, 중간-갭 금속 게이트를 위한 TiN 및 MIM 전극을 위한 HfN, ZrN, HfSi, ZrSi, HfSiN, ZrSiN, TiSiN과 같이, IV족 금속 조성물이 전극 및/또는 Cu 확산 장벽 용도를 위해 고려될 수 있다.
적당한 처리량 및 허용가능한 순도로 이러한 박막의 침착을 가능하게 하는 주된 산업상 선택은 기상 증착 기술, 예컨대 MOCVD (금속-유기 화합물 화학 증착) 또는 ALD (원자층 침착)이다. 이러한 침착 방법은 적절한 산업 용도를 위한 철저한 요건을 충족시켜야 하는 금속 전구체를 필요로 한다. 금속-유기 화합물 또는 금속-할라이드 전구체가 이러한 방법에 요구된다. 다양한 하프늄 및 지르코늄 금속-유기 화합물이 이러한 침착을 가능하게 하는 전구체로서 고려되어 왔다.
HfCl4, ZrCl4와 같은 할라이드가 가장 일반적인 Hf/Zr 전구체이며, 광범위하게 기재되어 왔다. 킴(Kim) 등은 ALD에 의한 HfO2의 침착을 위한 HfCl4의 용도를 개시하였다 (문헌 [Kim et al., Electrochem Soc Proceedings 2005-05, 397, 2005]). 그러나 침착 공정 동안 발생된 일부 부산물, 예컨대 HCl 또는 Cl2가 표면/계면을 거칠게 만들어 최종 특성에 불리한 영향을 미칠 수 있다. 사용된 산소 공급원에 따라 다른 가능한 부산물은 위험할 수 있다. 예를 들어, QMS에 의한 OCl 단편을 통한 OCl2가 HfCl4와 O3 사이의 반응의 부산물로서 검출되었다. 또한, 고-k 옥시드의 경우, Cl 또는 F 불순물이 최종 전기 특성에 매우 불리한 영향을 미친다.
트리요소(Triyoso) 등 및 창(Chang) 등은 각각 HfO2 MOCVD 및 ALD를 위한 Hf(OtBu)4의 용도에 대해 연구하였다 [Triyoso et al.; J. Electrochem. Soc, 152(3), G203 - G209 (2005); Chang et al.; Electrochem. Solid. State Let., 7(6), F42-F44 (2004)]. 윌리엄스(Williams) 등은 HfO2의 MOCVD에 대하여 Hf(mmp)4 및 Hf(OtBu)2(mmp)2를 평가하였다. WO2003035926호에서, 존스(Jones) 등은 Zr 및 Hf 알콕시드 화합물의 올리고머화를 억제하고, 이들의 수분에 대한 안정성을 증가시키는데 도움을 주는 공여체 관능화 알콕시 리간드 (1-메톡시-2-메틸-2-프로판올레이트 [OCMe2CH2OMe, mmp])로 개선된 고체 Ti, Hf, Zr 및 La 전구체를 개시하였다. 그러나, 이러한 모든 알콕시드 전구체는 포터(Potter) 등에 의해 제안된 바와 같은 ALD 방법에서 자기-제한 침착이 가능하지 않다는 단점을 갖는다 (문헌 [RJ. Potter, P.R.Chalker, T.D. Manning, H.C.Aspinall, Y.F.Loo, A.C.Jones, L. M. Smith, G.W.Critchlow, M.Schumacher, Chem. Vap. Deposition, 2005, 11 , N°3, 159-167] 참조).
알킬아미드 전구체, 예컨대 Hf(NEtMe)4, Hf(NMe2)4, Hf(NEt2)4는 문헌 [Senzaki et al, J. Vac. Sci. Technol. A 22(4) Jul/Aug 2004; Haussmann et al, Chem. Mater. 2002, 14, 4350-4353; Kawahara et al., J. Appl. Phys., VoI 43, N°7A, 2004, pp 4129-4134; Hideaki et al., JP 2002-093804; Metzner et al. US 6,858,547; Dip et al. US 2005/0056219 A1]에 광범위하게 개시되어 있다. IV족 알킬아미드는 ALD와 MOCVD 방법에 모두 적합하다. 또한, 일부는 실온에서 액체이고 (Hf(NEt2)4 및 Hf(NEtMe)4), 충분한 휘발성을 가지며, 제한된 열 소모비용 공정에 대해 저온에서 자기-제한 ALD를 허용한다. 그러나, IV족 알킬아미드, 알킬아미드, 특히 Zr 화합물은 몇가지 단점을 가지며, 그러한 단점은 이들 화합물이 분배 동안 공급 라인 또는 증발기를 막히게 할 수 있을 정도로 분해될 수 있고, 침착 동안 입자를 생성할 수 있고, 딥 트렌치 침착 공정(deep trench deposition process) 동안 불균일한 조성물을 수반할 수 있으며, 좁은 자기-제한 ALD 온도 범위만을 허용하여 공정 범위를 감소시킬 수 있다는 것이다. 특히, Zr(NEtMe)4는 분배 라인에서 분해하고, 일반적인 분배 온도인 170℃ 초과에서 입자를 생성할 수 있다. Hf(NEtMe)4는 보다 열적으로 안정하지만, 300℃ 초과에서 열 분해로 인하여 자기-제한 원자층 침착을 제공하지 않는다.
WO 2007/055088호에서, 테나판(Thenappan) 등은 하프늄 및 지르코늄 구아니디네이트 착물 및 이들의 기상 증착에서의 용도를 개시하였다. Hf(NEt2)2[(NiPr-CNEt2]2가 예로서 제공된다. 그러나, 하프늄 및 지르코늄 구아니디네이트는 일반적으로 매우 제한된 휘발성을 갖는 고체이다. 열 중량 분석에서 예시된 바와 같이, 당업자는 열 분해 및 후속적인 입자 생성의 위험없이는 Hf(NEt2)2[(NiPr-CNEt2]2를 증기상으로 얻을 수 없다.
렌(Lehn) 등 (문헌 [Chem. Vap. Deposition, 2006, 12, 280-284])은 테트라 키스(트리메틸히드라지도) 지르코늄 [Zr(NMeNMe2)4] 및 하프늄 및 이들의 저온 CVD를 위한 용도를 개시하였다. 예시된 화합물은 허용가능한 휘발성 (0.06 Torr, 90℃하에 승화하는 것으로 보고됨)을 갖지만, 실온에서 고체이다.
카르타(Carta) 등은 비스(시클로펜타디에닐)비스디메틸 하프늄, [HfCp2Me2]의 용도를 개시하고 (문헌 [Carta et al., Electrochem Soc Proceedings, 260, 2005-09, 2005]), 몇몇의 발명자들은 (문헌 [Codato et al., Chem Vapor Deposition, 159, 5, 1995]; [Putkonen et al., J Mater Chem, 3141, 11, 2001]; [Niinisto et al., Langmuir, 7321, 21, 2005]) 하프늄 및 지르코늄 알킬아미드에 대한 대안으로서 400℃ 이하의 ALD 범위를 갖는 효과적인 ALD 침착 공정, 및 공반응물로서 H2O를 이용한 최적화된 조건하에 0.2% 미만의 C를 갖는 필름의 생성을 허용하는 신규 Zr 및 Hf 화합물 군 (비스(시클로펜타디에닐) 비스디메틸 하프늄, 비스(시클로펜타디에닐) 비스디메틸 지르코늄)을 제안하였다. 그러나, HfCp2Me2와 ZrCp2Me2 둘다 실온에서 고체 생성물이라는 단점을 갖고 있다 (HfCp2Me2 융점은 57.5℃임). 이것은 IC 제조자가 상기 전구체들을 산업적 방법으로 사용하는 것을 막아서, 즉 비편재화된 용기 충전의 사용을 막아서, 용이성 및 공정 문제를 모두 수반한다.
US 6,743,473호에서, 파케(Parkhe) 등은 (Cp(R)n)xMHy-x (여기서, M은 탄탈, 바나듐, 니오브 및 하프늄으로부터 선택되고, Cp는 시클로펜타디에닐이고, R은 유 기기임)를 사용하여 금속 및/또는 금속 니트라이드 층을 제조하는 것을 개시하였다. 단지 탄탈 및 니오브 시클로펜타디에닐 화합물의 예만이 개시되어 있다. 그러나, 액체 전구체 또는 50℃ 미만의 융점을 갖는 전구체는 개시되어 있지 않다.
액체 비스(시클로펜타디에닐) 유도체가 최근에 헤이즈(Heys) 등에 의해 WO 2006/131751 A1호에서 제안되었다. 그러나, 이것은 여전히 제한된 휘발성의 단점을 나타내며, 또한 달성되는 성장 속도를 제한할 수 있는 큰 입체 장애를 나타낸다.
오늘날, 적절한 분배 (분배 온도에서 물리적 상태, 열 안정성), 넓은 자기-제한 ALD 범위 및 ALD 또는 MOCVD에 의한 순수한 필름의 침착을 동시에 허용하는 액체 또는 저융점 (50℃ 미만) IV족 전구체 화합물, 특히 Hf 및 Zr 화합물을 제공할 필요성이 존재한다.
본 발명에 따라, 특정 시클로펜타디에닐 또는 펜타디에닐 기재 IV족 금속-유기 화합물 전구체가 ALD 또는 MOCVD 공정에 의한 IV족 금속 함유 박막의 침착에 적합하며,
- 실온에서 액체이거나 50℃보다 낮은 융점을 갖고,
- 열적으로 안정하여 입자를 생성하지 않으면서 적절한 분배 (기체 상 또는 직접 액체 주입)를 가능하게 하고,
- 열적으로 안정하여 넓은 자기-제한 ALD 범위를 허용하는 장점을 가져서, 공반응물 (H2, NH3, O2, H2O, O3, SiH4, Si2H6, Si3H8, TriDMAS, BDMAS, BDEAS, TDEAS, TDMAS, TEMAS, (SiH3)3N, (SiH3)2O, TMA 또는 알루미늄-함유 전구체, TBTDET, TAT-DMAE, PET, TBTDEN, PEN, 란탄 계열 원소-함유 전구체, 예컨대 Ln(tmhd)3 등을 포함하는 군으로부터 선택됨) 중 하나 또는 그의 조합을 사용함으로써 3급 또는 4급 물질을 포함하는 다양한 IV족 금속 함유 필름을 침착시킬 수 있다는 것을 발견하였다.
제1 실시양태에 따르면, 본 발명은
- 단계 a) 기판을 반응 챔버에 제공하는 단계;
- 단계 b) 하기 화학식 II의 1종 이상의 M1 금속 함유 전구체를 증발시켜 제1 기체 상 금속 공급원을 형성하는 단계;
- 임의로 단계 b') 하기 정의된 바와 같은 1종 이상의 M2 금속을 함유하는 전구체를 증발시켜 임의의 제2 기체 상 금속 공급원을 형성하는 단계;
- 단계 c) 상기 제1 기체 상 금속 공급원 및 임의의 상기 제2 기체 상 금속 공급원을 상기 기판과 접촉시키기 위해 반응 챔버에 도입하여 하기 정의된 바와 같은 화학식 I의 화합물을 포함하는 금속 함유 유전체 필름을 상기 기판 상에 침착시키는 단계를 포함하되,
단, 형성되는 1종 이상의 금속 함유 유전체 필름이 a = 0, b = 2 및 c = 0인 하기 정의된 바와 같은 화학식 I에 상응하는 하기 화학식 I'의 화합물을 포함하고, 상기 단계 b)에 관련된 M1 금속 함유 전구체가 x = 0 및 z = 2인 하기 정의된 바와 같은 화학식 II에 상응하는 하기 화학식 II'의 화합물일 경우, 하기 화학식 II'에서 2개의 (R2 tCp) 리간드 중 적어도 하나에서 t > 0인, 하기 화학식 I의 화합물을 포함하는 1종 이상의 금속 함유 유전체 필름의 기판 상 침착 방법에 관한 것이다.
(M1 1-aM2 a)ObNc
(R1 yOp)x (R2 tCp)z M1R'4-x-z
<화학식 I'>
M1 1O2
<화학식 II'>
(R2 tCp)2M1R'2
(상기 식에서,
0 ≤ a < 1;
0 < b ≤ 3, 바람직하게는 1.5 ≤ b ≤ 2.5;
O ≤ c ≤ 1;
M1은 하프늄 (Hf), 지르코늄 (Zr) 및 티타늄 (Ti)으로부터 선택된 금속을 나타내고;
M2는 마그네슘 (Mg), 칼슘 (Ca), 아연 (Zn), 붕소 (B), 알루미늄 (Al), 인듐 (In), 규소 (Si), 게르마늄 (Ge), 주석 (Sn), 하프늄 (Hf), 지르코늄 (Zr), 티타늄 (Ti), 바나듐 (V), 니오브 (Nb), 탄탈 (Ta); 및 란탄 계열 원자, 보다 특별하게는 스칸듐 (Sc), 이트륨 (Y) 및 란탄 (La) 및 희토류 금속 원자로부터 선택된 금속 원자를 나타내고;
0 ≤ x ≤ 3, 바람직하게는 x = 0 또는 1;
0 ≤ z ≤ 3, 바람직하게는 z = 1 또는 2;
1 ≤ (x + z) ≤ 4;
0 ≤ y ≤ 7, 바람직하게는 y = 2, 0 ≤ t ≤ 5, 바람직하게는 t = 1;
(R1 yOp)는 비치환되거나, 하나 이상의 R1 기로 치환된 펜타디에닐 (Op) 리간드를 나타내고, y는 상기 펜타디에닐 리간드 상 치환체 R1 기의 수를 나타내고;
(R2 tCp)는 비치환되거나, 하나 이상의 R2 기에 의해 치환된 시클로펜타디에닐 (Cp) 리간드를 나타내고, t는 상기 시클로펜타디에닐 리간드 상 치환체 R1 기의 수를 나타내고;
R1 및 R2는 동일하거나 상이하고, 독립적으로 클로로기, 1 내지 4개의 탄소 원자를 갖는 선형 또는 분지형 알킬기, 알킬기가 선형 또는 분지형이고 1 내지 4개의 탄소 원자를 갖는 것인 N-알킬 아미노기, 각각의 알킬기가 서로 동일하거나 상이하고 선형 또는 분지형이며 1 내지 4개의 탄소 원자를 갖는 것인 N,N-디알킬 아미노기, 1 내지 4개의 탄소 원자를 갖는 선형 또는 분지형 알콕시기, 알킬실릴아미드기, 아미디네이트기 및 카르보닐기로 이루어진 군으로부터 선택되고;
R'은 독립적으로 수소, 플루오로, 클로로, 브로모 또는 요오도 원자, 1 내지 4개의 탄소 원자를 갖는 선형 또는 분지형 알킬기, 알킬기가 선형 또는 분지형이고 1 내지 4개의 탄소 원자를 갖는 것인 N-알킬 아미노기, 각각의 알킬기가 서로 동일하거나 상이하고 선형 또는 분지형이며 1 내지 4개의 탄소 원자를 갖는 것인 N,N-디알킬 아미노기, 1 내지 4개의 탄소 원자를 갖는 선형 또는 분지형 알콕시기, 알킬기가 선형 또는 분지형이고 1 내지 4개의 탄소 원자를 갖는 것인 알킬실릴 아미노기, 각각의 알킬기가 서로 동일하거나 상이하고 선형 또는 분지형이며 1 내지 4개의 탄소 원자를 갖는 것인 디알킬실릴 아미노기, 각각의 알킬기가 서로 동일하거나 상이하고 선형 또는 분지형이며 1 내지 4개의 탄소 원자를 갖는 것인 트리알킬실릴 아미노기, 아미디네이트기 및 카르보닐로 이루어진 군으로부터 선택된 리간드를 나타내며, 상기 화학식 II가 하나 초과의 R'기를 포함할 경우, 각각의 R'이 서로 동일하거나 상이할 수 있다는 것을 이해해야 함)
상기 기재된 바와 같은 방법에서, 화학식 II의 1종 이상의 금속 함유 전구체 및 필요할 경우, 1종 이상의 M2 금속 함유 전구체는 일반적으로 50℃ 미만, 바람직 하게는 35℃ 미만의 융점을 가지며, 바람직하게는 실온에서 액체이다.
상기 기재된 바와 같은 방법의 특정 실시양태에 따르면, 증발 단계 b) 및 필요할 경우, 증발 단계 b')는 캐리어 기체를 하기 화학식 II의 1종 이상의 M1 금속 함유 전구체, 및 필요할 경우, 두 1종 이상의 M2 금속 함유 전구체를 함유하는 가열된 용기에 도입함으로써 수행된다.
<화학식 II>
(R1 yOp)x (R2 tCp)z M1R'4-x-z
용기는 바람직하게는 상기 금속 공급원이 충분한 증기압하에 액체상으로 얻어지는 온도로 가열된다. 필요할 경우, 하나 또는 두 금속 전구체를 용매 또는 용매의 혼합물 및/또는 안정화제와 혼합할 수 있다. 상기 용매는, 예를 들어 옥탄, 헥산, 펜탄 또는 테트라메틸실란으로부터 선택된다. 용매 또는 용매의 혼합물 중 금속 전구체의 농도는 일반적으로 0.01 M 내지 0.5 M이고, 보다 특별하게는 약 0.05 M이다. 캐리어 기체는 제한없이 Ar, He, H2, N2 또는 이들의 혼합물로부터 선택된다. 필요할 경우, 용기를 80 내지 110℃ 범위의 온도에서 가열시킬 수 있다. 당업자라면 용기의 온도를 조정하여 증발되는 전구체의 양을 제어할 수 있다는 것을 고려할 것이다.
캐리어 기체 유동은 일반적으로 10 sccm (standard cubic centimeter) 내지 500 sccm에 포함된다. 바람직하게는, 캐리어 기체 유동은 50 sccm 내지 200 sccm 에 포함된다.
상기 기재된 바와 같은 방법의 또다른 특정 실시양태에 따라, 증발 단계 b) 및 필요할 경우, 증발 단계 b')는 하기 화학식 II의 M1 금속 함유 전구체 및 필요할 경우 두 M2 금속 함유 전구체를 액체 형태로 그것이 증발되는 증발기에 도입함으로써 수행된다.
<화학식 II>
(R1 yOp)x (R2 tCp)z M1R'4-x-z
필요할 경우, 하나 또는 두 금속 전구체를 용매 또는 용매의 혼합물 및/또는 안정화제와 혼합할 수 있다. 상기 용매는, 예를 들어 옥탄, 헥산, 펜탄 또는 테트라메틸실란으로부터 선택된다. 용매 또는 용매의 혼합물 중 금속 전구체의 농도는 일반적으로 0.01 M 내지 0.5 M이고, 보다 특별하게는 약 0.05 M이다.
보다 특별한 실시양태에 따라, 증발 단계 b) 및 증발 단계 b')는 두 공급원의 하나의 증발 단계 b")로 조합된다.
상기 기재된 바와 같은 방법의 단계 c) 동안, 증발된 금속 함유 전구체는 반응 챔버로 도입시켜 기판과 접촉시킨다.
본 발명의 문맥에서, 기판은 기술적 작용으로 인하여 금속 함유 필름에 의해 코팅될 필요가 있는, 반도체 제조에서 사용되는 임의의 기판을 의미한다. 이러한 기판은 예를 들어 규소 기판 (Si), 실리카 기판 (SiO2), 질화규소 기판 (SiN) 또는 규소 옥시 니트라이드 기판 (SiON) 뿐만 아니라, 텅스텐 기판 (W) 또는 귀금속 기판, 예를 들어 백금 기판 (Pt), 팔라듐 기판 (Pd), 로듐 기판 (Rh) 또는 금 기판 (Au)으로부터 선택된다.
기판은 충분한 성장 속도 및 목적하는 물리적 상태 및 조성을 갖는 목적하는 필름을 수득하기 위해 필요한 온도까지 가열시킨다.
단계 c) 동안 온도는 일반적으로 150℃ 내지 600℃ 범위이다. 바람직하게는 온도는 450℃ 이하이다.
반응 챔버내의 압력은 충분한 성장 속도를 갖는 목적하는 금속 함유 필름을 수득하도록 제어된다. 단계 c) 동안 압력은 일반적으로 약 1 mTorr (0.1333224 Pa) 내지 약 100 Torr (13332.24 Pa) 범위이다.
본 발명의 문맥에서, M2 금속 함유 전구체는
규소 유도체 또는 그의 게르마늄 동족체, 예를 들어 디실록산, 트리실릴아민, 디실란, 트리실란, 하기 화학식 III1의 알콕시실란, 하기 화학식 III2의 실라놀 유도체, 바람직하게는 Si(OH)(OR4)3, 보다 바람직하게는 Si(OH)(OtBu)3, 하기 화학식 III3의 아미노실란 유도체, 바람직하게는 SiH(NMe2)3 (TriDMAS), SiH2(NHtBu)2 (BTBAS), SiH2(NEt2)2 (BDEAS) 및 이들의 혼합물;
알루미늄 유도체, 예를 들어 트리메틸알루미늄 [Al(CH3)3], 디메틸 알루미늄 하이드라이드 [AlH(CH3)2], 하기 화학식 IV1의 알콕시알란, 또는 바람직하게는 AlR9R10(OR7) (여기서, R9 및 R10은 동일하거나 상이하고, 독립적으로 1 내지 6개의 탄소 원자를 갖는 선형 또는 분지형 알킬을 나타냄), 가장 바람직하게는 AlMe2(OiPr); 하기 화학식 IV2의 아미도알란;
탄탈 유도체, 예를 들어 Ta(OMe)5, Ta(OEt)5, Ta(NMe2)5, Ta(NEt2)5, Ta(NEt2)5, 하기 화학식 V1의 탄탈 유도체, 바람직하게는 Ta(OEt)4(OCMe2CH2-OMe) (TAT-DMAE), 하기 화학식 V2의 탄탈 유도체, 하기 화학식 V3의 탄탈 유도체;
니오브 유도체, 예를 들어 Nb(OMe)5, Nb(OEt)5, Nb(NMe2)5, Nb(NEt2)4, Nb(NEt2)5, 하기 화학식 VI1의 니오브 유도체, 바람직하게는 Nb(OEt)4(OCMe2CH2-OMe) (NBT-DMAE), 하기 화학식 VI2의 니오브 유도체, 하기 화학식 VI3의 니오브 유도체;
란탄 계열 원소 유도체, 예를 들어 스칸듐 유도체, 이트륨 유도체, 세륨 유도체, 프라세오디눔(praseodinum) 유도체, 가돌리늄 유도체, 디스프로슘 유도체, 에르븀 유도체, 란탄 유도체, 1 내지 6개의 탄소 원자를 갖는 1개 이상의 선형 또는 분지형 알킬기로 임의로 치환된 하나 이상의 β-디케토네이트 리간드 또는 하나 이상의 시클로펜타디에닐 리간드를 갖는 유도체;
2가 금속 유도체, 예를 들어 1 내지 6개의 탄소 원자를 갖는 1개 이상의 선형 또는 분지형 알킬기로 임의로 치환된 하나 이상의 β-디케토네이트 리간드 또는 하나 이상의 시클로펜타디에닐 리간드를 갖는 스트론튬 (Sr), 바륨 (Ba), 마그네슘 (Mg), 칼슘 (Ca) 또는 아연 (Zn) 유도체;
다른 금속 유도체, 예를 들어 텅스텐 (W), 몰리브덴 (Mo), 하프늄 (Hf) 또는 지르코늄 (Zr) 유도체, 예를 들어 알콕시 유도체, 아미노 유도체 또는 이들 종을 함유하는 부가생성물
로 이루어진 군으로부터 선택되며, 상기 유도체는 상기 정의된 바와 같은 화학식 II의 화합물이 아니라는 것을 이해해야 한다.
<화학식 III1>
SiHx(OR3)4-x
(상기 식에서,
0 ≤ x ≤3 및
R3은 1 내지 6개의 탄소 원자를 갖는 선형 또는 분지형 탄화수소기를 나타냄)
<화학식 III2>
Si(OH)x(OR4)4-x
(상기 식에서,
1 ≤ x ≤ 3 및
R4는 1 내지 6개의 탄소 원자를 갖는 선형 또는 분지형 알킬기를 나타냄)
<화학식 III3>
SiHx(NR5R6)4-x
(상기 식에서,
0 ≤ x ≤ 3 및
R5 및 R6은 동일하거나 상이하고, 독립적으로 수소 원자, 또는 1 내지 6개의 탄소 원자를 갖는 선형 또는 분지형 알킬을 나타냄)
<화학식 IV1>
AlR8 X(OR7)3-X
(상기 식에서,
0 ≤ x ≤ 3 및
R7은 1 내지 6개의 탄소 원자를 갖는 선형 또는 분지형 알킬을 나타내고,
R8은 R7과 동일하거나 상이하며, 수소 원자를 나타냄)
<화학식 IV2>
AlR11 X(NR12R13)3-X
(상기 식에서,
0 ≤ x ≤ 3 및
R12 및 R13은 동일하거나 상이하고, 수소 원자, 또는 1 내지 6개의 탄소 원자를 갖는 선형 또는 분지형 알킬을 나타내고,
R11은 R7과 동일하거나 상이하며, 수소 원자, 또는 1 내지 6개의 탄소 원자를 갖는 선형 또는 분지형 알킬을 나타냄)
<화학식 V1>
Ta(OR14)4[O-C(R15)(R16)-CH2-OR17]
(상기 식에서,
R14, R15, R16 및 R17은 동일하거나 상이하며, 독립적으로 수소 원자, 또는 1 내지 6개의 탄소 원자를 갖는 선형 또는 분지형 알킬을 나타냄)
<화학식 V2>
Ta(OR18)4[O-C(R19)(R20)-CH2-N(R21)(R22)]
(상기 식에서,
R18, R19, R20, R21 및 R22는 동일하거나 상이하며, 독립적으로 수소 원자, 또는 1 내지 6개의 탄소 원자를 갖는 선형 또는 분지형 알킬을 나타냄)
<화학식 V3>
Ta(=NR24)(NR25R26)3
(상기 식에서,
R24, R25 및 R26은 동일하거나 상이하며, 독립적으로 수소 원자, 또는 1 내지 6개의 탄소 원자를 갖는 선형 또는 분지형 알킬을 나타냄)
<화학식 VI1>
Nb(OR27)4(O-C(R28)(R29)-CH2-OR30)
(상기 식에서,
R27, R28, R29 및 R30은 동일하거나 상이하며, 독립적으로 수소 원자, 또는 1 내지 6개의 탄소 원자를 갖는 선형 또는 분지형 알킬을 나타냄)
<화학식 VI2>
Nb(OR31)4[O-C(R32)(R33)-CH2-N(R34)(R35)]
(상기 식에서,
R31, R32, R33, R34 및 R35는 동일하거나 상이하며, 독립적으로 수소 원자, 또는 1 내지 6개의 탄소 원자를 갖는 선형 또는 분지형 알킬을 나타냄)
<화학식 VI3>
Nb(=NR36)(NR37R38)3
(상기 식에서,
R36, R37 및 R38은 동일하거나 상이하며, 독립적으로 수소 원자, 또는 1 내지 6개의 탄소 원자를 갖는 선형 또는 분지형 알킬을 나타냄)
또다른 특정 실시양태에 따라, 상기 기재된 방법은
- 단계 d) 화학식 II의 1종 이상의 M1 금속 함유 전구체 및 필요할 경우, 1종 이상의 M2 금속 함유 전구체를 단계 c) 전에 1종 이상의 반응성 종과 혼합하는 단계를 포함한다.
본 발명의 문맥에서, 1종 이상의 반응성 종은 예상되는 표적 금속 기재 필름과 관련하여 선택된다.
또다른 실시양태에 따라, 반응성 종은 산소 공급원, 보다 특별하게는 산소 (O2), 예를 들어 원격 플라즈마에 의해 발생된 산소 함유 라디칼
Figure 112008082626310-PCT00001
또는
Figure 112008082626310-PCT00002
, 오존 (O3), 수분 (H2O) 및 H2O2 및 이들의 혼합물이다.
또다른 실시양태에 따르면, 반응성 종은 질소 공급원, 보다 특별하게는 질소 (N2), 질소-함유 라디칼, 예컨대
Figure 112008082626310-PCT00003
암모니아 (NH3), 히드라진 (NH2NH2) 및 그의 알킬 또는 아릴 유도체, 및 이들의 혼합물이다.
또다른 실시양태에 따르면, 반응성 종은 질소와 산소 공급원 모두, 보다 특 별하게는 NO, NO2, N2O, N2O5, N2O4 및 이들의 혼합물이다.
필요한 N/O 비에 따라, 필요할 경우 상기 기재된 바와 같은 방법에 사용되는 반응성 종은 산소 공급원, 산소 공급원의 혼합물 및 질소 공급원의 혼합물, 산소와 질소 공급원 모두, 또는 이들의 혼합물일 수 있다.
본 발명의 또다른 실시양태에 따라, 예를 들어 제한없이 금속 카바이드 또는 금속 카르보니트라이드와 같이 표적 금속 기재 필름이 탄소를 함유할 경우, 1종 이상의 반응성 종은 탄소 공급원, 보다 특별하게는 메탄, 에탄, 프로판, 부탄, 에틸렌, 프로필렌, t-부틸렌이다.
본 발명의 또다른 실시양태에 따라, 예를 들어 제한없이 금속 실리사이드, 실리코-니트라이드, 실리케이트 또는 실리코-카르보-니트라이드와 같이 표적 금속기재 필름이 규소를 함유할 경우, 1종 이상의 반응성 종은 규소 공급원, 예컨대 디실록산, 트리실릴아민, 디실란 (Si2H6), 트리실란 (Si3H8), 상기 정의된 바와 같은 화학식 III1, III2 또는 III3의 알콕시실란, 예를 들어 SiH(NMe2)3 (TriDMAS); SiH2(NHtBu)2 (BTBAS); SiH2(NEt2), (BDEAS)) 및 이들의 혼합물이다.
또다른 특정 실시양태에 따라, 상기 기재된 바와 같은 방법은
단계 d') 화학식 II의 1종 이상의 M1 금속 함유 전구체 및 필요할 경우, 1종 이상의 M2 금속 함유 전구체를 반응 챔버에서 1종 이상의 반응성 종과 혼합하는 단계를 포함한다.
화학식 II의 1종 이상의 M1 금속 함유 전구체 및 필요할 경우, 1종 이상의 M2 금속 함유 전구체 및 1종 이상의 반응성 종을 반응 챔버에 도입하는 방식은 일반적으로 기판 상 필름의 침착 방식에 따라 달라진다. 금속 함유 전구체 및 반응성 종은 일반적으로 화학 증착 공정에서 동시에, 또는 원자층 침착 공정에서 순차적으로, 또는 예를 들어 화학식 II의 1종 이상의 M1 금속 함유 전구체 및 필요할 경우, 1종 이상의 M2 금속 함유 전구체가 하나의 펄스로 함께 도입되고, 1종 이상의 반응성 종이 별개의 펄스로 도입되는 펄스 개질된 원자층 증착 공정 또는 화학식 II의 1종 이상의 M1 금속 함유 전구체 및 필요할 경우, 1종 이상의 M2 금속 함유 전구체가 펄스로 도입되고, 1종 이상의 반응성 종이 연속적으로 도입되는 펄스 화학 기상 증착 공정에서와 같이 몇개의 조합에 따라 도입된다.
본 발명의 또다른 실시양태에 따라, 1종 이상의 반응성 종은 반응 챔버로부터 원격 배치된 플라즈마계를 통해 통과되고, 라디칼로 분해된다.
또다른 실시양태에 따라, 상기 기재된 바와 같은 방법의 단계 b)는 단계 b1) 화학식 II의 1종 이상의 제1 금속 함유 전구체와 M1(NMe2)4, M1(NEt2)4, M1(NMeEt)4, M1(mmp)4, M1(OtBu)4, M1(OtBu)2(mmp)2 및 이들의 혼합물 중 1종 이상의 제2 전구체를 함께 혼합하는 단계, 및 단계 b2) 상기 혼합물을 증발시키는 단계로 이루어진다.
보다 특별한 실시양태에 따르면, 본 발명은 M1 금속 함유 전구체가 x = 0, z = 1 및 R'은 N(R39)(R40) 기를 나타내는 화학식 II에 상응하는 하기 화학식 II1을 갖는 것인, 화학식 I의 화합물을 포함하는 금속 함유 유전체 필름의 상기 기재된 바와 같은 침착 방법에 관한 것이다.
<화학식 II1>
(R2 tCp)M1[N(R39)(R40)]3
(상기 식에서,
R39 및 R40은 동일하거나 상이하며, 독립적으로 수소 원자, 또는 1 내지 4개의 탄소 원자를 갖는 선형 또는 분지형 알킬기, 알킬기가 선형 또는 분지형이며 1 내지 4개의 탄소 원자를 갖는 것인 알킬실릴기, 각각의 알킬기가 서로 동일하거나 상이하며 선형 또는 분지형이고 1 내지 4개의 탄소 원자를 갖는 것인 디알킬실릴기, 또는 각각의 알킬기가 서로 동일하거나 상이하며 선형 또는 분지형이고 1 내지 4개의 탄소 원자를 갖는 것인 트리알킬실릴기를 나타냄)
보다 특정 실시양태에 따르면, 본 발명은 화학식 II의 금속 함유 전구체가 HfCp2Cl2, Hf(MeCp)2Me2, HfCp(MeCp)Cl2, Hf(MeCp)2Cl2, HfCp(MeCp)Me2, Hf(EtCp)(MeCp)Me2, Hf(EtCp)2Me2, Hf(MeCp)2(CO)2, ZrCp2Cl2, Zr(MeCp)2Me2, ZrCp(MeCp)Cl2, Zr(MeCp)2Cl2, ZrCp(MeCp)Me2, Zr(EtCp)(MeCp)Me2, Zr(EtCp)2Me2, Zr(MeCp)2(CO)2, Zr(MeCp)(NMe2)3, Zr(EtCp)(NMe2)3, ZrCp(NMe2)3, Zr(MeCp)(NEtMe)3, Zr(EtCp)(NEtMe)3, ZrCp(NEtMe)3, Zr(MeCp)(NEt2)3, Zr(EtCp)(NEt2)3, ZrCp(NEt2)3, Zr(iPr2Cp)(NMe2)3, Zr(tBu2Cp)(NMe2)3, Hf(MeCp)(NMe2)3, Hf(EtCp)(NMe2)3, HfCp(NMe2)3, Hf(MeCp)(NEtMe)3, Hf(EtCp)(NEtMe)3, HfCp(NEtMe)3, Hf(MeCp)(NEt2)3, Hf(EtCp)(NEt2)3, HfCp(NEt2)3, Hf(iPr2Cp)(NMe2)3, Hf(tBu2Cp)(NMe2)3 및 이들의 혼합물로 이루어진 군으로부터 선택된 것인, a = 0, b = 2 및 c = 0인 화학식 I에 상응하는 하기 화학식 I1의 화합물을 포함하는 금속 함유 유전체 필름의 상기 정의된 바와 같은 침착 방법에 관한 것이다.
<화학식 I1>
M1O2
보다 특정 실시양태에 따르면, 본 발명은 화학식 II의 금속 함유 전구체가 HfCp2Cl2, Hf(MeCp)2Me2, HfCp(MeCp)Cl2, Hf(MeCp)2Cl2, HfCp(MeCp)Me2, Hf(EtCp)(MeCp)Me2, Hf(EtCp)2Me2, Hf(MeCp)2(CO)2, ZrCp2Cl2, Zr(MeCp)2Me2, Zr(MeCp)2Cl2, ZrCp(MeCp)Me2, Zr(EtCp)(MeCp)Me2, Zr(EtCp)2Me2, Zr(MeCp)2(CO)2, Zr(MeCp)(NMe2)3, Zr(EtCp)(NMe2)3, ZrCp(NMe2)3, Zr(MeCp)(NEtMe)3, Zr(EtCp)(NEtMe)3, ZrCp(NEtMe)3, Zr(MeCp)(NEt2)3, Zr(EtCp)(NEt2)3, ZrCp(NEt2)3, Zr(iPr2Cp)(NMe2)3, Zr(tBu2Cp)(NMe2)3, Hf(MeCp)(NMe2)3, Hf(EtCp)(NMe2)3, HfCp(NMe2)3, Hf(MeCp)(NEtMe)3, Hf(EtCp)(NEtMe)3, HfCp(NEtMe)3, Hf(MeCp)(NEt2)3, Hf(EtCp)(NEt2)3, HfCp(NEt2)3, Hf(iPr2Cp)(NMe2)3, Hf(tBu2Cp)(NMe2)3 및 이들의 혼합물로 이루어진 군으로부터 선택된 것인, a = 0, 1.5 ≤ b ≤ 2.5 및 0 < c ≤ 0.5인 화학식 I에 상응하는 하기 화학식 I2의 화합물을 포함하는 금속 함유 유전체 필름의 상기 기재된 바와 같은 침착 방법에 관한 것이다.
<화학식 I2>
M1Ob Nc
보다 특별한 실시양태에 따라, 본 발명은 화학식 II의 금속 함유 전구체가 HfCp2Cl2, Hf(MeCp)2Me2, HfCp(MeCp)Cl2, Hf(MeCp)2Cl2, HfCp(MeCp)Me2, Hf(EtCp)(MeCp)Me2, Hf(EtCp)2Me2, Hf(MeCp)2(CO)2, ZrCp2Cl2, Zr(MeCp)2Me2, ZrCp(MeCp)Cl2, Zr(MeCp)2Cl2, ZrCp(MeCp)Me2, Zr(EtCp)(MeCp)Me2, Zr(EtCp)2Me2, Zr(MeCp)2(CO)2, Zr(MeCp)(NMe2)3, Zr(EtCp)(NMe2)3, ZrCp(NMe2)3, Zr(MeCp)(NEtMe)3, Zr(EtCp)(NEtMe)3, ZrCp(NEtMe)3, Zr(MeCp)(NEt2)3, Zr(EtCp)(NEt2)3, ZrCp(NEt2)3, Zr(iPr2Cp)(NMe2)3, Zr(tBu2Cp)(NMe2)3, Hf(MeCp)(NMe2)3, Hf(EtCp)(NMe2)3, HfCp(NMe2)3, Hf(MeCp)(NEtMe)3, Hf(EtCp)(NEtMe)3, HfCp(NEtMe)3, Hf(MeCp)(NEt2)3, Hf(EtCp)(NEt2)3, HfCp(NEt2)3, Hf(iPr2Cp)(NMe2)3, Hf(tBu2Cp)(NMe2)3로 이루어진 군으로부터 선택되고, M2 금속 함유 전구체가 바람직하게는 상기 정의된 바와 같은 규소 유도체 또는 그의 게르마늄 동족체, 탄탈 유도체, 란탄 계열 원소 유도체 및 마그네슘 유도체로부터 선택된 것인, 0 ≤ a < 1 및 c = 0인 화학식 I에 상응하는 하기 화학식 I3의 화합물을 포함하는 금속 함유 유전체 필름의 상기 기재된 바와 같은 침착 방법에 관한 것이다.
<화학식 I3>
(M1 1-aM2 a)Ob
보다 특별한 실시양태에 따르면, 본 발명은 화학식 II의 금속 함유 전구체가 HfCp2Cl2, Hf(MeCp)2Me2, HfCp(MeCp)Cl2, Hf(MeCp)2Cl2, HfCp(MeCp)Me2, Hf(EtCp)(MeCp)Me2, Hf(EtCp)2Me2, Hf(MeCp)2(CO)2, ZrCp2Cl2, Zr(MeCp)2Me2, ZrCp(MeCp)Cl2, Zr(MeCp)2Cl2, ZrCp(MeCp)Me2, Zr(EtCp)(MeCp)Me2, Zr(EtCp)2Me2, Zr(MeCp)2(CO)2, Zr(MeCp)(NMe2)3, Zr(EtCp)(NMe2)3, ZrCp(NMe2)3, Zr(MeCp)(NEtMe)3, Zr(EtCp)(NEtMe)3, ZrCp(NEtMe)3, Zr(MeCp)(NEt2)3, Zr(EtCp)(NEt2)3, ZrCp(NEt2)3, Zr(iPr2Cp)(NMe2)3, Zr(tBu2Cp)(NMe2)3, Hf(MeCp)(NMe2)3, Hf(EtCp)(NMe2)3, HfCp(NMe2)3, Hf(MeCp)(NEtMe)3, Hf(EtCp)(NEtMe)3, HfCp(NEtMe)3, Hf(MeCp)(NEt2)3, Hf(EtCp)(NEt2)3, HfCp(NEt2)3, Hf(iPr2Cp)(NMe2)3, Hf(tBu2Cp)(NMe2)3으로 이루어진 군으로부터 선택되고, M2 금속 함유 전구체가 바람직하게는 상기 정의된 바와 같은 규소 유도체 또는 그의 게르마늄 동족체, 탄탈 유도체, 란탄 계열 원소 유도체 및 마그네슘 유도체로부터 선택되고, 1종 이상의 산소 함유 전구체 및 1종 이상의 질소 함유 전구체가 반응기에 도입되는, 0 ≤ a < 1 및 0 < c ≤ 0.5인 화학식 I에 상응하는 하기 화학식 I4의 화합물을 포함하는 금속 함유 유전체 필름의 상기 기재된 바와 같은 침착 방법에 관한 것이다.
<화학식 I4>
(M1 1-a M2 a)ObNc
또다른 실시양태에 따르면, 본 발명은 보다 특별하게는 집적 회로를 위한 유전체 필름의 제조를 위해, 또는 임의 접근 메모리(RAM)용 금속 절연체 금속 (MIM) 아키텍쳐의 제조에서 상기 기재된 바와 같은 화학식 II의 화합물의 용도에 관한 것이다.
또다른 실시양태에 따르면, 본 발명은 x = 0, z = 1 및 R'은 N(R39)(R40) 기를 나타내는 화학식 II에 상응하는 하기 화학식 II1의 화합물에 관한 것이다.
<화학식 II1>
(R2 tCp)M1[N(R39)(R40)]3
(상기 식에서,
R39 및 R40은 동일하거나 상이하며, 독립적으로 수소 원자, 또는 1 내지 4개의 탄소 원자를 갖는 선형 또는 분지형 알킬기, 알킬기가 선형 또는 분지형이며 1 내지 4개의 탄소 원자를 갖는 것인 알킬실릴기, 각각의 알킬기가 서로 동일하거나 상이하며 선형 또는 분지형이고 1 내지 4개의 탄소 원자를 갖는 것인 디알킬실릴기, 또는 각각의 알킬기가 서로 동일하거나 상이하며 선형 또는 분지형이고 1 내지 4개의 탄소 원자를 갖는 것인 트리알킬실릴기를 나타냄)
특정 실시양태에 따르면, 본 발명은 R2, R39 및 R40이 동일하거나 상이하며, 독립적으로 메틸, 에틸, 프로필, 이소프로필, 부틸, 이소부틸, sec-부틸 및 tert-부틸기로부터 선택된 라디칼을 나타내는 상기 기재된 바와 같은 화학식 II1의 화합물 및 보다 구체적으로는 화합물 Zr(MeCp)(NMe2)3, Zr(EtCp)(NMe2)3, ZrCp(NMe2)3, Zr(MeCp)(NEtMe)3, Zr(EtCp)(NEtMe)3, ZrCp(NEtMe)3, Zr(MeCp)(NEt2)3, Zr(EtCp)(NEt2)3, ZrCp(NEt2)3, Zr(iPr2Cp)(NMe2)3, Zr(tBu2Cp)(NMe2)3, Hf(MeCp)(NMe2)3, Hf(EtCp)(NMe2)3, HfCp(NMe2)3, Hf(MeCp)(NEtMe)3, Hf(EtCp)(NEtMe)3, HfCp(NEtMe)3, Hf(MeCp)(NEt2)3, Hf(EtCp)(NEt2)3, HfCp(NEt2)3, Hf(iPr2Cp)(NMe2)3, Hf(tBu2Cp)(NMe2)3에 관한 것이다.
보다 특별한 실시양태에 따르면, 본 발명은 화합물 Zr(EtCp)(NMe2)3, Zr(MeCp)(NMe2)3, ZrCp(NMe2)3, Hf(EtCp)(NMe2)3, Hf(MeCp)(NMe2)3 및 HfCp(NMe2)3에 관한 것이다.
당업자라면 상기 금속-유기 화합물이 기상 증착 방법이외의 임의의 다른 용도, 예컨대 촉매 또는 금속-유기 화합물의 사용을 필요로 하는 임의의 다른 산업 공정 또는 용도로 사용될 수 있다는 것을 인지할 것이다.
또다른 실시양태에 따르면, 본 발명은
단계 1: M1Cl4와 (R2 tCp)Na의 반응에 의한 하기 화학식 VII1의 화합물의 제조로 이루어진 단계; 및
단계 2: 상기 단계 1에서 제조된 화학식 VII1의 화합물을 NH(R39)(R40)과 반응시켜 화학식 II1의 화합물을 제조하는 것으로 이루어진 단계
를 포함하는 상기 기재된 바와 같은 화학식 II1의 화합물의 제조 방법에 관한 것이다.
<화학식 VII1>
(R2 tCp)M1Cl3
(상기 식에서,
M1, R2 및 t는 화학식 II에 대해 상기 정의된 바와 같음)
마지막 실시양태에 따르면, 본 발명은 상기 정의된 바와 같은 화학식 II의 화합물 Hf(EtCp)2Me2, Zr(MeCp)2Me2 또는 Zr(EtCp)2Me2에 관한 것이다.
하기 실시예들은 본 발명의 다양한 실시양태를 제한없이 예시한다.
실시예 I: 금속 옥시드 필름 M 1 O 2 (M 1 은 바람직하게는 하프늄 및 지르코늄임)의 침착
침착되는 필름은 a = 0, b = 2 및 c = 0인 화학식 I의 화합물을 포함한다.
이러한 필름을 웨이퍼 표면 또는 딥 트렌치에 침착시켜 DRAM을 위한 MIM 구조물을 제조하기 위하여, 당업자는 단계 b)에 기재된 바와 같은 M1 금속 공급원을 증발시키고, 그것을 반응기에 도입하는 것이 필요하며 (바람직하게는 하프륨 또는 지르코늄), 산소 공급원, 바람직하게는 수분, 산소 또는 오존을 상기 반응기에 주입하기 위하여, 적절한 온도 (바람직하게는 150℃ 내지 350℃) 및 압력 (바람직하게는 25 Pa 내지 1000 Pa)하에 기판 상에 박막 증착을 얻거나 ALD 또는 펄스 CVD 공정에 의해 딥 트렌치를 충전 (트렌치내에 산화물을 규칙적으로 침착시켜 상기 트렌치를 점진적으로 충전시키고 유전체 필름에 공극을 제공하지 않아서 캐패시터 유전체 필름에 결함이 없도록 하기 위해 금속 공급원의 순차적인 펄스 주입이 필요 함)하는데 필요한 시간 동안 생성물들을 반응시켰다.
유전체 필름은 목적하는 최종 조성을 가져야 한다 (여기서 약 2의 b 값의 본질적인 변화는 산소 공급원에 대한 전구체의 비를 변화시킴).
화학식 II의 화합물의 유형의 3가지 예가 하기 3개의 옵션 a, b 또는 c에 따라 선택되었다:
a) 화학식 II의 화합물은 Zr(MeCp)2Me2, Zr(EtCp)2Me2, Hf(MeCp)2Me2 및 Hf(MeCp)2Me2로부터 선택되었다.
액체 형태의 분자의 운반은 일반적으로 불활성 기체 (N2, He, Ar 등)를 액체로 버블링시키고 불활성 기체와 액체 기체 혼합물을 반응기에 제공함으로써 수행되었다.
b) 화학식 II의 화합물은 Zr(2,4-Me2Op)2Me2 및 Hf(2,4-Me2Op)2Me2로부터 선택되었다.
c) 화학식 II의 화합물은 Zr(MeCp)(2,4-Me2Op)Me2 및 Hf(MeCp)(2,4-Me2Op)Me2로부터 선택되었다.
산소 공급원은 바람직하게는 제한없이, 산소 (O2), 산소 라디칼 (예를 들어, O· 또는 OH·), 예컨대 원격 플라즈마계에 의해 발생된 라디칼, 오존, NO, N2O, NO2, 수분 (H2O) 및 H2O2일 것이다.
침착 공정 그 자체와 관련하여, 반응물은 반응기에 동시에 (화학 증착), 순차적으로 (원자층 침착) 또는 여러가지 조합 (한 예는 금속 공급원 및 다른 금속 공급원을 함께 하나의 펄스로 도입하고 산소를 별개의 펄스로 도입하는 것이고 [개질된 원자층 침착]; 또다른 옵션은 산소를 연속적으로 도입하고/하거나 금속 공급원을 펄스로 도입하는 것이다 (펄스된-화학 증착))으로 도입할 수 있었다.
실시예 II: 금속 옥시니트라이드 필름 M 1 ON (M 1 은 바람직하게는 하프늄 및 지르코늄임)의 침착
침착되는 필름은 a = 0 및 b와 c는 0이 아닌 화학식 I의 화합물을 포함하였다.
질소를 반응기에 도입시킬 필요가 있다는 것을 제외하고는, 실시예 I에 제공된 모든 정보를 본 실시예 II에 적용하였다.
질소는 질소 (N2), 암모니아, 히드라진 및 알킬 유도체, N-함유 라디칼 (예를 들어,
Figure 112008082626310-PCT00004
), NO, N2O, NO2 등을 포함하는 군으로부터 선택된 질소 공급원으로부터 선택되어야 한다.
실시예 III: M 1 M 2 금속 옥시드 필름 (M 1 은 바람직하게는 Hf 또는 Zr이고, M 2 는 바람직하게는 Si 또는 Al임)의 침착
침착되는 필름은 a≠O, b≠O 및 c = 0인 화학식 I의 화합물을 포함하였다.
M2 금속 공급원이 추가로 필요하다는 것을 제외하고는 실시예 I에서 제공된 모든 정보를 본 실시예 III에 적용하였다.
또한, M2 함유 전구체를 반응기에 도입하여 M2 금속 공급원을 제조하였다. 이러한 M2 함유 전구체 공급원은 바람직하게는
a) 규소 (또는 게르마늄) 공급원, 예를 들어 Si(OH)(OtBu)3, SiH(NMe2)3 (TriDMAS); SiH2(NHtBu)2 (BTBAS) 및 SiH2(NEt2)2 (BDEAS)
b) 알루미늄 공급원, 예를 들어 AlMe2(OiPr); 또는
c) 탄탈 (또는 니오브) 공급원, 예를 들어 Ta(OMe)5, Ta(OEt)5 및 Ta(OEt)(OCMe2CH2-OMe) (TATDMAE)이어야 한다.
본 발명은 반응기에서 ALD, CVD, MOCVD, 펄스 CVD 공정을 사용하여 화학식 I의 유전체 필름을 지지체, 예컨대 웨이퍼 상에 침착시키는 것에 관한 것이다.
실시예 IV: M 1 M 2 금속 옥시니트라이드 필름 (M 1 은 바람직하게는 Hf 또는 Zr이고, M 2 는 바람직하게는 Si 또는 Al임)의 침착
침착되는 필름은 a≠O, b≠O 및 c≠O인 화학식 I의 화합물을 포함하였다.
질소를 반응기에 도입시킬 필요가 있다는 것을 제외하고는, 실시예 III에서 제공된 모든 정보를 이 경우에 적용하였다.
질소 공급원은 질소 (N2), 암모니아, 히드라진 및 알킬 유도체, N-함유 라디 칼 (예를 들어,
Figure 112008082626310-PCT00005
), NO, N2O, NO2를 포함하는 군으로부터 선택되어야 한다.
실시예 V: (에틸시클로펜타디에닐) 트리스(디메틸아미노) 지르코늄, Zr(EtCp)(NMe 2 ) 3 의 합성
Zr(EtCp)(NMe2)3을 3 단계로 제조하였다.
제1 단계는 ZrCl4 상 (EtCp)Na의 반응에 의한 Zr(EtCp)Cl3의 제조이었다.
제2 단계는 LiNMe2와 Zr(EtCp)Cl3을 반응시켜 Zr(EtCp)(NMe2)3를 생성하는 것이었다. 생성된 화합물은 증류에 의해 정제하였다. 전체 수율은 35%이었다.
(에틸시클로펜타디에닐)트리스(디메틸아미노)지르코늄은 안정한 액체 담황색 화합물인 것으로 밝혀졌다.
Zr(EtCp)(NMe 2 ) 3 의 TGA 분석
열 중량 분석 장치를 수분 및 산소 함량이 1 ppmv 미만으로 유지된 아르곤 글로브 박스에 저장시켰다. 샘플 35 mg을 알루미늄 도가니에 넣음으로써 열 중량 분석을 수행하였다. 그 후, 샘플을 35℃에서 400℃로 10℃/분 온도 기울기로 가열하였다. 질량 손실을 도가니 온도의 함수로서 모니터링하였다. 잔류물 수준은 2.6%이고, 완전 증발 온도는 260℃이었다. 생성된 그래프를 도 1에 도시하였다.
실시예 VI: Zr(EtCp)(NMe 2 ) 3 을 사용한 ZrO 2 박막의 원자층 침착
Zr(EtCp)(NMe2)3을 용기에 저장하였다. 용기를 90℃에서 가열하고, N2를 유 속 50 sccm 하에 캐리어 기체로 사용하였다. 용기의 압력은 50 Torr에서 제어되었다. O3를 산소 공급원으로서 사용하였다. 기판을 350℃에서 가열하였다. 제1 단계 동안, Zr(EtCp)(NMe2)3를 2초 동안 반응 챔버에 도입하였다. 그 후, 5초의 N2 퍼징을 제2 단계로서 수행하였다. 그 후 제3 단계로서 O3 퍼징을 2초 동안 반응 챔버에 도입한 다음, 2초 동안 N2 퍼징을 제4 단계로서 수행하였다. 모두 4개의 단계를 100회 반복하여 ZrO2 필름을 수득하였다. 자기-제한 원자층 침착을 수득하였다.
유사한 실험을 Hf 유사체를 사용하여 수행할 수 있었다. 유사한 실험을 산소 공급원으로서 H2O를 사용하여 수행할 수 있었다.
실시예 VII: Zr(EtCp)(NMe 2 ) 3 을 사용한 ZrO 2 의 금속-유기 화합물 화학 증착
Zr(EtCp)(NMe2)3을 용기에 저장하였다. 용기를 90℃에서 가열하고, N2를 유속 50 sccm 하에 캐리어 기체로 사용하였다. 용기의 압력은 50 Torr에서 제어되었다. Zr(EtCp)(NMe2)3를 반응 챔버내에서 O2/N2 기체 혼합물과 혼합하였다. 기판을 500℃에서 가열하였다. 반응 챔버내의 압력은 10 Torr로 설정되었다. 지르코늄 옥시드의 필름을 수득하였다.
유사한 실험을 HF 유사체를 사용하여 수행할 수 있었다.
실시예 VIII: Zr(EtCp)(NMe 2 ) 3 및 Zr(NEtMe) 4 의 열적 거동 비교
유사한 조건하에 Zr(EtCp)(NMe2)3 및 Zr(NEtMe)4에 대해 열 중량 분석을 수행 하였다. 열 중량 장치를 수분 및 산소 함량이 1 ppmv 미만으로 유지된 아르곤 글로브 박스에 저장시켰다. 샘플 35 mg을 알루미늄 도가니에 넣음으로써 열 중량 분석을 수행하였다. 그 후, 샘플을 35℃에서 400℃로 10℃/분 온도 기울기로 가열하였다. 질량 손실을 도가니 온도의 함수로서 모니터링하였다. 밀폐된 컵 형상에서, 천공된 팬 (0.8 mm)을 금속-유기 화합물을 함유하는 도가니 상에 두어 증발을 늦추었다. 이것은 고온에서의 열 안정성을 나타내었다. 결과는 Zr(EtCp)(NMe2)3가 Zr(NEtMe)4보다 훨씬 더 열적으로 안정한 것으로 나타났으며, 이는 Zr(EtCp)(NMe2)3를 증기상 전구체로서 사용하기에 더욱 매력적으로 만들었다. 결과는 도 2에 나타내었다.
실시예 IX: Hf(EtCp) 2 Me 2 의 합성 및 열적 거동
Hf(EtCp)2Cl2는 EtCpNa 상 HfCl4 1몰의 반응에 의해 쉽게 수득되었다.
그 후, -20℃에서 Hf(EtCp)2Cl2 1몰 상에 LiMe 2몰을 천천히 첨가하고, 온도를 0℃까지 증가시킴으로써 Hf(EtCp)2Me2를 수득하였다. 수득된 투명한 액체 Hf(EtCp)2Me2를 LiCl 및 용매로부터 증류에 의해 분리하였다. 진공 조건하에 Hf(EtCp)2Me2의 TGA 분석으로 약 30 mg의 출발 질량에 대해 1.0%의 잔류물이 남았다 (도 3 참조).
실시예 X: Hf(EtCp) 2 Me 2 및 O 3 로부터 HfO 2 의 원자층 침착
본 실시예는 하프늄 옥시드 필름의 제조에 관한 것이다. 그의 원주 상에 가열기가 장착된 침착 챔버에 규소 웨이퍼를 설치하고, 목적하는 필름을 규소 웨이퍼의 표면 상에 형성하였다. 챔버를 펌프에 의해 배출시켰다. 금속 전구체, 이 경우 디메틸하프노센 HfMe2(EtCp)2를 가열된 액체 용기내에 저장하였다. 질소 기체를 Hf(EtCp)2Me2에 대한 캐리어 기체로서 사용하였다. 질소를 액화 물질을 통해 유동시킴으로써 액체 용기 중 Hf(EtCp)2Me2를 운반하였다. 질량 유동 제어기를 통해 질소를 증발기로 운반하였다. Hf(EtCp)2Me2 증기를 질소에 의해 운반하고, 전구체의 반응기로의 도입을 허용하는 밸브가 밀폐되었을 때 바이패스 라인을 따라 챔버로 공급하거나, 바이패스 라인으로 우회시켰다. 또한, 산소/오존 기체 혼합물 (산화제)을 질량 유동 제어기를 통해 챔버 또는 바이패스 라인으로 공급하였다. 매우 가능성 있는 CVD 반응을 피하기 위해 한쪽의 산소/오존 및 다른쪽의 Hf(EtCp)2Me2는 결코 함께 도입되지 않았다. 그들은 일반적으로 차례로 도입되었으며, 각각의 반응물 펄스는 단지 N2만이 챔버에 도입되는 퍼지 시간에 의해 분리되었다.
하프늄 옥시드 필름을 기재된 도구를 사용하여 하기 조건하에 약 0.7 A/사이클로 제조하였다:
압력 = 3 torr, 온도 = 350℃, Hf(EtCp)2Me2 유속 = 0.5 sccm, O2 유속 = 100 sccm, O3 유속 = 8 sccm, N2 유속 = 100 sccm.
수득된 필름의 오거(Auger) 프로필을 예로서 제공하였다 (도 4 참조).

Claims (18)

  1. - 단계 a) 기판을 반응 챔버에 제공하는 단계;
    - 단계 b) 하기 화학식 II의 1종 이상의 M1 금속 함유 전구체를 증발시켜 제1 기체 상 금속 공급원을 형성하는 단계;
    - 임의로 단계 b') 하기 정의된 바와 같은 1종 이상의 M2 금속을 함유하는 전구체를 증발시켜 임의의 제2 기체 상 금속 공급원을 형성하는 단계;
    - 단계 c) 상기 제1 기체 상 금속 공급원 및 임의의 상기 제2 기체 상 금속 공급원을 상기 기판과 접촉시키기 위해 반응 챔버에 도입하여 하기 정의된 바와 같은 화학식 I의 화합물을 포함하는 금속 함유 유전체 필름을 상기 기판 상에 침착시키는 단계를 포함하되,
    단, 형성되는 1종 이상의 금속 함유 유전체 필름이 a = 0, b = 2 및 c = 0인 하기 정의된 바와 같은 화학식 I에 상응하는 하기 화학식 I'의 화합물을 포함하고, 상기 단계 b)에 관련된 M1 금속 함유 전구체가 x = 0 및 z = 2인 하기 정의된 바와 같은 화학식 II에 상응하는 하기 화학식 II'의 화합물일 경우, 하기 화학식 II'에서 2개의 (R2 tCp) 리간드 중 적어도 하나에서 t > 0인, 하기 화학식 I의 화합물을 포함하는 1종 이상의 금속 함유 유전체 필름의 기판 상 침착 방법.
    <화학식 I>
    (M1 1-aM2 a)ObNc
    <화학식 II>
    (R1 yOp)x (R2 tCp)z M1R'4-x-z
    <화학식 I'>
    M1 1O2
    <화학식 II'>
    (R2 tCp)2M1R'2
    (상기 식에서,
    0 ≤ a < 1;
    0 < b ≤ 3, 바람직하게는 1.5 ≤ b ≤ 2.5;
    O ≤ c ≤ 1;
    M1은 하프늄 (Hf), 지르코늄 (Zr) 및 티타늄 (Ti)으로부터 선택된 금속을 나타내고;
    M2는 마그네슘 (Mg), 칼슘 (Ca), 아연 (Zn), 붕소 (B), 알루미늄 (Al), 인듐 (In), 규소 (Si), 게르마늄 (Ge), 주석 (Sn), 하프늄 (Hf), 지르코늄 (Zr), 티타늄 (Ti), 바나듐 (V), 니오브 (Nb), 탄탈 (Ta); 및 란탄 계열 원자, 보다 특별하게는 스칸듐 (Sc), 이트륨 (Y) 및 란탄 (La) 및 희토류 금속 원자로부터 선택된 금속 원자를 나타내고;
    0 ≤ x ≤ 3, 바람직하게는 x = 0 또는 1;
    0 ≤ z ≤ 3, 바람직하게는 z = 1 또는 2;
    1 ≤ (x + z) ≤ 4;
    0 ≤ y ≤ 7, 바람직하게는 y = 2, 0 ≤ t ≤ 5, 바람직하게는 t = 1;
    (R1 yOp)는 비치환되거나, 하나 이상의 R1 기로 치환된 펜타디에닐 (Op) 리간드를 나타내고, y는 상기 펜타디에닐 리간드 상 치환체 R1 기의 수를 나타내고;
    (R2 tCp)는 비치환되거나, 하나 이상의 R2 기에 의해 치환된 시클로펜타디에닐 (Cp) 리간드를 나타내고, t는 상기 시클로펜타디에닐 리간드 상 치환체 R1 기의 수를 나타내고;
    R1 및 R2는 동일하거나 상이하고, 독립적으로 클로로기, 1 내지 4개의 탄소 원자를 갖는 선형 또는 분지형 알킬기, 알킬기가 선형 또는 분지형이고 1 내지 4개의 탄소 원자를 갖는 것인 N-알킬 아미노기, 각각의 알킬기가 서로 동일하거나 상이하고 선형 또는 분지형이며 1 내지 4개의 탄소 원자를 갖는 것인 N,N-디알킬 아미노기, 1 내지 4개의 탄소 원자를 갖는 선형 또는 분지형 알콕시기, 알킬실릴아미드기, 아미디네이트기 및 카르보닐기로 이루어진 군으로부터 선택되고;
    R'은 독립적으로 수소, 플루오로, 클로로, 브로모 또는 요오도 원자, 1 내지 4개의 탄소 원자를 갖는 선형 또는 분지형 알킬기, 알킬기가 선형 또는 분지형이고 1 내지 4개의 탄소 원자를 갖는 것인 N-알킬 아미노기, 각각의 알킬기가 서로 동일하거나 상이하고 선형 또는 분지형이며 1 내지 4개의 탄소 원자를 갖는 것인 N,N-디알킬 아미노기, 1 내지 4개의 탄소 원자를 갖는 선형 또는 분지형 알콕시기, 알킬기가 선형 또는 분지형이고 1 내지 4개의 탄소 원자를 갖는 것인 알킬실릴 아미노기, 각각의 알킬기가 서로 동일하거나 상이하고 선형 또는 분지형이며 1 내지 4개의 탄소 원자를 갖는 것인 디알킬실릴 아미노기, 각각의 알킬기가 서로 동일하거나 상이하고 선형 또는 분지형이며 1 내지 4개의 탄소 원자를 갖는 것인 트리알킬실릴 아미노기, 아미디네이트기 및 카르보닐로 이루어진 군으로부터 선택된 리간드를 나타내며, 상기 화학식 II가 하나 초과의 R'기를 포함할 경우, 각각의 R'이 서로 동일하거나 상이할 수 있다는 것을 이해해야 함)
  2. 제1항에 있어서, 증발 단계 b) 및 증발 단계 b')를 두 공급원의 하나의 증발 단계 b")로 조합하는 방법.
  3. 제1항 또는 제2항에 있어서, M2 금속 함유 전구체가
    규소 유도체 또는 그의 게르마늄 동족체, 예를 들어 디실록산, 트리실릴아민, 디실란, 트리실란, 하기 화학식 III1의 알콕시실란, 하기 화학식 III2의 실라놀 유도체, 바람직하게는 Si(OH)(OR4)3, 보다 바람직하게는 Si(OH)(OtBu)3, 하기 화학식 III3의 아미노실란 유도체, 바람직하게는 SiH(NMe2)3 (TriDMAS), SiH2(NHtBu)2 (BTBAS), SiH2(NEt2)2 (BDEAS) 및 이들의 혼합물;
    알루미늄 유도체, 예를 들어 트리메틸알루미늄 [Al(CH3)3], 디메틸 알루미늄 하이드라이드 [AlH(CH3)2], 하기 화학식 IV1의 알콕시알란, 또는 바람직하게는 AlR9R10(OR7) (여기서, R9 및 R10은 동일하거나 상이하고, 독립적으로 1 내지 6개의 탄소 원자를 갖는 선형 또는 분지형 알킬을 나타냄), 가장 바람직하게는 AlMe2(OiPr); 하기 화학식 IV2의 아미도알란;
    탄탈 유도체, 예를 들어 Ta(OMe)5, Ta(OEt)5, Ta(NMe2)5, Ta(NEt2)5, Ta(NEt2)5, 하기 화학식 V1의 탄탈 유도체, 바람직하게는 Ta(OEt)4(OCMe2CH2-OMe) (TAT-DMAE), 하기 화학식 V2의 탄탈 유도체, 하기 화학식 V3의 탄탈 유도체;
    니오브 유도체, 예를 들어 Nb(OMe)5, Nb(OEt)5, Nb(NMe2)5, Nb(NEt2)4, Nb(NEt2)5, 하기 화학식 VI1의 니오브 유도체, 바람직하게는 Nb(OEt)4(OCMe2CH2-OMe) (NBT-DMAE), 하기 화학식 VI2의 니오브 유도체, 하기 화학식 VI3의 니오브 유도체;
    란탄 계열 원소 유도체, 예를 들어 스칸듐 유도체, 이트륨 유도체, 세륨 유도체, 프래시디움(praesidium) 유도체, 가돌리늄 유도체 또는 Nd 유도체, 1 내지 6 개의 탄소 원자를 갖는 1개 이상의 선형 또는 분지형 알킬기로 임의로 치환된 하나 이상의 β-디케토네이트 리간드 또는 하나 이상의 시클로펜타디에닐 리간드를 갖는 유도체;
    2가 금속 유도체, 예를 들어 1 내지 6개의 탄소 원자를 갖는 1개 이상의 선형 또는 분지형 알킬기로 임의로 치환된 하나 이상의 β-디케토네이트 리간드 또는 하나 이상의 시클로펜타디에닐 리간드를 갖는 스트론튬 (Sr), 바륨 (Ba), 마그네슘 (Mg), 칼슘 (Ca) 또는 아연 (Zn) 유도체;
    다른 금속 유도체, 예를 들어 텅스텐 (W), 몰리브덴 (Mo), 하프늄 (Hf) 또는 지르코늄 (Zr) 유도체, 예를 들어 알콕시 유도체, 아미노 유도체 또는 이들 종을 함유하는 부가생성물
    로 이루어진 군으로부터 선택되며, 상기 유도체는 상기 정의된 바와 같은 화학식 II의 화합물이 아닌 방법.
    <화학식 III1>
    SiHx(OR3)4-x
    (상기 식에서,
    0 ≤ x ≤3 및
    R3은 1 내지 6개의 탄소 원자를 갖는 선형 또는 분지형 탄화수소기를 나타냄)
    <화학식 III2>
    Si(OH)x(OR4)4-x
    (상기 식에서,
    1 ≤ x ≤ 3 및
    R4는 1 내지 6개의 탄소 원자를 갖는 선형 또는 분지형 알킬기를 나타냄)
    <화학식 III3>
    SiHx(NR5R6)4-x
    (상기 식에서,
    0 ≤ x ≤ 3 및
    R5 및 R6은 동일하거나 상이하고, 독립적으로 수소 원자, 또는 1 내지 6개의 탄소 원자를 갖는 선형 또는 분지형 알킬을 나타냄)
    <화학식 IV1>
    AlR8 X(OR7)3-X
    (상기 식에서,
    0 ≤ x ≤ 3 및
    R7은 1 내지 6개의 탄소 원자를 갖는 선형 또는 분지형 알킬을 나타내고,
    R8은 R7과 동일하거나 상이하며, 수소 원자를 나타냄)
    <화학식 IV2>
    AlR11 X(NR12R13)3-X
    (상기 식에서,
    0 ≤ x ≤ 3 및
    R12 및 R13은 동일하거나 상이하고, 수소 원자, 또는 1 내지 6개의 탄소 원자를 갖는 선형 또는 분지형 알킬을 나타내고,
    R11은 R7과 동일하거나 상이하며, 수소 원자, 또는 1 내지 6개의 탄소 원자를 갖는 선형 또는 분지형 알킬을 나타냄)
    <화학식 V1>
    Ta(OR14)4[O-C(R15)(R16)-CH2-OR17]
    (상기 식에서,
    R14, R15, R16 및 R17은 동일하거나 상이하며, 독립적으로 수소 원자, 또는 1 내지 6개의 탄소 원자를 갖는 선형 또는 분지형 알킬을 나타냄)
    <화학식 V2>
    Ta(OR18)4[O-C(R19)(R20)-CH2-N(R21)(R22)]
    (상기 식에서,
    R18, R19, R20, R21 및 R22는 동일하거나 상이하며, 독립적으로 수소 원자, 또는 1 내지 6개의 탄소 원자를 갖는 선형 또는 분지형 알킬을 나타냄)
    <화학식 V3>
    Ta(=NR24)(NR25R26)3
    (상기 식에서,
    R24, R25 및 R26은 동일하거나 상이하며, 독립적으로 수소 원자, 또는 1 내지 6개의 탄소 원자를 갖는 선형 또는 분지형 알킬을 나타냄)
    <화학식 VI1>
    Nb(OR27)4(O-C(R28)(R29)-CH2-OR30)
    (상기 식에서,
    R27, R28, R29 및 R30은 동일하거나 상이하며, 독립적으로 수소 원자, 또는 1 내지 6개의 탄소 원자를 갖는 선형 또는 분지형 알킬을 나타냄)
    <화학식 VI2>
    Nb(OR31)4[O-C(R32)(R33)-CH2-N(R34)(R35)]
    (상기 식에서,
    R31, R32, R33, R34 및 R35는 동일하거나 상이하며, 독립적으로 수소 원자, 또는 1 내지 6개의 탄소 원자를 갖는 선형 또는 분지형 알킬을 나타냄)
    <화학식 VI3>
    Nb(=NR36)(NR37R38)3
    (상기 식에서,
    R36, R37 및 R38은 동일하거나 상이하며, 독립적으로 수소 원자, 또는 1 내지 6개의 탄소 원자를 갖는 선형 또는 분지형 알킬을 나타냄)
  4. 제1항 내지 제3항 중 어느 한 항에 있어서,
    - 단계 d) 화학식 II의 1종 이상의 M1 금속 함유 전구체 및 필요할 경우, 1종 이상의 M2 금속 함유 전구체를 단계 c) 전에 1종 이상의 반응성 종과 혼합하는 단계를 더 포함하는 방법.
  5. 제1항 내지 제4항 중 어느 한 항에 있어서,
    - 단계 d') 화학식 II의 1종 이상의 M1 금속 함유 전구체 및 필요할 경우, 1종 이상의 M2 금속 함유 전구체를 반응 챔버에서 1종 이상의 반응성 종과 혼합하는 단계를 더 포함하는 방법.
  6. 제1항 내지 제5항 중 어느 한 항에 있어서, 단계 b)가 단계 b1) 화학식 II의 1종 이상의 제1 금속 함유 전구체와 M1(NMe2)4, M1(NEt2)4, M1(NMeEt)4, M1(mmp)4, M1(OtBu)4, M1(OtBu)2(mmp)2 및 이들의 혼합물 중 1종 이상의 제2 전구체를 함께 혼합하는 단계, 및 단계 b2) 상기 혼합물을 증발시키는 단계로 이루어진 방법.
  7. 제1항 내지 제6항 중 어느 한 항에 있어서, M1 금속 함유 전구체가 x = 0, z = 1 및 R'은 N(R39)(R40) 기를 나타내는 화학식 II에 상응하는 하기 화학식 II1을 갖는 것인 방법.
    <화학식 II1>
    (R2 tCp)M1[N(R39)(R40)]3
    (상기 식에서,
    R39 및 R40은 동일하거나 상이하며, 독립적으로 수소 원자, 또는 1 내지 4개의 탄소 원자를 갖는 선형 또는 분지형 알킬기, 알킬기가 선형 또는 분지형이며 1 내지 4개의 탄소 원자를 갖는 것인 알킬실릴기, 각각의 알킬기가 서로 동일하거나 상이하며 선형 또는 분지형이고 1 내지 4개의 탄소 원자를 갖는 것인 디알킬실릴 기, 또는 각각의 알킬기가 서로 동일하거나 상이하며 선형 또는 분지형이고 1 내지 4개의 탄소 원자를 갖는 것인 트리알킬실릴기를 나타냄)
  8. 제1항 내지 제7항 중 어느 한 항에 있어서, 화학식 II의 금속 함유 전구체가 HfCp2Cl2, Hf(MeCp)2Me2, HfCp(MeCp)Cl2, Hf(MeCp)2Cl2, HfCp(MeCp)Me2, Hf(EtCp)(MeCp)Me2, Hf(EtCp)2Me2, Hf(MeCp)2(CO)2, ZrCp2Cl2, Zr(MeCp)2Me2, ZrCp(MeCp)Cl2, Zr(MeCp)2Cl2, ZrCp(MeCp)Me2, Zr(EtCp)(MeCp)Me2, Zr(EtCp)2Me2, Zr(MeCp)2(CO)2, Zr(MeCp)(NMe2)3, Zr(EtCp)(NMe2)3, ZrCp(NMe2)3, Zr(MeCp)(NEtMe)3, Zr(EtCp)(NEtMe)3, ZrCp(NEtMe)3, Zr(MeCp)(NEt2)3, Zr(EtCp)(NEt2)3, ZrCp(NEt2)3, Zr(iPr2Cp)(NMe2)3, Zr(tBu2Cp)(NMe2)3, Hf(MeCp)(NMe2)3, Hf(EtCp)(NMe2)3, HfCp(NMe2)3, Hf(MeCp)(NEtMe)3, Hf(EtCp)(NEtMe)3, HfCp(NEtMe)3, Hf(MeCp)(NEt2)3, Hf(EtCp)(NEt2)3, HfCp(NEt2)3, Hf(iPr2Cp)(NMe2)3, Hf(tBu2Cp)(NMe2)3 및 이들의 혼합물로 이루어진 군으로부터 선택된 것인, a = 0, b = 2 및 c = 0인 화학식 I에 상응하는 하기 화학식 I1의 화합물을 포함하는 금속 함유 유전체 필름의 침착 방법.
    <화학식 I1>
    M1O2
  9. 제1항 내지 제7항 중 어느 한 항에 있어서, 화학식 II의 금속 함유 전구체가 HfCp2Cl2, Hf(MeCp)2Me2, HfCp(MeCp)Cl2, Hf(MeCp)2Cl2, HfCp(MeCp)Me2, Hf(EtCp)(MeCp)Me2, Hf(EtCp)2Me2, Hf(MeCp)2(CO)2, ZrCp2Cl2, Zr(MeCp)2Me2, Zr(MeCp)2Cl2, ZrCp(MeCp)Me2, Zr(EtCp)(MeCp)Me2, Zr(EtCp)2Me2, Zr(MeCp)2(CO)2, Zr(MeCp)(NMe2)3, Zr(EtCp)(NMe2)3, ZrCp(NMe2)3, Zr(MeCp)(NEtMe)3, Zr(EtCp)(NEtMe)3, ZrCp(NEtMe)3, Zr(MeCp)(NEt2)3, Zr(EtCp)(NEt2)3, ZrCp(NEt2)3, Zr(iPr2Cp)(NMe2)3, Zr(tBu2Cp)(NMe2)3, Hf(MeCp)(NMe2)3, Hf(EtCp)(NMe2)3, HfCp(NMe2)3, Hf(MeCp)(NEtMe)3, Hf(EtCp)(NEtMe)3, HfCp(NEtMe)3, Hf(MeCp)(NEt2)3, Hf(EtCp)(NEt2)3, HfCp(NEt2)3, Hf(iPr2Cp)(NMe2)3, Hf(tBu2Cp)(NMe2)3 및 이들의 혼합물로 이루어진 군으로부터 선택된 것인, a = 0, 1.5 ≤ b ≤ 2.5 및 0 < c ≤ 0.5인 화학식 I에 상응하는 하기 화학식 I2의 화합물을 포함하는 금속 함유 유전체 필름의 침착 방법.
    <화학식 I2>
    M1Ob Nc
  10. 제1항 내지 제7항 중 어느 한 항에 있어서, 화학식 II의 금속 함유 전구체가 HfCp2Cl2, Hf(MeCp)2Me2, HfCp(MeCp)Cl2, Hf(MeCp)2Cl2, HfCp(MeCp)Me2, Hf(EtCp)(MeCp)Me2, Hf(EtCp)2Me2, Hf(MeCp)2(CO)2, ZrCp2Cl2, Zr(MeCp)2Me2, ZrCp(MeCp)Cl2, Zr(MeCp)2Cl2, ZrCp(MeCp)Me2, Zr(EtCp)(MeCp)Me2, Zr(EtCp)2Me2, Zr(MeCp)2(CO)2, Zr(MeCp)(NMe2)3, Zr(EtCp)(NMe2)3, ZrCp(NMe2)3, Zr(MeCp)(NEtMe)3, Zr(EtCp)(NEtMe)3, ZrCp(NEtMe)3, Zr(MeCp)(NEt2)3, Zr(EtCp)(NEt2)3, ZrCp(NEt2)3, Zr(iPr2Cp)(NMe2)3, Zr(tBu2Cp)(NMe2)3, Hf(MeCp)(NMe2)3, Hf(EtCp)(NMe2)3, HfCp(NMe2)3, Hf(MeCp)(NEtMe)3, Hf(EtCp)(NEtMe)3, HfCp(NEtMe)3, Hf(MeCp)(NEt2)3, Hf(EtCp)(NEt2)3, HfCp(NEt2)3, Hf(iPr2Cp)(NMe2)3, Hf(tBu2Cp)(NMe2)3로 이루어진 군으로부터 선택되고, M2 금속 함유 전구체가 바람직하게는 상기 정의된 바와 같은 규소 유도체 또는 그의 게르마늄 동족체, 탄탈 유도체, 란탄 계열 원소 유도체 및 마그네슘 유도체로부터 선택된 것인, 0 ≤ a < 1 및 c = 0인 화학식 I에 상응하는 하기 화학식 I3의 화합물을 포함하는 금속 함유 유전체 필름의 침착 방법.
    <화학식 I3>
    (M1 1-aM2 a)Ob
  11. 제1항 내지 제7항 중 어느 한 항에 있어서, 화학식 II의 금속 함유 전구체가 HfCp2Cl2, Hf(MeCp)2Me2, HfCp(MeCp)Cl2, Hf(MeCp)2Cl2, HfCp(MeCp)Me2, Hf(EtCp)(MeCp)Me2, Hf(EtCp)2Me2, Hf(MeCp)2(CO)2, ZrCp2Cl2, Zr(MeCp)2Me2, ZrCp(MeCp)Cl2, Zr(MeCp)2Cl2, ZrCp(MeCp)Me2, Zr(EtCp)(MeCp)Me2, Zr(EtCp)2Me2, Zr(MeCp)2(CO)2, Zr(MeCp)(NMe2)3, Zr(EtCp)(NMe2)3, ZrCp(NMe2)3, Zr(MeCp)(NEtMe)3, Zr(EtCp)(NEtMe)3, ZrCp(NEtMe)3, Zr(MeCp)(NEt2)3, Zr(EtCp)(NEt2)3, ZrCp(NEt2)3, Zr(iPr2Cp)(NMe2)3, Zr(tBu2Cp)(NMe2)3, Hf(MeCp)(NMe2)3, Hf(EtCp)(NMe2)3, HfCp(NMe2)3, Hf(MeCp)(NEtMe)3, Hf(EtCp)(NEtMe)3, HfCp(NEtMe)3, Hf(MeCp)(NEt2)3, Hf(EtCp)(NEt2)3, HfCp(NEt2)3, Hf(iPr2Cp)(NMe2)3, Hf(tBu2Cp)(NMe2)3으로 이루어진 군으로부터 선택되고, M2 금속 함유 전구체가 바람직하게는 상기 정의된 바와 같은 규소 유도체 또는 그의 게르마늄 동족체, 탄탈 유도체, 란탄 계열 원소 유도체 및 마그네슘 유도체로부터 선택되고, 1종 이상의 산소 함유 전구체 및 1종 이상의 질소 함유 전구체가 반응기에 도입되는, 0 ≤ a < 1 및 0 < c ≤ 0.5인 화학식 I에 상응하는 하기 화학식 I4의 화합물을 포함하는 금속 함유 유전체 필름의 침착 방법.
    <화학식 I4>
    (M1 1-a M2 a)ObNc
  12. 보다 특별하게는 집적 회로를 위한 유전체 필름의 제조를 위해, 또는 임의 접근 메모리(RAM)용 위한 금속 절연체 금속 (MIM) 아키텍쳐(architecture)의 제조에서 제1항에 기재된 화학식 II의 화합물의 용도.
  13. x = 0, z = 1 및 R'은 N(R39)(R40) 기를 나타내는 제1항에 기재된 바와 같은 화학식 II에 상응하는 하기 화학식 II1의 화합물.
    <화학식 II1>
    (R2 tCp)M1[N(R39)(R40)]3
    (상기 식에서,
    R39 및 R40은 동일하거나 상이하며, 독립적으로 수소 원자, 또는 1 내지 4개의 탄소 원자를 갖는 선형 또는 분지형 알킬기, 알킬기가 선형 또는 분지형이며 1 내지 4개의 탄소 원자를 갖는 것인 알킬실릴기, 각각의 알킬기가 서로 동일하거나 상이하며 선형 또는 분지형이고 1 내지 4개의 탄소 원자를 갖는 것인 디알킬실릴기, 또는 각각의 알킬기가 서로 동일하거나 상이하며 선형 또는 분지형이고 1 내지 4개의 탄소 원자를 갖는 것인 트리알킬실릴기를 나타냄)
  14. 제13항에 있어서, R2, R39 및 R40이 동일하거나 상이하며, 독립적으로 메틸, 에틸, 프로필, 이소프로필, 부틸, 이소부틸, sec-부틸 및 tert-부틸기로부터 선택된 라디칼을 나타내는 화학식 II1의 화합물.
  15. 제14항에 있어서, Zr(MeCp)(NMe2)3, Zr(EtCp)(NMe2)3, ZrCp(NMe2)3, Zr(MeCp)(NEtMe)3, Zr(EtCp)(NEtMe)3, ZrCp(NEtMe)3, Zr(MeCp)(NEt2)3, Zr(EtCp)(NEt2)3, ZrCp(NEt2)3, Zr(iPr2Cp)(NMe2)3, Zr(tBu2Cp)(NMe2)3, Hf(MeCp)(NMe2)3, Hf(EtCp)(NMe2)3, HfCp(NMe2)3, Hf(MeCp)(NEtMe)3, Hf(EtCp)(NEtMe)3, HfCp(NEtMe)3, Hf(MeCp)(NEt2)3, Hf(EtCp)(NEt2)3, HfCp(NEt2)3, Hf(iPr2Cp)(NMe2)3, Hf(tBu2Cp)(NMe2)3인 화학식 II1의 화합물.
  16. 제15항에 있어서, Zr(EtCp)(NMe2)3, Zr(MeCp)(NMe2)3, ZrCp(NMe2)3, Hf(EtCp)(NMe2)3, Hf(MeCp)(NMe2)3 및 HfCp(NMe2)3인 화학식 II1의 화합물.
  17. 단계 1: M1Cl4와 (R2 tCp)Na의 반응에 의한 하기 화학식 VII1의 화합물의 제조로 이루어진 단계; 및
    단계 2: 상기 단계 1에서 제조된 화학식 VII1의 화합물을 NH(R39)(R40)과 반응시켜 화학식 II1의 화합물을 제조하는 것으로 이루어진 단계
    를 포함하는 제13항 내지 제16항 중 어느 한 항에 기재된 화학식 II1의 화합물의 제조 방법.
    <화학식 VII1>
    (R2 tCp)M1Cl3
    (상기 식에서,
    M1, R2 및 t는 화학식 II에 대해 상기 정의된 바와 같음)
  18. 제1항에 있어서, Hf(EtCp)2Me2, Zr(MeCp)2Me2 또는 Zr(EtCp)2Me2인 화학식 II의 화합물.
KR1020087029349A 2006-06-02 2007-03-16 유전체 필름의 형성 방법, 신규 전구체 및 그의 반도체 제조에서의 용도 KR20090018080A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
PCT/EP2006/062893 WO2007140813A1 (en) 2006-06-02 2006-06-02 Method of forming high-k dielectric films based on novel titanium, zirconium, and hafnium precursors and their use for semiconductor manufacturing
WOPCT/EP2006/062893 2006-06-02

Related Child Applications (2)

Application Number Title Priority Date Filing Date
KR1020107024399A Division KR101106349B1 (ko) 2006-06-02 2007-03-16 유전체 필름의 형성 방법, 신규 전구체 및 그의 반도체 제조에서의 용도
KR1020137004324A Division KR101502251B1 (ko) 2006-06-02 2007-03-16 유전체 필름의 형성 방법, 신규 전구체 및 그의 반도체 제조에서의 용도

Publications (1)

Publication Number Publication Date
KR20090018080A true KR20090018080A (ko) 2009-02-19

Family

ID=37192518

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020087029349A KR20090018080A (ko) 2006-06-02 2007-03-16 유전체 필름의 형성 방법, 신규 전구체 및 그의 반도체 제조에서의 용도
KR1020137004324A KR101502251B1 (ko) 2006-06-02 2007-03-16 유전체 필름의 형성 방법, 신규 전구체 및 그의 반도체 제조에서의 용도
KR1020107024399A KR101106349B1 (ko) 2006-06-02 2007-03-16 유전체 필름의 형성 방법, 신규 전구체 및 그의 반도체 제조에서의 용도

Family Applications After (2)

Application Number Title Priority Date Filing Date
KR1020137004324A KR101502251B1 (ko) 2006-06-02 2007-03-16 유전체 필름의 형성 방법, 신규 전구체 및 그의 반도체 제조에서의 용도
KR1020107024399A KR101106349B1 (ko) 2006-06-02 2007-03-16 유전체 필름의 형성 방법, 신규 전구체 및 그의 반도체 제조에서의 용도

Country Status (9)

Country Link
US (6) US8399056B2 (ko)
EP (4) EP2029790A1 (ko)
JP (4) JP2009539237A (ko)
KR (3) KR20090018080A (ko)
CN (3) CN101460657A (ko)
AT (1) ATE541959T1 (ko)
IL (2) IL195227A (ko)
TW (2) TWI525210B (ko)
WO (2) WO2007140813A1 (ko)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2013070702A1 (en) * 2011-11-08 2013-05-16 Applied Materials, Inc. Deposition of metal films using alane-based precursors
WO2014168312A1 (ko) * 2013-04-08 2014-10-16 주식회사 유피케미칼 4 족 전이금속-함유 전구체 화합물 및 이를 이용하는 박막의 증착 방법
KR20170098850A (ko) * 2014-12-23 2017-08-30 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 지르코늄-함유 막의 증기 증착을 위한 지르코늄-함유 막 형성 조성물

Families Citing this family (444)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FI117979B (fi) * 2000-04-14 2007-05-15 Asm Int Menetelmä oksidiohutkalvojen valmistamiseksi
US7087480B1 (en) * 2002-04-18 2006-08-08 Taiwan Semiconductor Manufacturing Company, Ltd. Process to make high-k transistor dielectrics
GB2432363B (en) 2005-11-16 2010-06-23 Epichem Ltd Hafnocene and zirconocene precursors, and use thereof in atomic layer deposition
SG171683A1 (en) 2006-05-12 2011-06-29 Advanced Tech Materials Low temperature deposition of phase change memory materials
CN101460657A (zh) 2006-06-02 2009-06-17 乔治洛德方法研究和开发液化空气有限公司 基于新型钛、锆和铪前体的高k介电膜的形成方法及其用于半导体制造的用途
EP1916253A1 (en) * 2006-10-26 2008-04-30 L'AIR LIQUIDE, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude New group V metal containing precursors and their use for metal containing film deposition
US8795771B2 (en) 2006-10-27 2014-08-05 Sean T. Barry ALD of metal-containing films using cyclopentadienyl compounds
KR20120118060A (ko) 2006-11-02 2012-10-25 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 금속 박막의 cvd/ald용으로 유용한 안티몬 및 게르마늄 착체
KR100805018B1 (ko) * 2007-03-23 2008-02-20 주식회사 하이닉스반도체 반도체 소자의 제조 방법
US8071163B2 (en) 2007-04-07 2011-12-06 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Deposition of Ta- or Nb-doped high-k films
TWI426154B (zh) 2007-05-21 2014-02-11 Air Liquide 供半導體應用之新穎鈷前驅物
US7951711B2 (en) 2007-05-21 2011-05-31 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Metal precursors for semiconductor applications
KR20100072021A (ko) 2007-09-14 2010-06-29 시그마-알드리치컴퍼니 하프늄과 지르코늄계 전구체를 이용한 원자층 증착에 의한 박막의 제조 방법
CN101827956A (zh) 2007-09-14 2010-09-08 西格玛-奥吉奇公司 采用基于单环戊二烯基钛的前体通过原子层沉积制备含钛薄膜的方法
US8834968B2 (en) 2007-10-11 2014-09-16 Samsung Electronics Co., Ltd. Method of forming phase change material layer using Ge(II) source, and method of fabricating phase change memory device
KR101458953B1 (ko) 2007-10-11 2014-11-07 삼성전자주식회사 Ge(Ⅱ)소오스를 사용한 상변화 물질막 형성 방법 및상변화 메모리 소자 제조 방법
JP5650880B2 (ja) 2007-10-31 2015-01-07 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 非晶質Ge/Te蒸着方法
US20090215225A1 (en) 2008-02-24 2009-08-27 Advanced Technology Materials, Inc. Tellurium compounds useful for deposition of tellurium containing materials
JP5535945B2 (ja) * 2008-02-27 2014-07-02 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 原子層蒸着(ald)法を用いる基板上にチタン含有層を形成する方法
JP2011517056A (ja) * 2008-04-07 2011-05-26 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード TaまたはNbドープhigh−kフィルムの堆積
EP2174942B1 (en) * 2008-10-07 2011-11-30 L'Air Liquide Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Niobium and vanadium organometallic precursors for thin film deposition
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8330136B2 (en) 2008-12-05 2012-12-11 Advanced Technology Materials, Inc. High concentration nitrogen-containing germanium telluride based memory devices and processes of making
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US20100290945A1 (en) * 2009-05-13 2010-11-18 Ce Ma Solution based zirconium precursors for atomic layer deposition
SG177399A1 (en) 2009-07-06 2012-02-28 Linde Ag Solution based precursors
US20110020547A1 (en) * 2009-07-21 2011-01-27 Julien Gatineau High dielectric constant films deposited at high temperature by atomic layer deposition
KR20120088652A (ko) * 2009-07-21 2012-08-08 시그마-알드리치 컴퍼니., 엘엘씨 티타늄-함유 박막을 형성하기 위한 조성물 및 이용 방법
EP2464652A4 (en) * 2009-08-14 2013-01-09 Air Liquide HAFNIUM AND ZIRCONIUM-CONTAINING PRECEDENTS AND METHOD OF USE THEREOF
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
EP2499274B1 (en) 2009-11-09 2016-04-20 L'Air Liquide Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Deposition methods using hafnium-containing compounds
US8592606B2 (en) 2009-12-07 2013-11-26 Air Products And Chemicals, Inc. Liquid precursor for depositing group 4 metal containing films
US20120319252A1 (en) * 2010-01-29 2012-12-20 Hitachi Kokusai Electric Inc. Method for manufacturing semiconductor device, substrate processing apparatus, and semiconductor device
WO2011119175A1 (en) 2010-03-26 2011-09-29 Advanced Technology Materials, Inc. Germanium antimony telluride materials and devices incorporating same
WO2011146913A2 (en) 2010-05-21 2011-11-24 Advanced Technology Materials, Inc. Germanium antimony telluride materials and devices incorporating same
US8343881B2 (en) 2010-06-04 2013-01-01 Applied Materials, Inc. Silicon dioxide layer deposited with BDEAS
TWI529808B (zh) 2010-06-10 2016-04-11 Asm國際股份有限公司 使膜選擇性沈積於基板上的方法
JP5587716B2 (ja) * 2010-09-27 2014-09-10 マイクロンメモリジャパン株式会社 半導体装置及びその製造方法、並びに吸着サイト・ブロッキング原子層堆積法
CN102060865B (zh) * 2010-11-15 2013-04-24 南京航空航天大学 酰胺钆配合物的合成方法及其在制备高k材料前驱体的应用
JP5957017B2 (ja) 2011-03-15 2016-07-27 メカロニックス シーオー. エルティディ.Mecharonics Co. Ltd. 新規な4b族有機金属化合物及びその製造方法
JP5675458B2 (ja) * 2011-03-25 2015-02-25 東京エレクトロン株式会社 成膜方法、成膜装置および記憶媒体
JP5732962B2 (ja) * 2011-03-28 2015-06-10 宇部興産株式会社 ジルコニウムアミド化合物の製造方法
US8574997B2 (en) * 2011-06-06 2013-11-05 Intermolecular, Inc. Method of using a catalytic layer to enhance formation of a capacitor stack
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
JP5766098B2 (ja) * 2011-11-17 2015-08-19 株式会社アルバック 絶縁膜形成方法及び絶縁膜形成装置
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
TWI554636B (zh) * 2012-04-25 2016-10-21 應用材料股份有限公司 由金屬脒鹽前驅物製造介電膜的方法
TW201408679A (zh) * 2012-05-25 2014-03-01 Air Liquide 用於蒸氣沈積之含鈦前驅物
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
WO2014070682A1 (en) 2012-10-30 2014-05-08 Advaned Technology Materials, Inc. Double self-aligned phase change memory device structure
JP6184030B2 (ja) 2012-12-25 2017-08-23 株式会社Adeka アルミニウム化合物、薄膜形成用原料及び薄膜の製造方法
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
KR102123996B1 (ko) 2013-02-25 2020-06-17 삼성전자주식회사 알루미늄 전구체, 이를 이용한 박막 형성 방법 및 커패시터 형성 방법
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
KR101993355B1 (ko) * 2013-03-13 2019-09-30 삼성전자주식회사 반도체 장치의 제조 방법
WO2014140672A1 (en) * 2013-03-15 2014-09-18 L'air Liquide, Societe Anonyme Pour I'etude Et I'exploitation Des Procedes Georges Claude Bis(alkylimido)-bis(alkylamido)molybdenum molecules for deposition of molybdenum-containing films
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
KR20210020175A (ko) 2013-11-13 2021-02-23 레르 리키드 쏘시에떼 아노님 뿌르 레뜌드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 5 족 전이 금속-함유 필름의 증착을 위한 5 족 전이 금속-함유 화합물
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
TWI686499B (zh) 2014-02-04 2020-03-01 荷蘭商Asm Ip控股公司 金屬、金屬氧化物與介電質的選擇性沉積
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
JP2015193878A (ja) * 2014-03-31 2015-11-05 東京エレクトロン株式会社 TiSiN膜の成膜方法および成膜装置
US10415153B2 (en) * 2014-04-02 2019-09-17 Franck Natali Doped rare earth nitride materials and devices comprising same
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
JP6225837B2 (ja) 2014-06-04 2017-11-08 東京エレクトロン株式会社 成膜装置、成膜方法、記憶媒体
KR102193623B1 (ko) 2014-06-05 2020-12-21 삼성전자주식회사 커패시터 및 그 제조 방법
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
JP6354539B2 (ja) * 2014-11-25 2018-07-11 東京エレクトロン株式会社 基板処理装置、基板処理方法、記憶媒体
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9663547B2 (en) * 2014-12-23 2017-05-30 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Silicon- and Zirconium-containing compositions for vapor deposition of Zirconium-containing films
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9875890B2 (en) * 2015-03-24 2018-01-23 Lam Research Corporation Deposition of metal dielectric film for hardmasks
KR102314722B1 (ko) 2015-05-27 2021-10-20 에이에스엠 아이피 홀딩 비.브이. 몰리브덴 또는 텅스텐 함유 박막의 ald용 전구체의 합성 및 사용
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US10566185B2 (en) 2015-08-05 2020-02-18 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10121699B2 (en) 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10814349B2 (en) 2015-10-09 2020-10-27 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10343186B2 (en) 2015-10-09 2019-07-09 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US10858379B2 (en) * 2015-11-11 2020-12-08 Korea Research Institute Of Chemical Technology Metal precursor for making metal oxide
KR20170058820A (ko) * 2015-11-19 2017-05-29 주식회사 유진테크 머티리얼즈 유기 4족 화합물을 포함하는 전구체 조성물 및 이를 이용한 박막 형성 방법
KR20160105714A (ko) 2015-11-26 2016-09-07 김현창 지르코늄 금속을 함유하는 신규한 유기금속 화합물 및 그 제조 방법, 그리고 이를 이용한 박막의 제조 방법
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9981286B2 (en) 2016-03-08 2018-05-29 Asm Ip Holding B.V. Selective formation of metal silicides
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10204782B2 (en) 2016-04-18 2019-02-12 Imec Vzw Combined anneal and selective deposition process
US10551741B2 (en) 2016-04-18 2020-02-04 Asm Ip Holding B.V. Method of forming a directed self-assembled layer on a substrate
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US10662527B2 (en) 2016-06-01 2020-05-26 Asm Ip Holding B.V. Manifolds for uniform vapor deposition
US10014212B2 (en) 2016-06-08 2018-07-03 Asm Ip Holding B.V. Selective deposition of metallic films
US9803277B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Reaction chamber passivation and selective deposition of metallic films
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
JP6691009B2 (ja) * 2016-07-05 2020-04-28 株式会社Adeka 金属炭化物含有薄膜形成用原料及び金属炭化物含有薄膜の製造方法
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10358407B2 (en) 2016-10-12 2019-07-23 Asm Ip Holding B.V. Synthesis and use of precursors for vapor deposition of tungsten containing thin films
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10106568B2 (en) 2016-10-28 2018-10-23 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Hafnium-containing film forming compositions for vapor deposition of hafnium-containing films
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10465289B2 (en) * 2016-12-30 2019-11-05 L'Air Liquide, Société Anonyme pour l'Etude at l'Exploitation des Procédés Georges Claude Zirconium, hafnium, titanium precursors and deposition of group 4 containing films using the same
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
JP7169072B2 (ja) 2017-02-14 2022-11-10 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US10176984B2 (en) 2017-02-14 2019-01-08 Lam Research Corporation Selective deposition of silicon oxide
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10242866B2 (en) 2017-03-08 2019-03-26 Lam Research Corporation Selective deposition of silicon nitride on silicon oxide using catalytic control
US10043656B1 (en) 2017-03-10 2018-08-07 Lam Research Corporation Selective growth of silicon oxide or silicon nitride on silicon surfaces in the presence of silicon oxide
US11631580B2 (en) 2017-03-15 2023-04-18 Versum Materials Us, Llc Formulation for deposition of silicon doped hafnium oxide as ferroelectric materials
US11081337B2 (en) * 2017-03-15 2021-08-03 Versum Materials U.S., LLC Formulation for deposition of silicon doped hafnium oxide as ferroelectric materials
US11193206B2 (en) * 2017-03-15 2021-12-07 Versum Materials Us, Llc Formulation for deposition of silicon doped hafnium oxide as ferroelectric materials
US9911595B1 (en) 2017-03-17 2018-03-06 Lam Research Corporation Selective growth of silicon nitride
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
JP7000882B2 (ja) * 2017-03-31 2022-01-19 Tdk株式会社 酸窒化物薄膜および容量素子
US10479732B2 (en) 2017-03-31 2019-11-19 Tdk Corporation Oxynitride thin film and capacitance element
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10559461B2 (en) 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
CN115233183A (zh) 2017-05-16 2022-10-25 Asm Ip 控股有限公司 电介质上氧化物的选择性peald
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10900120B2 (en) 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
KR102357946B1 (ko) * 2017-08-17 2022-02-08 어플라이드 머티어리얼스, 인코포레이티드 올레핀 분리기가 없는 Li-이온 배터리
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10460930B2 (en) 2017-11-22 2019-10-29 Lam Research Corporation Selective growth of SiO2 on dielectric surfaces in the presence of copper
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
JP7235466B2 (ja) * 2018-01-26 2023-03-08 レール・リキード-ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード ランタノイド化合物、ランタノイド含有薄膜、および該ランタノイド化合物を用いたランタノイド含有薄膜の成膜方法
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019156451A1 (ko) * 2018-02-07 2019-08-15 주식회사 유피케미칼 4 족 금속 원소-함유 화합물, 이의 제조 방법, 이를 포함하는 막 형성용 전구체 조성물, 및 이를 이용하는 막의 형성 방법
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11404275B2 (en) 2018-03-02 2022-08-02 Lam Research Corporation Selective deposition using hydrolysis
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
JP7146690B2 (ja) 2018-05-02 2022-10-04 エーエスエム アイピー ホールディング ビー.ブイ. 堆積および除去を使用した選択的層形成
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
WO2020050974A1 (en) * 2018-09-03 2020-03-12 Applied Materials, Inc. Direct liquid injection system for thin film deposition
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
JP2020056104A (ja) 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
WO2020122506A2 (ko) * 2018-12-12 2020-06-18 에스케이트리켐 주식회사 금속막 형성용 전구체 조성물, 이를 이용한 금속막 형성 방법 및 상기 금속막을 포함하는 반도체 소자.
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11492701B2 (en) 2019-03-19 2022-11-08 Asm Ip Holding B.V. Reactor manifolds
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11965238B2 (en) 2019-04-12 2024-04-23 Asm Ip Holding B.V. Selective deposition of metal oxides on metal surfaces
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11462398B2 (en) 2019-07-17 2022-10-04 International Business Machines Corporation Ligand selection for ternary oxide thin films
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210048408A (ko) 2019-10-22 2021-05-03 에이에스엠 아이피 홀딩 비.브이. 반도체 증착 반응기 매니폴드
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11139163B2 (en) 2019-10-31 2021-10-05 Asm Ip Holding B.V. Selective deposition of SiOC thin films
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210089077A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 가스 공급 어셈블리, 이의 구성 요소, 및 이를 포함하는 반응기 시스템
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202136571A (zh) 2020-02-10 2021-10-01 荷蘭商Asm Ip 控股公司 高深寬比孔內的氧化鉿之沉積
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
TW202140833A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 相對於金屬表面在介電表面上之氧化矽的選擇性沉積
TW202140832A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氧化矽在金屬表面上之選擇性沉積
TW202204658A (zh) 2020-03-30 2022-02-01 荷蘭商Asm Ip私人控股有限公司 在兩不同表面上同時選擇性沉積兩不同材料
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US20220205099A1 (en) * 2020-12-29 2022-06-30 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Group iv element containing precursors and deposition of group iv element containing films
KR102309466B1 (ko) * 2021-04-06 2021-10-07 삼성엔지니어링 주식회사 암모니아 분해촉매 및 이를 이용한 암모니아 분해방법
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
KR20220157741A (ko) * 2021-05-21 2022-11-29 주식회사 아이켐스 신규한 하프늄 함유 화합물, 이를 함유하는 하프늄 전구체 조성물, 상기 하프늄 전구체 조성물을 이용한 하프늄 함유 박막 및 이의 제조방법.
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
KR102649530B1 (ko) * 2021-12-23 2024-03-20 연세대학교 산학협력단 Ald 공정을 이용한 산화지르코늄 결정 박막 저온 증착 방법
WO2024030616A1 (en) * 2022-08-05 2024-02-08 Dow Global Technologies Llc Asymmetrical hafnium metallocenes

Family Cites Families (77)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1988010275A1 (en) 1987-06-17 1988-12-29 The Dow Chemical Company Catalyst and process for preparation of syndiotactic polystyrene
BR9104067A (pt) 1990-09-20 1992-05-26 Dow Chemical Co Composto,processo para preparacao de compostos cataliticos e processo para polimerizacao de um ou mais monomeros
US6428623B2 (en) 1993-05-14 2002-08-06 Micron Technology, Inc. Chemical vapor deposition apparatus with liquid feed
KR100404378B1 (ko) 1994-11-29 2004-04-03 이데미쓰세끼유가가꾸가부시끼가이샤 스티렌계중합체및성형체
IT1272939B (it) 1995-02-01 1997-07-01 Enichem Spa Catalizzatore metallocenico supportato per la (co)polimerizzazione delle olefine
JP3787574B2 (ja) 1995-02-28 2006-06-21 マイクロン・テクノロジー・インコーポレーテッド プレカーサを用いた化学蒸着
US5527752A (en) * 1995-03-29 1996-06-18 Union Carbide Chemicals & Plastics Technology Corporation Catalysts for the production of polyolefins
US5587439A (en) 1995-05-12 1996-12-24 Quantum Chemical Corporation Polymer supported catalyst for olefin polymerization
US5665818A (en) 1996-03-05 1997-09-09 Union Carbide Chemicals & Plastics Technology Corporation High activity staged reactor process
IT1283010B1 (it) * 1996-05-15 1998-04-03 Enichem Spa Complesso metallocenico supportato e procedimento per la sua prepa- razione
US5693727A (en) 1996-06-06 1997-12-02 Union Carbide Chemicals & Plastics Technology Corporation Method for feeding a liquid catalyst to a fluidized bed polymerization reactor
US5986533A (en) 1996-06-18 1999-11-16 Dale Electronics, Inc. Monolithic thick film inductor
US6197683B1 (en) 1997-09-29 2001-03-06 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact of semiconductor device using the same
TW383427B (en) 1998-04-03 2000-03-01 United Microelectronics Corp Method for etching tantalum oxide
JP2002517571A (ja) 1998-06-12 2002-06-18 ユニベーション・テクノロジーズ・エルエルシー 活性化されたルイス酸−塩基錯体を使用するオレフィン重合方法
US6069109A (en) 1998-07-01 2000-05-30 Union Carbide Chemicals & Plastics Technology Corporation Process for the production of half-sandwich transition metal based catalyst precursors
FI108375B (fi) 1998-09-11 2002-01-15 Asm Microchemistry Oy Menetelmõ eristõvien oksidiohutkalvojen valmistamiseksi
CA2248463A1 (en) * 1998-09-28 2000-03-28 Scott Collins Iminophosphonamide complexes for olefin polymerization
US6445023B1 (en) * 1999-03-16 2002-09-03 Micron Technology, Inc. Mixed metal nitride and boride barrier layers
US6238734B1 (en) 1999-07-08 2001-05-29 Air Products And Chemicals, Inc. Liquid precursor mixtures for deposition of multicomponent metal containing materials
JP3862900B2 (ja) 1999-10-01 2006-12-27 株式会社トリケミカル研究所 導電性バリア膜形成材料、導電性バリア膜形成方法、及び配線膜形成方法
FI117942B (fi) * 1999-10-14 2007-04-30 Asm Int Menetelmä oksidiohutkalvojen kasvattamiseksi
US6743473B1 (en) * 2000-02-16 2004-06-01 Applied Materials, Inc. Chemical vapor deposition of barriers from novel precursors
FI117979B (fi) 2000-04-14 2007-05-15 Asm Int Menetelmä oksidiohutkalvojen valmistamiseksi
US6984591B1 (en) 2000-04-20 2006-01-10 International Business Machines Corporation Precursor source mixtures
JP4868639B2 (ja) 2000-06-12 2012-02-01 株式会社Adeka 化学気相成長用原料及びこれを用いた薄膜の製造方法
AU2001285235A1 (en) 2000-08-28 2002-03-13 Advanced Technology Materials, Inc. Source reagent compositions and method for forming metal films on a substrate bychemical vapor deposition
JP4693970B2 (ja) 2000-09-14 2011-06-01 株式会社トリケミカル研究所 ゲート酸化膜形成方法
JP3409290B2 (ja) 2000-09-18 2003-05-26 株式会社トリケミカル研究所 ゲート酸化膜形成材料
US6884719B2 (en) * 2001-03-20 2005-04-26 Mattson Technology, Inc. Method for depositing a coating having a relatively high dielectric constant onto a substrate
US7005392B2 (en) * 2001-03-30 2006-02-28 Advanced Technology Materials, Inc. Source reagent compositions for CVD formation of gate dielectric thin films using amide precursors and method of using same
US6642131B2 (en) * 2001-06-21 2003-11-04 Matsushita Electric Industrial Co., Ltd. Method of forming a silicon-containing metal-oxide gate dielectric by depositing a high dielectric constant film on a silicon substrate and diffusing silicon from the substrate into the high dielectric constant film
US6669990B2 (en) * 2001-06-25 2003-12-30 Samsung Electronics Co., Ltd. Atomic layer deposition method using a novel group IV metal precursor
JP4472338B2 (ja) 2001-10-26 2010-06-02 シグマ−アルドリッチ・カンパニー 化学気相成長のための改良された前駆体
US6858547B2 (en) 2002-06-14 2005-02-22 Applied Materials, Inc. System and method for forming a gate dielectric
EP1523763A4 (en) 2002-07-18 2008-12-24 Aviza Tech Inc MOLECULAR LAYER DEPOSITION OF THIN FILMS WITH MIXED COMPONENTS
AU2003249254A1 (en) * 2002-07-19 2004-02-09 Aviza Technology, Inc. Metal organic chemical vapor deposition and atomic layer deposition of metal oxynitride and metal silicon oxynitride
TW200408015A (en) 2002-08-18 2004-05-16 Asml Us Inc Atomic layer deposition of high K metal silicates
US7112485B2 (en) * 2002-08-28 2006-09-26 Micron Technology, Inc. Systems and methods for forming zirconium and/or hafnium-containing layers
US6689675B1 (en) * 2002-10-31 2004-02-10 Intel Corporation Method for making a semiconductor device having a high-k gate dielectric
JP4290421B2 (ja) * 2002-12-27 2009-07-08 Necエレクトロニクス株式会社 半導体装置及びその製造方法
US20040144980A1 (en) 2003-01-27 2004-07-29 Ahn Kie Y. Atomic layer deposition of metal oxynitride layers as gate dielectrics and semiconductor device structures utilizing metal oxynitride layers
JP2004296887A (ja) * 2003-03-27 2004-10-21 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
US20040198069A1 (en) * 2003-04-04 2004-10-07 Applied Materials, Inc. Method for hafnium nitride deposition
US6844271B2 (en) 2003-05-23 2005-01-18 Air Products And Chemicals, Inc. Process of CVD of Hf and Zr containing oxynitride films
US20050056219A1 (en) 2003-09-16 2005-03-17 Tokyo Electron Limited Formation of a metal-containing film by sequential gas exposure in a batch type processing system
JP2005104994A (ja) 2003-09-26 2005-04-21 Sekisui Chem Co Ltd 無機薄膜成膜方法
KR101012950B1 (ko) 2003-10-15 2011-02-08 삼성전자주식회사 유기 절연체 형성용 조성물 및 이를 이용하여 제조된 유기절연체
JP2005171291A (ja) 2003-12-09 2005-06-30 Tosoh Corp チタン含有薄膜およびその製造方法
JP2005187356A (ja) * 2003-12-25 2005-07-14 Mitsubishi Materials Corp 有機金属化合物及びその溶液原料並びに該化合物を用いた金属含有膜の形成方法
JP2005209766A (ja) 2004-01-21 2005-08-04 Mitsubishi Materials Corp ハフニウム含有酸化膜の製造方法
US20060062910A1 (en) 2004-03-01 2006-03-23 Meiere Scott H Low zirconium, hafnium-containing compositions, processes for the preparation thereof and methods of use thereof
US20050252449A1 (en) * 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
JP4666339B2 (ja) 2004-05-14 2011-04-06 株式会社トリケミカル研究所 導電性バリア膜形成材料、導電性バリア膜形成方法、及び配線膜形成方法
US20060062917A1 (en) * 2004-05-21 2006-03-23 Shankar Muthukrishnan Vapor deposition of hafnium silicate materials with tris(dimethylamino)silane
US8323754B2 (en) * 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
JP2005351450A (ja) 2004-06-14 2005-12-22 Atsuyoshi Mantani 転がり球免震支承の小径球強制循環転動路構造及び小径球循環路構造
US7250367B2 (en) 2004-09-01 2007-07-31 Micron Technology, Inc. Deposition methods using heteroleptic precursors
KR100728962B1 (ko) 2004-11-08 2007-06-15 주식회사 하이닉스반도체 지르코늄산화막을 갖는 반도체소자의 캐패시터 및 그 제조방법
US7514119B2 (en) 2005-04-29 2009-04-07 Linde, Inc. Method and apparatus for using solution based precursors for atomic layer deposition
US20070001231A1 (en) * 2005-06-29 2007-01-04 Amberwave Systems Corporation Material systems for dielectrics and metal electrodes
WO2007005088A2 (en) 2005-07-01 2007-01-11 Honeywell International Inc. Vaporizable metalorganic compounds for deposition of metals and metal-containing thin films
CA2615982C (en) 2005-07-19 2012-02-21 Exxonmobil Chemical Patents Inc. Polyalpha-olefin compositions and processes to produce the same
JP2007131288A (ja) 2005-11-11 2007-05-31 Hideo Sunaga 省エネルギー型ジェット機
GB2432363B (en) * 2005-11-16 2010-06-23 Epichem Ltd Hafnocene and zirconocene precursors, and use thereof in atomic layer deposition
WO2007066546A1 (ja) 2005-12-06 2007-06-14 Tri Chemical Laboratories Inc. ハフニウム系化合物、ハフニウム系薄膜形成材料、及びハフニウム系薄膜形成方法
CN101460657A (zh) * 2006-06-02 2009-06-17 乔治洛德方法研究和开发液化空气有限公司 基于新型钛、锆和铪前体的高k介电膜的形成方法及其用于半导体制造的用途
KR100804413B1 (ko) 2006-06-21 2008-02-20 (주)디엔에프 지르코늄 산화물 박막 증착용 유기금속 선구물질 및 이의제조 방법
US8795771B2 (en) 2006-10-27 2014-08-05 Sean T. Barry ALD of metal-containing films using cyclopentadienyl compounds
US7666752B2 (en) 2007-01-19 2010-02-23 Qimonda Ag Deposition method for a transition-metal-containing dielectric
US7833913B2 (en) * 2007-03-20 2010-11-16 Tokyo Electron Limited Method of forming crystallographically stabilized doped hafnium zirconium based films
KR20080101040A (ko) 2007-05-15 2008-11-21 주식회사 유피케미칼 금속 박막 또는 세라믹 박막 증착용 유기 금속 전구체화합물 및 이를 이용한 박막 증착 방법
KR101353824B1 (ko) * 2007-06-12 2014-01-21 삼성전자주식회사 유기 절연체 형성용 조성물 및 이를 이용하여 제조된 유기절연체
CN101827956A (zh) 2007-09-14 2010-09-08 西格玛-奥吉奇公司 采用基于单环戊二烯基钛的前体通过原子层沉积制备含钛薄膜的方法
JP5535945B2 (ja) 2008-02-27 2014-07-02 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 原子層蒸着(ald)法を用いる基板上にチタン含有層を形成する方法
JP5428702B2 (ja) 2009-09-24 2014-02-26 日本電気株式会社 ストリーム通信システム、サーバ装置及びクライアント装置
KR101598485B1 (ko) 2014-06-20 2016-02-29 주식회사 유진테크 머티리얼즈 성막용 전구체 조성물 및 이를 이용한 박막 형성 방법

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2013070702A1 (en) * 2011-11-08 2013-05-16 Applied Materials, Inc. Deposition of metal films using alane-based precursors
US8927059B2 (en) 2011-11-08 2015-01-06 Applied Materials, Inc. Deposition of metal films using alane-based precursors
WO2014168312A1 (ko) * 2013-04-08 2014-10-16 주식회사 유피케미칼 4 족 전이금속-함유 전구체 화합물 및 이를 이용하는 박막의 증착 방법
KR20170098850A (ko) * 2014-12-23 2017-08-30 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 지르코늄-함유 막의 증기 증착을 위한 지르코늄-함유 막 형성 조성물

Also Published As

Publication number Publication date
CN101896638A (zh) 2010-11-24
KR101502251B1 (ko) 2015-03-12
US8470402B2 (en) 2013-06-25
US9583335B2 (en) 2017-02-28
EP2029791A2 (en) 2009-03-04
TW200813245A (en) 2008-03-16
WO2007141059A3 (en) 2010-06-17
EP2261389B1 (en) 2012-01-18
CN101982562A (zh) 2011-03-02
IL195227A (en) 2014-06-30
TWI525210B (zh) 2016-03-11
JP2010506378A (ja) 2010-02-25
TW201116643A (en) 2011-05-16
CN101982562B (zh) 2013-02-27
US20090203222A1 (en) 2009-08-13
JP5539153B2 (ja) 2014-07-02
EP2261389A2 (en) 2010-12-15
US8399056B2 (en) 2013-03-19
KR20100122962A (ko) 2010-11-23
TWI374196B (en) 2012-10-11
EP2261389A3 (en) 2010-12-22
EP2540861B1 (en) 2015-01-14
ATE541959T1 (de) 2012-02-15
US9911590B2 (en) 2018-03-06
EP2540861A1 (en) 2013-01-02
WO2007140813A1 (en) 2007-12-13
JP2011071528A (ja) 2011-04-07
US20170125242A1 (en) 2017-05-04
US20110207337A1 (en) 2011-08-25
US8668957B2 (en) 2014-03-11
KR101106349B1 (ko) 2012-01-18
CN101896638B (zh) 2014-05-28
IL195227A0 (en) 2009-08-03
EP2029790A1 (en) 2009-03-04
US20180151354A1 (en) 2018-05-31
JP2009539237A (ja) 2009-11-12
IL208360A0 (en) 2010-12-30
WO2007141059A2 (en) 2007-12-13
JP2014039045A (ja) 2014-02-27
CN101460657A (zh) 2009-06-17
US20140242812A1 (en) 2014-08-28
IL208360A (en) 2014-05-28
KR20130027578A (ko) 2013-03-15
US20090311879A1 (en) 2009-12-17
US10217629B2 (en) 2019-02-26

Similar Documents

Publication Publication Date Title
US10217629B2 (en) Method of forming dielectric films, new precursors and their use in semiconductor manufacturing
US9076648B2 (en) Preparation of lanthanide-containing precursors and deposition of lanthanide-containing films
JP5535945B2 (ja) 原子層蒸着(ald)法を用いる基板上にチタン含有層を形成する方法
JP5275243B2 (ja) 新規なv族金属含有前駆体および金属含有膜の堆積のためのその使用
US9711347B2 (en) Preparation of lanthanide-containing precursors and deposition of lanthanide-containing films
WO2010040741A1 (en) Niobium and vanadium organometallic precursors for thin film deposition
EP2499274B1 (en) Deposition methods using hafnium-containing compounds

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
AMND Amendment
E902 Notification of reason for refusal
A107 Divisional application of patent
AMND Amendment
E601 Decision to refuse application
AMND Amendment
J201 Request for trial against refusal decision
B601 Maintenance of original decision after re-examination before a trial
E801 Decision on dismissal of amendment
J121 Written withdrawal of request for trial
WITB Written withdrawal of application
J301 Trial decision

Free format text: TRIAL DECISION FOR APPEAL AGAINST DECISION TO DECLINE REFUSAL REQUESTED 20130828

Effective date: 20131204