TWI426154B - 供半導體應用之新穎鈷前驅物 - Google Patents

供半導體應用之新穎鈷前驅物 Download PDF

Info

Publication number
TWI426154B
TWI426154B TW097118817A TW97118817A TWI426154B TW I426154 B TWI426154 B TW I426154B TW 097118817 A TW097118817 A TW 097118817A TW 97118817 A TW97118817 A TW 97118817A TW I426154 B TWI426154 B TW I426154B
Authority
TW
Taiwan
Prior art keywords
group
cobalt
hexadienyl
metal precursor
diene
Prior art date
Application number
TW097118817A
Other languages
English (en)
Other versions
TW200907096A (en
Inventor
Christian Dussarrat
Original Assignee
Air Liquide
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Air Liquide filed Critical Air Liquide
Publication of TW200907096A publication Critical patent/TW200907096A/zh
Application granted granted Critical
Publication of TWI426154B publication Critical patent/TWI426154B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F15/00Compounds containing elements of Groups 8, 9, 10 or 18 of the Periodic System
    • C07F15/06Cobalt compounds
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F17/00Metallocenes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/308Oxynitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/406Oxides of iron group metals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy

Description

供半導體應用之新穎鈷前驅物 【相關申請案之交互參照】
此申請案聲明2007年5月21日提出申請之美國優先專利申請案第60/939,269號之權利,茲將該案全文以引用方式納入本文中。
背景
本本發明一般係關於半導體製造之範疇。更特別地,本發明係關於用以使含有鈷的膜沉積在基板上之新穎前驅物。
矽化鈷是在電子範疇(特別是關於積體電路和微電子裝置之製造)中之有用化合物。隨著裝置逐漸縮小,矽化鈷因其良好的熱和化學安定性、低電阻率、寬的加工範圍和與矽晶體晶格不相符的小而使得矽化鈷得以在矽上取向附生,而對於矽化鈷的興趣日增。
相較於其他沉積方法(如,物理蒸鍍(PVD)法,如噴濺、分子束取向附生和離子束植入),ALD(原子層沉積)和CVD(化學蒸鍍)是沉積金屬和金屬矽化物膜之特別有用的技巧。CVD亦可用以提供設計和製造電子裝置之變通性,包括減少提供所欲產物之處理步驟的數目之潛能。
眾多金屬的ALD和CVD曾因為缺乏適當的前驅物化合物而受阻。例如,慣用的鈷有機金屬前驅物,(如, Co(acac)2 、Co(acac)3 (acac:乙醯基丙酮配位子)、Co2 (CO)8 、Co(C5 H5 )2 (二茂鈷)、Co(C5 H5 )(CO)2 和Co(CO)3 (NO))未曾被證實具有用以形成裝置品質的矽化鈷膜之令人滿意的性質。其他前驅物(如,Co(acac)2 和Co(acac)3 )的蒸汽壓低並因此而須要高溫來製造足以支持CVD或ALD的蒸汽流。含有羰基的分子有分解之虞,特別是在暴光或熱的期間內,其會導致非常有害的毒性CO分子釋出。含膦的分子因為相同的原因而不符資格。有機膦非常危險而PF3 具有毒性且可能會導致所不欲的磷污染及氟誘發的腐蝕/損害。這樣的化學品可能會因此而受到限制規定之管制。例如,Co2 (CO)8 具揮發性且可以無須添加還原劑地製造鈷金屬塗層,但因為過於熱不安定,在儲存期間內(甚至於真空或惰性氣氛中)引發競爭性副反應和分解反應,以致於無法成為實用的CVD前驅物。類似地,當沉積處理於低於350℃或氫流率低於500標準立方公分(sccm)進行時,Co(CO)3 (NO)易使得所得的鈷和矽化鈷層遭受無法接受的碳和氧之污染。二茂鈷可用以沉積鈷膜,但這樣的膜易有嚴重的碳和氧污染問題,即使使用氫作為還原劑亦然。
因此,對於用於ALD和CVD應用之適當的鈷前驅物之新的鈷有需求存在。
概要說明
此處描述用以沉積含有鈷的膜之方法和前驅物。一般 而言,所揭示的前驅化合物利用偶合至鈷的戊二烯基或環戊二基配位子來提高熱安定性。此方法和組成物可用於眾多沉積法中,且此組成物具有數個優點,如,於室溫之熱安定性,及沒有具毒性的磷化合物存在。方法和組成物的其他方面將更詳細地述於下文中。
一具體實例中,一個用以將含有鈷的膜沉積在一或多個基板上之方法,其包含將鈷前驅物引至含有一或多個基板的反應槽中。此鈷前驅物具有下列通式:(Ry OP)x (Rt Cp)z CoR’u
其中-Ry OP是戊二烯基(Op)配位子,其經取代或未經取代,戊二烯基配位子上的任何位置中的R配位子之數目y經獨立地選擇;-Rt Cp是環戊二烯基(Cp)配位子,其經取代或未經取代,環戊二烯基配位子上的任何位置中的R配位子之數目t經獨立地選擇;-R是配位子,其獨立地選自由具有1至4個碳原子的烷基、氫基、烷醯胺、烷氧基、烷基甲矽烷基醯胺、脒基(amidinate)、異腈和羰基所組成之群,且其中每一個R可與另一個R相同或相異;-R’是配位子,其選自由具有偶數個π鍵之具有1至4個碳原子的烷基所組成之群,且其中每一個R’可與另一個R’相同或相異;-y是範圍由0至7的整數,以y=2為佳,且每一 個R是甲基;-x是範圍由0至1的整數;-t是範圍由0至5的整數,以t=1為佳,且每一個R是甲基;-z是範圍由0至1的整數;而-u是範圍由1至2的整數。
之後,沉積此鈷前驅物以在反應槽中的基板上形成含有鈷的膜。
另一體系中,第一金屬前驅物和至少一種反應流體亦引至反應槽中。此反應流體係選自含氫的流體、含氧的流體、含氮的流體,和含氧和氮的流體。此鈷前驅物與反應流體反應,於介於約100和500℃之間的溫度,在基板上形成含有鈷的膜。此含有鈷的膜具有通式:CoMa Ob Nc
其中-M是金屬或半金屬元素;-Co是鈷原子,O是氧原子,而N是氮原子;-0a<2;-0b2,以0.5b1.5為佳;和-0c1,以0c0.5為佳。
本發明的其他具體實例可包括,但不限於,下列特徵之一或多者:-含有鈷的膜中的M是鎂(Mg)、鈣(Ca)、鋅(Zn)、硼(B)、鋁(Al)、銦(In)、鈧(Sc)、釔(Y)、鑭(La)、稀土金 屬、矽(Si)、鍺(Ge)、錫(Sn)、鈦(Ti)、鋯(Zr)、鉿(Hf)、釩(V)、鈮(Nb)和鉭(Ta)之一;-含有鈷的膜係於介於約150℃和約350℃之間的溫度形成於基板上;-反應流體係O2 、O3 、H2 O、H2 O2 、含有氧的自由基(如,O 和OH ),和其混合物之一;-反應流體係N2 、NH3 、肼和其烷基或芳基衍生物、含有氮的自由基(如,N 、NH 、NH2 ),和其混合物之一;-反應流體係NO、NO2 、N2 O、N2 O5 、N2 O4 ,和其混合物之一;-反應槽中的壓力介於約1 Pa和約100,000 Pa之間,以介於約25 Pa和約1000 Pa之間為佳;-第一金屬前驅物之熔點低於約50℃,以低於約35℃為佳,更佳地,其熔點使得此金屬前驅物於室溫(即,約25℃)為液體;-第一金屬前驅物選自含有矽的金屬前驅物、含有鍺的前驅物、含有鋁的前驅物、含有鈮的前驅物和含有鉭的前驅物;-第一金屬前驅物選自二矽氧烷、三甲矽烷基胺、二矽烷和三矽烷,和其混合物;-第一金屬前驅物選自二鍺氧烷(digermyloxane)、三鍺胺(trigermylamine)、二鍺烷(digermane)和三鍺烷(trigermane),和其混合物; -第一金屬前驅物選自三甲基鋁、二甲基氫化鋁和式AlR1 x (NR2 R3 )3-x 的醯胺基三氫化鋁(amidoalane),其中x的範圍由0至4;R1 、R2 和R3 獨立地為H或C1-C6碳鏈,其為直鏈、支鏈或環狀,和其混合物;-第一金屬前驅物選自Ta(NMe2 )5 、Ta(NEt2 )4 、Ta(NEt2 )5 和Ta(=NR1 )(NR2 R3 )3 (其中R1 、R2 和R3 每一者獨立地為H或C1-C6碳鏈,其為直鏈、支鏈或環狀且其中的胺基配位子可以具有不同的取代基),和其混合物;-第一金屬前驅物選自Nb(NMe2 )5 、Nb(NEt2 )4 、Nb(NEt2 )5 、Nb(NMe2 )4 、Nb(NMeEt)4 、Nb(NMeEt)5 、Nb(=NR1 )(NR2 R3 )3 (其中R1 、R2 和R3 每一者獨立地為H或C1-C6碳鏈,其為直鏈、支鏈或環狀且其中的胺基配位子可以具有不同的取代基),和其混合物。
一具體實例中,用以將含鈷的膜沉積於一或多個基板上的前驅物具有下列通式:(Ry OP)x (Rt Cp)z CoR’u
其中-Ry OP是戊二烯基(Op)配位子,其經取代或未經取代,戊二烯基配位子上的任何位置中的R配位子之數目y經獨立地選擇;-Rt Cp是環戊二烯基(Cp)配位子,其經取代或未經取代,環戊二烯基配位子上的任何位置中的R配位子之數目t經獨立地選擇;-R是配位子,其獨立地選自由具有1至4個碳原 子的烷基、氫基、烷醯胺基、烷氧基、烷基甲矽烷基醯胺基、脒基、異腈和羰基所組成之群,且其中每一個R可與另一個R相同或相異;-R’是配位子,其選自由具有偶數個π鍵之具有1至4個碳原子的烷基所組成之群,且其中每一個R’可與另一個R’相同或相異;-y是範圍由0至7的整數,以y=2為佳,且每一個R是甲基;-x是範圍由0至1的整數;-t是範圍由0至5的整數,以t=1為佳,且每一個R是甲基;-z是範圍由0至1的整數;而-u是範圍由1至2的整數。
前述者以較寬廣的範圍描述本發明之特徵和技巧優點,以便能夠更瞭解下列關於本發明之詳述。下文將描述本發明的其他特徵和優點,其構成本發明之申請專利範圍的標的。嫻於此技術者應瞭解的是,所揭示的觀點和特定具體實例可以不費力地作為修飾或設計用以實施本發明之相同目的的其他構成之基礎。嫻於此技術者亦應瞭解的是,這樣的對等構成未背離所附申請專利範圍中所示之本發明之精神和範圍。
標記和命名
下列描述和申請專利範圍中使用的某些詞彙是指特別的系統組份。此文件無意於區別名稱不同但非功能不同的 組份。
下列討論和申請專利範圍中,所謂”包括”和”包含”係以開放端的方式使用,並因此應解釋為”包括,不限於..”。同樣地,”偶合”是指間接或直接化學鍵。因此,如果第一個分子偶合至第二個分子,此連接可經由直接鍵,或經由其他官能基或鍵之間接鍵。此鍵可為任何已知的化學鍵,例如,但不限於,共價、離子、靜電、偶極-偶極..等。
此處所謂的”烷基”係指僅含有碳和氫原子的飽和官能基。此外,所謂的”烷基”係指直鏈、支鏈或環狀烷基。直鏈烷基的例子包括,但不限於,甲基、乙基、丙基、丁基..等。支鏈烷基的例子包括,但不限於,第三丁基。環烷基的例子包括,但不限於,環丙基、環戊基、環己基..等。
此處所用的縮寫,”Me”,是指甲基;縮寫,”Et”,是指乙基;縮寫,”Pr”,是指丙基;而縮寫,”iPr”,是指異丙基。
較佳具體實例敘述
一具體實例中,使用具有前述通式(Ry OP)x (Rt Cp)z CoR’u 的鈷前驅物形成前述通式CoMa Ob Nc 之含有鈷的膜。
根據一具體實例,Cp配位子可具有下列式:
或者,Cp配位子可以式CpR1-5 表示。R1 -R5 每一者可以獨立地為氫基、C1-C4直鏈或支鏈烷基、烷醯胺基、烷氧基、烷基甲矽烷基醯胺基、脒基、羰基,或其組合。R1-5 可以彼此相同或相異。適當Cp配位子的例子包括,但不限於,甲基環戊二烯基、乙基環戊二烯基、異丙基環戊二烯基,和其組合。在至少一具體實例中,式(1)中所示的Cp配位子中的R1-5 中之至少4者是氫基(即,未經取代者)。
一具體實例中,Op配位子可具有下列式:
此Op配位子亦可以式OpR1-7 表示。R1 -R7 每一者可以獨立地為氫基、鹵素(如,Cl、Br..等)、C1-C4直鏈或支鏈烷基、烷醯胺基、烷氧基、烷基甲矽烷基醯胺基、脒基、羰基,或其組合。R1-7 可以彼此相同或相異。Op配位子的例子包括,但不限於,1,3-戊二烯、1,4-戊二烯、3-甲基-1,3-戊二烯、3-甲基-1,4-戊二烯、2,4-二甲基-1,3-戊二烯、2,4-二甲基-1,4-戊二烯、3-乙基-1,3-戊二烯、1,5-雙三甲氧基甲矽烷基-1,3-戊二烯和1,5-雙三甲氧基甲矽烷基-1,4-戊二烯和其組合。至少一具體實例中,式(2)中所示的Op配位子之R1-7 中之至少5者是氫基(即,未經取代者)。
一具體實例中,鈷前驅物可為具下列通式的鈷化合物:
此具體實例中,x等於0。即,此鈷前驅物僅包含環戊二烯基配位子、t個R配位子和u個R’配位子。可能有一個R’配位子或如圖(3)所示地有2個R’配位子存在。Cp可經甲基或乙基取代。一具體實例中,鈷前驅物是Co(RCp)(乙烯)2。
一具體實例中,鈷前驅物可為具有下列通式的鈷化合物:
此具體實例中,z等於0。亦即,此鈷前驅物僅包含開放的戊二烯基配位子、y個R配位子和u個R’配位子。可能僅有一個R’配位子或如圖(4)所示地有2個R’配位子存在。Op可經甲基或乙基取代。一具體實例中,鈷前驅物是 Co(2,4-R2 Op)(乙烯)2。另一具體實例中,此前驅物可為二伸乙基雙(2,4-二甲基戊二烯基)鈷。
通常,所揭示的鈷前驅物具有低熔點。在至少一個具體實例中,此鈷前驅物於室溫(如,約25℃)為液體。特別地,此前驅物之具體實例之熔點可低於約50℃,或者低於約40℃,或者低於約35℃。
所揭示之鈷前驅物的例子包括,但不限於,CoCp(乙烯)2、Co(MeCp)(乙烯)2、Co(EtCp)(乙烯)2、Co(iPrCp)(乙烯)2、CoCp(丙烯)2、Co(MeCp)(丙烯)2、Co(EtCp)(丙烯)2、Co(iPrCp)(丙烯)2、CoCp(1-丁烯)2、Co(MeCp)(1-丁烯)2、Co(EtCp)(1-丁烯)2、Co(iPrCp)(1-丁烯)2、CoCp(2-丁烯)2、Co(MeCp)(2-丁烯)2、Co(EtCp)(2-丁烯)2、Co(iPrCp)(2-丁烯)2、CoCp(丁二烯)2、Co(MeCp)(丁二烯)2、Co(EtCp)(丁二烯)2、Co(iPrCp)(丁二烯)2、CoCp(環丁二烯)2、Co(MeCp)(環丁二烯)2、Co(EtCp)(環丁二烯)2、Co(iPrCp)(環丁二烯)2、CoCp(環己-1,3-二烯)2、Co(MeCp)(環己-1,3-二烯)2、Co(EtCp)(環己-1,3-二烯)2、Co(iPrCp)(環己-1,3-二烯)2、CoCp(環己-1,4-二烯)2、Co(MeCp)(環己-1,4-二烯)2、Co(EtCp)(環己-1,4-二烯)2、Co(iPrCp)(環己-1,4-二烯)2、CoCp(乙炔)2、Co(MeCp)(乙炔)2、Co(EtCp)(乙炔)2、Co(iPrCp)(乙炔)2、CoCp(三甲基甲矽烷基乙炔)2、Co(MeCp)(三甲基甲矽烷基乙炔)2、Co(EtCp)(三甲基甲矽烷基乙炔)2、Co(iPrCp)(三甲基甲矽烷基乙炔)2、CoCp(雙(三甲基甲矽烷基)乙炔)2、Co(MeCp)(雙(三甲基甲矽烷基)乙 烯)2、Co(EtCp)(雙(三甲基甲矽烷基)乙炔)2、Co(iPrCp)(雙(三甲基甲矽烷基)乙炔)2、Co(2,4-二甲基戊二烯基)(乙烯)2、Co(2,4-二甲基戊二烯基)(丙烯)2、Co(2,4-二甲基戊二烯基)(1-丁烯)2、Co(2,4-二甲基戊二烯基)(2-丁烯)2、Co(2,4-二甲基戊二烯基)(丁二烯)2、Co(2,4-二甲基戊二烯基)(環丁二烯)2、Co(2,4-二甲基戊二烯基)(環己-1,3-二烯)2、Co(2,4-二甲基戊二烯基)(環己-1,4-二烯)2、Co(己二烯基)(乙炔)2、Co(己二烯基)(三甲基甲矽烷基乙炔)2、Co(己二烯基)(雙(三甲基甲矽烷基)乙炔)2、Co(己二烯基)(乙烯)2、Co(己二烯基)(丙烯)2、Co(己二烯基)(1-丁烯)2、Co(己二烯基)(2-丁烯)2、Co(己二烯基)(丁二烯)2、Co(己二烯基)(環丁二烯)2、Co(己二烯基)(環己-1,3-二烯)2、Co(己二烯基)(環己-1,4-二烯)2、Co(己二烯基)(乙炔)2、Co(己二烯基)(三甲基甲矽烷基乙炔)2、Co(己二烯基)(雙(三甲基甲矽烷基)乙炔)2,和其組合。
一些具體實例中,通式CoMa Ob Nc 之含有鈷的膜是純鈷金屬膜,其中a=0,b=0,且c=0,且其中的鈷前驅物係CoCp(CO)2 、Co2 (CO)6 (CH-CtBu)、Co(RCp)2 和其混合物之一。
一些具體實例中,通式COMa Ob Nc 之含有鈷的膜是氧化鈷合金膜,其中a=0,0b<2,且c=0,且其中的鈷前驅物係CoCp(CO)2 、Co2 (CO)6 (CH-CtBu)、Co(RCp)2 和其混合物之一。
一些具體實例中,通式CoMa Ob Nc 之含有鈷的膜是含 有氮化鈷的介電膜,其中a=0,b=0,且0<c0.5,且其中的鈷前驅物係CoCp(CO)2 、Co2 (CO)6 (CH-CtBu)、Co(RCp)2 和其混合物之一。
一些具體實例中,通式CoMa Ob Nc 之含有鈷的膜是含有氮氧化鈷的介電膜,其中a=0,1.5b2.5,且0<c0.5,且其中的鈷前驅物係CoCp(CO)2 、Co2 (CO)6 (CH-CtBu)、Co(RCp)2 和其混合物之一。
一些具體實例中,通式CoMa Ob Nc 之含有鈷的膜是鈷合金膜,其中0a<1,b=0,且c=0,且其中的鈷前驅物係CoCp(CO)2 、Co2 (CO)6 (CH-CtBu)、Co(RCp)2 和其混合物之一。
所揭示之鈷化合物可以使用嫻於此技術之人士習知的任何沉積法沉積。適當的沉積法包括,但不限於,慣用的CVD、低壓化學蒸鍍法(LPCVD)、原子層沉積法(ALD)、脈衝化學蒸鍍法(P-CVD)、電漿增進的原子層沉積法(PE-ALD),或其組合。一具體實例中,可將第一前驅物引至反應槽中。此反應槽可為任何密閉的槽或在適用以使得前驅物之反應並形成層的條件下,可在其中的裝置進行沉積法的槽,例如,但不限於,冷壁型反應器、單一晶圓反應器、多晶圓反應器,或其他類型的沉積系統。此第一前驅物可藉由將惰性氣體(如,N2 、He、Ar..等)通至前驅物中及將惰性氣體和前驅物混合物供應至反應器的方式而引至反應槽中。
通常,此反應槽含有一或多個金屬層或膜將沉積於其 上的基板。此一或多個基板可以是任何適用於半導體製造的基板。適當的基板的例子包括,但不限於,矽基板、氧化矽基板、氮化矽基板、氮氧化矽基板、鎢基板,或其組合。此外,可以使用包含鎢或貴金屬(如,鉑、鈀、銠或金)的基板。
一具體實例中,鈷膜沉積在基板上的方法可以進一步包含將第一金屬前驅物引至反應槽中。此第一金屬前驅物可為含有任何金屬或半金屬元素的金屬前驅物,且特別地,其可為除了第11族金屬以外的一或多種金屬。例如,此第一金屬前驅物可包括,但不限於,Mg、Ca、Zn、B、Al、In、Si、Ge、Sn、Ti、Zr、Hf、V、Nb、Ta,或其組合。金屬的其他例子包括稀土金屬和鑭族金屬。此第一金屬前驅物可含有矽和/鍺。特別地,適當的第一金屬前驅物的例子包括,但不限於,三甲矽烷基胺、矽烷、二矽烷、三矽烷、雙(第三丁胺基)矽烷(BTBAS)、雙(二乙胺基)矽烷(BDEAS)、二鍺氧烷(digermyloxane)、三鍺胺(trigermylamine)、二鍺烷(digermane)和三鍺烷(trigermane),或其組合。此外,此第一金屬前驅物可為具有式SiHx (NR1 R2 )4-x 的胺基矽烷。下標,x,是介於0和4之間的整數。R1 和R2 每一者可以獨立地為氫基或C1-C6烷基,其為直鏈、支鏈或環狀。R1 和R2 可以彼此相同或相異。一具體實例中,此第一金屬前驅物是參(二乙胺基)矽烷(TriDMAS)。
另一具體實例中,此第一個前驅物可為鋁來源。適當 的鋁來源的例子包括,但不限於,三甲基鋁、二甲基氫化鋁,或其組合。此外,此鋁來源可為具有式AlR1 x (NR2 R3 )3-x 的醯胺基三氫化鋁(amidoalane)。下標,x是由0至3的整數。R1 、R2 和R3 每一者可以獨立地為氫基或C1-C6烷基,其為直鏈、支鏈或環狀且每一者可以彼此相同或相異。
另一具體實例中,此第一金屬前驅物可為鉭和/或鈮來源,其選自包含MCl5 和相關的加合物、M(NMe2 )5 、M(NEt2 )4 、M(NEt2 )5 ,或其組合。M代表鉭或鈮。此外,此鉭和/或鈮來源可為具有下列式之含胺基的鉭和/或鈮來源:M(=NR1 )(NR2 R3 )3 。R1 、R2 和R3 每一者可以獨立地為氫基或C1-C6烷基,其為直鏈、支鏈或環狀。
通常,第一金屬前驅物與引至反應槽中之鈷前驅物的重量比可由約100:1至約1:100,或者由約50:1至約1:50,或者由約1:1至約10:1。
一些具體實例中,反應槽維持的壓力範圍可由約1 Pa至約100,000 Pa,或者由約10 Pa至約10,000 Pa,或者由約25 Pa至約1000 Pa。此外,反應槽內的溫度範圍可由約100℃至約500℃,或者由約120℃至約450℃,或者由約150℃至約350℃。此外,金屬膜之沉積可發生於反應流體存在之時。此反應流體可為流體或氣體,可為氫來源、氧來源、氮來源或氧/氮來源。
適當的氫來源的例子包括,但不限於,H2 、H2 O、H2 O2 、N2 、NH3 、肼和其烷基或芳基衍生物、二乙基矽烷、三甲矽烷基胺、矽烷、二矽烷、苯基矽烷和任何含有Si-H鍵的 分子、二甲基氫化鋁、含有氫的自由基(如,H 、OH 、N 、NH 、NH2 ),或其組合。
適當的氧來源的例子包括,但不限於,O2 、O3 、H2 O、H2 O2 、含有氧的自由基(如,O 和OH ),和其混合物。
適當的氮來源的例子包括,但不限於,N2 、NH3 、肼和其烷基或芳基衍生物、含有氮的自由基(如,N 、NH 、NH2 ),和其混合物。
適當的氧/氮來源的例子包括,但不限於,NO、NO2 、N2 O、N2 O5 、N2 O4 ,和其混合物。
一些具體實例中,惰性氣體可引至反應槽中。惰性氣體的例子包括,但不限於,He、Ar、Ne,或其組合。
一些具體實例中,此鈷前驅物和第一金屬前驅物可以先後(如同在ALD中一般)或者可以同時(如同在CVD中一般)經引至反應槽中。一具體實例中,此第一種和第二種前驅物可以脈衝方式先後或連續(如,脈衝的CVD)輸至反應槽中,同時,具氧化力或具還原力的氣體連續引至反應槽中。每一個鈷和/或第一金屬前驅物之脈衝可持續的時間範圍由約0.01秒至約10秒,或者由約0.3秒至約3秒,或者由約0.5秒至約2秒。另一具體實例中,反應流體和/或惰性氣體亦可脈衝輸至反應槽中。這樣的具體實例中,每一種氣體的脈衝可持續的時間期間由約0.01秒至約10秒,或者由約0.3秒至約3秒,或者由約0.5秒至約2秒。
已出示和描述本發明之具體實例,嫻於此技術者能夠 在不背離本發明之精神和提示的情況下,作出其修飾。此處所描述的具體實例和所提出的實例僅作例示之用,且不欲造成限制。可作出此處所揭示之本發明的許多改變和修飾且其屬本發明之範圍內。據此,保護的範圍非受限於前文之描述,而是僅受限於下列的申請專利範圍,此範圍包括申請專利範圍之標的物的所有對等物。

Claims (19)

  1. 一種將含鈷的膜沉積在一或多個基板上之方法,包含:a)將鈷前驅物引至含有一或多個基板的反應槽中,其中鈷前驅物選自由下列者所組成之群:CoCp(乙烯)2、Co(MeCp)(乙烯)2、Co(EtCp)(乙烯)2、Co(iPrCp)(乙烯)2、CoCp(丙烯)2、Co(MeCp)(丙烯)2、Co(EtCp)(丙烯)2、Co(iPrCp)(丙烯)2、CoCp(1-丁烯)2、Co(MeCp)(1-丁烯)2、Co(EtCp)(1-丁烯)2、Co(iPrCp)(1-丁烯)2、CoCp(2-丁烯)2、Co(MeCp)(2-丁烯)2、Co(EtCp)(2-丁烯)2、Co(iPrCp)(2-丁烯)2、CoCp(丁二烯)2、Co(MeCp)(丁二烯)2、Co(EtCp)(丁二烯)2、Co(iPrCp)(丁二烯)2、CoCp(環丁二烯)2、Co(MeCp)(環丁二烯)2、Co(EtCp)(環丁二烯)2、Co(iPrCp)(環丁二烯)2、CoCp(環己-1,3-二烯)2、Co(MeCp)(環己-1,3-二烯)2、Co(EtCp)(環己-1,3-二烯)2、Co(iPrCp)(環己-1,3-二烯)2、CoCp(環己-1,4-二烯)2、Co(MeCp)(環己-1,4-二烯)2、Co(EtCp)(環己-1,4-二烯)2、Co(iPrCp)(環己-1,4-二烯)2、CoCp(乙炔)2、Co(MeCp)(乙炔)2、Co(EtCp)(乙炔)2、Co(iPrCp)(乙炔)2、CoCp(三甲基甲矽烷基乙炔)2、Co(MeCp)(三甲基甲矽烷基乙炔)2、Co(EtCp)(三甲基甲矽烷基乙炔)2、Co(iPrCp)(三甲基甲矽烷基乙炔)2、CoCp(雙(三甲基甲矽烷基)乙炔)2、Co(MeCp)(雙(三甲基甲矽烷基)乙烯)2、Co(EtCp)(雙(三甲基甲矽烷基)乙炔)2、Co(iPrCp)(雙(三甲基甲矽烷基)乙炔)2、Co(2,4-二甲基戊二烯基)(乙 烯)2、Co(2,4-二甲基戊二烯基)(丙烯)2、Co(2,4-二甲基戊二烯基)(1-丁烯)2、Co(2,4-二甲基戊二烯基)(2-丁烯)2、Co(2,4-二甲基戊二烯基)(丁二烯)2、Co(2,4-二甲基戊二烯基)(環丁二烯)2、Co(2,4-二甲基戊二烯基)(環己-1,3-二烯)2、Co(2,4-二甲基戊二烯基)(環己-1,4-二烯)2、Co(己二烯基)(乙炔)2、Co(己二烯基)(三甲基甲矽烷基乙炔)2、Co(己二烯基)(雙(三甲基甲矽烷基)乙炔)2、Co(己二烯基)(乙烯)2、Co(己二烯基)(丙烯)2、Co(己二烯基)(1-丁烯)2、Co(己二烯基)(2-丁烯)2、Co(己二烯基)(丁二烯)2、Co(己二烯基)(環丁二烯)2、Co(己二烯基)(環己-1,3-二烯)2、Co(己二烯基)(環己-1,4-二烯)2、Co(己二烯基)(乙炔)2、Co(己二烯基)(三甲基甲矽烷基乙炔)2、Co(己二烯基)(雙(三甲基甲矽烷基)乙炔)2,和其混合物,b)沉積鈷前驅物以於一或多個基板上形成含有鈷的膜。
  2. 根據申請專利範圍第1項之方法,其進一步包含:a)將第一金屬前驅物引至反應槽中;b)將至少一種反應流體引至反應槽中,其中反應流體係選自由含氫的流體、含氧的流體、含氮的流體,和含氧和氮的流體所組成之群;c)使鈷前驅物與反應流體反應;和d)於介於約100和500℃之間的溫度,在基板上形成含有鈷的膜,其中含有鈷的膜具有下列通式:CoMa Ob Nc 其中- M是金屬或半金屬元素;- Co是鈷原子,O是氧原子,而N是氮原子;- 0a<2;- 0b2;和- 0c1。
  3. 根據申請專利範圍第2項之方法,其中M是金屬或半金屬元素,其係包含選自由下列者組成之群的至少一者:鎂(Mg)、鈣(Ca)、鋅(Zn)、硼(B)、鋁(Al)、銦(In)、鈧(Sc)、釔(Y)、鑭(La)、稀土金屬、矽(Si)、鍺(Ge)、錫(Sn)、鈦(Ti)、鋯(Zr)、鉿(Hf)、釩(V)、鈮(Nb)和鉭(Ta)。
  4. 根據申請專利範圍第1項至第3項中任一項之方法,其中含有鈷的膜係於介於約150℃和約350℃之間的溫度形成於基板上。
  5. 根據申請專利範圍第2項或第3項之方法,其中反應流體包含選自由下列者組成之群中之至少一者:H2 、H2 O、H2 O2 、N2 、NH3 、肼和其烷基或芳基衍生物、二乙基矽烷、三甲矽烷基胺、矽烷、二矽烷、苯基矽烷和任何含有Si-H鍵的分子、二甲基氫化鋁、含有氫的自由基(如,H 、OH 、N 、NH 、NH2 ),和其混合物。
  6. 根據申請專利範圍第2項或第3項之方法,其中反應流體包含選自由下列者組成之群中之至少一者:O2 、O3 、H2 O、H2 O2 、含有氧的自由基(如,O 和OH ),和其混合物。
  7. 根據申請專利範圍第2項或第3項之方法,其中反應流體包含選自由下列者組成之群中之至少一者:N2 、NH3 、肼和其烷基或芳基衍生物、含有氮的自由基(如,N 、NH 、NH2 ),和其混合物。
  8. 根據申請專利範圍第2項或第3項之方法,其中反應流體包含選自由下列者組成之群中之至少一者:NO、NO2 、N2 O、N2 O5 、N2 O4 ,和其混合物。
  9. 根據申請專利範圍第1項至第3項中任一項之方法,其中反應槽中的壓力介於約1Pa和約100,000Pa之間。
  10. 根據申請專利範圍第9項之方法,其中反應槽中的壓力介於約25Pa和約1000Pa之間。
  11. 根據申請專利範圍第2項或第3項之方法,其中第一金屬前驅物包含熔點低於約50℃的金屬前驅物。
  12. 根據申請專利範圍第11項之方法,其中第一金屬前驅物包含熔點低於約35℃的金屬前驅物。
  13. 根據申請專利範圍第11項之方法,其中第一金屬前驅物包含在室溫為液體的金屬前驅物。
  14. 根據申請專利範圍第2項或第3項之方法,其中第一金屬前驅物包含選自由含有矽的金屬前驅物、含有鍺的前驅物、含有鋁的前驅物、含有鈮的前驅物和含有鉭的前驅物所組成之群中之至少一種金屬前驅物。
  15. 根據申請專利範圍第13項之方法,其中第一金屬前驅物包含選自由二矽氧烷、三甲矽烷基胺、矽烷、二矽烷、三矽烷、雙(第三丁胺基)矽烷(BTBAS)、雙(二乙胺基)矽烷 (BDEAS)、參(二乙胺基)矽烷(TriDMAS),和其混合物所組成之群中之至少一者。
  16. 根據申請專利範圍第13項之方法,其中第一金屬前驅物包含選自由二鍺氧烷(digermyloxane)、三鍺胺(trigermylamine)、二鍺烷(digermane)和三鍺烷(trigermane),和其混合物所組成之群中之至少一者。
  17. 根據申請專利範圍第13項之方法,其中第一金屬前驅物包含選自由下列者所組成之群中之至少一者:三甲基鋁、二甲基氫化鋁和式AlR1 x (NR2 R3 )3-x 的醯胺基三氫化鋁(amidoalane),其中x的範圍是由0至4;R1 、R2 和R3 獨立地為H或C1-C6碳鏈,其為直鏈、支鏈或環狀,和其混合物。
  18. 根據申請專利範圍第13項之方法,其中第一金屬前驅物包含選自由下列者所組成之群中之至少一者:Ta(NMe2 )5 、Ta(NEt2 )4 、Ta(NEt2 )5 和Ta(=NR1 )(NR2 R3 )3 (其中R1 、R2 和R3 每一者獨立地為H或C1-C6碳鏈,其為直鏈、支鏈或環狀且其中的胺基配位子可以具有不同的取代基),和其混合物。
  19. 根據申請專利範圍第13項之方法,其中第一金屬前驅物包含選自由下列者所組成之群中之至少一者:Nb(NMe2 )5 、Nb(NEt2 )4 、Nb(NEt2 )5 、Nb(NMe2 )4 、Nb(NMeEt)4 、Nb(NMeEt)5 、Nb(=NR1 )(NR2 R3 )3 (其中R1 、R2 和R3 每一者獨立地為H或C1-C6碳鏈,其為直鏈、支鏈或環狀且其中的胺基配位子可以具有不同的取代基),和其混 合物。
TW097118817A 2007-05-21 2008-05-21 供半導體應用之新穎鈷前驅物 TWI426154B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US93926907P 2007-05-21 2007-05-21
US12/124,376 US8372473B2 (en) 2007-05-21 2008-05-21 Cobalt precursors for semiconductor applications

Publications (2)

Publication Number Publication Date
TW200907096A TW200907096A (en) 2009-02-16
TWI426154B true TWI426154B (zh) 2014-02-11

Family

ID=39938385

Family Applications (1)

Application Number Title Priority Date Filing Date
TW097118817A TWI426154B (zh) 2007-05-21 2008-05-21 供半導體應用之新穎鈷前驅物

Country Status (7)

Country Link
US (1) US8372473B2 (zh)
EP (1) EP2155924A2 (zh)
JP (1) JP2010528183A (zh)
KR (1) KR20100017171A (zh)
CN (1) CN101680085B (zh)
TW (1) TWI426154B (zh)
WO (1) WO2008142653A2 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP2411762B1 (de) 2009-03-26 2016-11-30 Robert Bosch GmbH Selbstnivellierendes mehr-linien- 360°-lasergerät

Families Citing this family (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8309174B2 (en) 2008-04-15 2012-11-13 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Heteroleptic iridium precursors to be used for the deposition of iridium-containing films
US8343881B2 (en) 2010-06-04 2013-01-01 Applied Materials, Inc. Silicon dioxide layer deposited with BDEAS
JP6041464B2 (ja) * 2011-03-03 2016-12-07 大陽日酸株式会社 金属薄膜の製膜方法、および金属薄膜の製膜装置
US8691985B2 (en) * 2011-07-22 2014-04-08 American Air Liquide, Inc. Heteroleptic pyrrolecarbaldimine precursors
JP2013213269A (ja) * 2012-04-04 2013-10-17 Tokyo Electron Ltd 成膜方法及び記憶媒体
KR102193925B1 (ko) 2012-09-25 2020-12-22 엔테그리스, 아이엔씨. 코발트계 박막의 저온 ald 또는 cvd를 위한 코발트 전구체
US9005704B2 (en) 2013-03-06 2015-04-14 Applied Materials, Inc. Methods for depositing films comprising cobalt and cobalt nitrides
US9633861B2 (en) * 2013-03-12 2017-04-25 Applied Materials, Inc. Cu/barrier interface enhancement
US9385033B2 (en) * 2013-09-27 2016-07-05 Intel Corporation Method of forming a metal from a cobalt metal precursor
KR101587509B1 (ko) * 2013-12-31 2016-01-22 (주)디엔에프 코발트 함유 박막의 제조방법 및 이에 따라 제조된 코발트 함유 박막
US11761086B2 (en) 2014-02-23 2023-09-19 Entegris, Inc. Cobalt precursors
JP6584150B2 (ja) * 2014-06-09 2019-10-02 東ソー株式会社 コバルト錯体及びその製造方法、コバルト含有薄膜及びその作製方法
WO2015190420A1 (ja) * 2014-06-09 2015-12-17 東ソー株式会社 コバルト錯体及びその製造方法、コバルト含有薄膜及びその作製方法
JP6436826B2 (ja) * 2015-03-25 2018-12-12 東ソー株式会社 コバルト錯体及びその製造方法、コバルト含有薄膜及びその作製方法
JP6516564B2 (ja) * 2015-05-27 2019-05-22 東ソー株式会社 コバルト錯体及びその製造方法、コバルト含有薄膜及びその作製方法
JP6808281B2 (ja) * 2015-12-16 2021-01-06 東ソー株式会社 置換シクロペンタジエニルコバルト錯体及びその製造方法、コバルト含有薄膜及びその作製方法
US9719167B2 (en) * 2015-12-31 2017-08-01 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Cobalt-containing film forming compositions, their synthesis, and use in film deposition
US10011903B2 (en) * 2015-12-31 2018-07-03 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Manganese-containing film forming compositions, their synthesis, and use in film deposition
US20190144999A1 (en) 2016-04-15 2019-05-16 Basf Se Process for the generation of thin inorganic films
WO2018015305A1 (en) * 2016-07-18 2018-01-25 Basf Se Hapto-3-pentadienyl cobalt or nickel precursors and their use in thin film deposition processes
US20180135174A1 (en) * 2016-11-01 2018-05-17 Versum Materials Us, Llc Cobalt compounds, method of making and method of use thereof
KR20190081455A (ko) * 2017-12-29 2019-07-09 (주)디엔에프 코발트 함유 박막의 제조방법
KR20210019522A (ko) * 2018-06-13 2021-02-22 바스프 에스이 금속 또는 반금속-함유 필름의 제조 방법
CN112292384A (zh) * 2018-07-27 2021-01-29 优美科股份公司及两合公司 有机金属化合物
KR20200124351A (ko) 2019-04-23 2020-11-03 삼성전자주식회사 코발트 전구체, 이를 이용한 코발트 함유막의 제조 방법 및 이를 이용한 반도체 소자의 제조 방법
JPWO2020255913A1 (zh) * 2019-06-17 2020-12-24

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4507401A (en) * 1983-04-01 1985-03-26 At&T Bell Laboratories Intermetallic catalyst preparation
US6077571A (en) * 1995-12-19 2000-06-20 The Research Foundation Of State University Of New York Conformal pure and doped aluminum coatings and a methodology and apparatus for their preparation
US20040105934A1 (en) * 2002-06-04 2004-06-03 Mei Chang Ruthenium layer formation for copper film deposition
US20040241321A1 (en) * 2002-06-04 2004-12-02 Applied Materials, Inc. Ruthenium layer formation for copper film deposition
US20050202171A1 (en) * 2004-03-12 2005-09-15 Rohm And Haas Company Precursor compounds for deposition of ceramic and metal films and preparation methods thereof

Family Cites Families (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3185718A (en) * 1957-12-09 1965-05-25 Ethyl Corp Preparation of cyclopentadienyl coordination compounds of groups viii and ib metals
US3088361A (en) * 1958-11-28 1963-05-07 Hallock Robert Lay Driven fastener
US3290348A (en) * 1963-04-25 1966-12-06 Shell Oil Co Cobalt (omicron) complexes of phosphorus esters
GB1130743A (en) * 1965-06-11 1968-10-16 Ici Ltd Organo-iridium complexes
US4645849A (en) * 1985-01-22 1987-02-24 General Electric Company Hydrogenation of unsaturated hydrocarbons with cyclometallated transition metal catalysts
JPH0627327B2 (ja) 1987-06-30 1994-04-13 インターナシヨナル・ビジネス・マシーンズ・コーポレーシヨン Ib族金属の付着方法
US4814294A (en) * 1987-07-30 1989-03-21 Allied-Signal Inc. Method of growing cobalt silicide films by chemical vapor deposition
US4880670A (en) * 1988-06-22 1989-11-14 Georgia Tech Research Corporation Chemical vapor deposition of Group IB metals
US5130172A (en) * 1988-10-21 1992-07-14 The Regents Of The University Of California Low temperature organometallic deposition of metals
US5098516A (en) * 1990-12-31 1992-03-24 Air Products And Chemicals, Inc. Processes for the chemical vapor deposition of copper and etching of copper
US5213844A (en) * 1992-01-31 1993-05-25 The United States Of America As Represented By The Secretary Of The Navy Volatile CVD precursors based on copper alkoxides and mixed Group IIA-copper alkoxides
US5403620A (en) * 1992-10-13 1995-04-04 Regents Of The University Of California Catalysis in organometallic CVD of thin metal films
US5441766A (en) * 1994-08-25 1995-08-15 Korea Institute Of Science And Technology Method for the production of highly pure copper thin films by chemical vapor deposition
US5587651A (en) * 1994-11-21 1996-12-24 General Electric Company Alternating current sensor based on parallel-plate geometry and having a conductor for providing separate self-powering
US5605865A (en) * 1995-04-03 1997-02-25 Motorola Inc. Method for forming self-aligned silicide in a semiconductor device using vapor phase reaction
JP3384228B2 (ja) 1996-03-01 2003-03-10 三菱マテリアル株式会社 金属錯体及び金属薄膜形成方法
US5767301A (en) * 1997-01-21 1998-06-16 Sharp Microelectronics Technology, Inc. Precursor with (alkyloxy)(alkyl)-silylolefin ligand to deposit copper
JP3511121B2 (ja) 1997-01-24 2004-03-29 日立造船株式会社 熱可塑性プラスチック複合材のプラスチック回収方法およびその装置
US5851921A (en) * 1997-04-04 1998-12-22 Advanced Micro Devices, Inc. Semiconductor device and method for forming the device using a dual layer, self-aligned silicide to enhance contact performance
US6037001A (en) * 1998-09-18 2000-03-14 Gelest, Inc. Method for the chemical vapor deposition of copper-based films
JP5016767B2 (ja) * 2000-03-07 2012-09-05 エーエスエム インターナショナル エヌ.ヴェー. 傾斜薄膜の形成方法
US6777565B2 (en) 2000-06-29 2004-08-17 Board Of Trustees, The University Of Illinois Organometallic compounds and their use as precursors for forming films and powders of metal or metal derivatives
US20020089063A1 (en) * 2001-01-08 2002-07-11 Ahn Kie Y. Copper dual damascene interconnect technology
US8110489B2 (en) * 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
KR20030043380A (ko) * 2001-11-28 2003-06-02 주식회사 하이닉스반도체 반도체 소자의 캐패시터 제조방법
US20040129212A1 (en) * 2002-05-20 2004-07-08 Gadgil Pradad N. Apparatus and method for delivery of reactive chemical precursors to the surface to be treated
US7847344B2 (en) * 2002-07-08 2010-12-07 Micron Technology, Inc. Memory utilizing oxide-nitride nanolaminates
JP4581119B2 (ja) * 2003-09-17 2010-11-17 株式会社トリケミカル研究所 NiSi膜形成材料およびNiSi膜形成方法
US7429402B2 (en) * 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
US20060240187A1 (en) * 2005-01-27 2006-10-26 Applied Materials, Inc. Deposition of an intermediate catalytic layer on a barrier layer for copper metallization
US7064224B1 (en) * 2005-02-04 2006-06-20 Air Products And Chemicals, Inc. Organometallic complexes and their use as precursors to deposit metal films
US7220671B2 (en) * 2005-03-31 2007-05-22 Intel Corporation Organometallic precursors for the chemical phase deposition of metal films in interconnect applications
TW200746268A (en) * 2006-04-11 2007-12-16 Applied Materials Inc Process for forming cobalt-containing materials
WO2007140813A1 (en) 2006-06-02 2007-12-13 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method of forming high-k dielectric films based on novel titanium, zirconium, and hafnium precursors and their use for semiconductor manufacturing
JP5461390B2 (ja) * 2007-05-21 2014-04-02 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 半導体用途のための新規金属前駆体

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4507401A (en) * 1983-04-01 1985-03-26 At&T Bell Laboratories Intermetallic catalyst preparation
US6077571A (en) * 1995-12-19 2000-06-20 The Research Foundation Of State University Of New York Conformal pure and doped aluminum coatings and a methodology and apparatus for their preparation
US20040105934A1 (en) * 2002-06-04 2004-06-03 Mei Chang Ruthenium layer formation for copper film deposition
US20040241321A1 (en) * 2002-06-04 2004-12-02 Applied Materials, Inc. Ruthenium layer formation for copper film deposition
US20050202171A1 (en) * 2004-03-12 2005-09-15 Rohm And Haas Company Precursor compounds for deposition of ceramic and metal films and preparation methods thereof

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP2411762B1 (de) 2009-03-26 2016-11-30 Robert Bosch GmbH Selbstnivellierendes mehr-linien- 360°-lasergerät

Also Published As

Publication number Publication date
EP2155924A2 (en) 2010-02-24
KR20100017171A (ko) 2010-02-16
US8372473B2 (en) 2013-02-12
WO2008142653A2 (en) 2008-11-27
JP2010528183A (ja) 2010-08-19
CN101680085B (zh) 2012-12-05
WO2008142653A3 (en) 2009-01-15
TW200907096A (en) 2009-02-16
CN101680085A (zh) 2010-03-24
US20090029036A1 (en) 2009-01-29

Similar Documents

Publication Publication Date Title
TWI426154B (zh) 供半導體應用之新穎鈷前驅物
EP2242870B1 (en) Method using new metal precursors containing beta-diketiminato ligands
JP5461390B2 (ja) 半導体用途のための新規金属前駆体
JP2011529135A (ja) 遷移金属含有膜の堆積のためのヘテロレプティック(heteroleptic)シクロペンタジエニル遷移金属前駆体
WO2011006035A2 (en) Bis-ketoiminate copper precursors for deposition of copper-containing films
US9034761B2 (en) Heteroleptic (allyl)(pyrroles-2-aldiminate) metal-containing precursors, their synthesis and vapor deposition thereof to deposit metal-containing films
US8758867B2 (en) Neutral ligand containing precursors and methods for deposition of a metal containing film
JP6956086B2 (ja) コバルト含有フィルム形成組成物、それらの合成およびフィルム析出における使用
JP6941610B2 (ja) マンガン含有フィルム形成組成物、マンガン含有フィルム形成組成物の合成およびフィルム析出における使用
US20100119406A1 (en) Allyl-containing precursors for the deposition of metal-containing films
SG190147A1 (en) Bis-pyrroles-2-aldiminate manganese precursors for deposition of manganese containing films
JP2011122244A (ja) 導電性バリア膜形成材料、導電性バリア膜形成方法、及び配線膜形成方法