US20160307904A1 - Niobium-containing film forming compositions and vapor deposition of niobium-containing films - Google Patents

Niobium-containing film forming compositions and vapor deposition of niobium-containing films Download PDF

Info

Publication number
US20160307904A1
US20160307904A1 US15/130,640 US201615130640A US2016307904A1 US 20160307904 A1 US20160307904 A1 US 20160307904A1 US 201615130640 A US201615130640 A US 201615130640A US 2016307904 A1 US2016307904 A1 US 2016307904A1
Authority
US
United States
Prior art keywords
niobium
tbu
containing film
film forming
forming composition
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US15/130,640
Inventor
Clément Lansalot-Matras
Wontae NOH
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
LAir Liquide SA pour lEtude et lExploitation des Procedes Georges Claude
Original Assignee
LAir Liquide SA pour lEtude et lExploitation des Procedes Georges Claude
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by LAir Liquide SA pour lEtude et lExploitation des Procedes Georges Claude filed Critical LAir Liquide SA pour lEtude et lExploitation des Procedes Georges Claude
Priority to US15/130,640 priority Critical patent/US20160307904A1/en
Assigned to L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude reassignment L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: LANSALOT-MATRAS, CLEMENT, NOH, WONTAE
Publication of US20160307904A1 publication Critical patent/US20160307904A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D7/00Features of coating compositions, not provided for in group C09D5/00; Processes for incorporating ingredients in coating compositions
    • C09D7/40Additives
    • C09D7/60Additives non-macromolecular
    • C09D7/63Additives non-macromolecular organic
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/03Making the capacitor or connections thereto
    • H01L27/1085
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F9/00Compounds containing elements of Groups 5 or 15 of the Periodic System
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/42Silicides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01GCAPACITORS; CAPACITORS, RECTIFIERS, DETECTORS, SWITCHING DEVICES OR LIGHT-SENSITIVE DEVICES, OF THE ELECTROLYTIC TYPE
    • H01G13/00Apparatus specially adapted for manufacturing capacitors; Processes specially adapted for manufacturing capacitors not provided for in groups H01G4/00 - H01G11/00
    • H01G13/003Apparatus or processes for encapsulating capacitors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01GCAPACITORS; CAPACITORS, RECTIFIERS, DETECTORS, SWITCHING DEVICES OR LIGHT-SENSITIVE DEVICES, OF THE ELECTROLYTIC TYPE
    • H01G4/00Fixed capacitors; Processes of their manufacture
    • H01G4/002Details
    • H01G4/018Dielectrics
    • H01G4/06Solid dielectrics
    • H01G4/08Inorganic dielectrics
    • H01G4/10Metal-oxide dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01GCAPACITORS; CAPACITORS, RECTIFIERS, DETECTORS, SWITCHING DEVICES OR LIGHT-SENSITIVE DEVICES, OF THE ELECTROLYTIC TYPE
    • H01G4/00Fixed capacitors; Processes of their manufacture
    • H01G4/002Details
    • H01G4/018Dielectrics
    • H01G4/20Dielectrics using combinations of dielectrics from more than one of groups H01G4/02 - H01G4/06
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28568Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System the conductive layers comprising transition metals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells

Definitions

  • Niobium-containing film forming compositions are disclosed, along with methods of synthesizing the same, and methods of forming Niobium-containing films on one or more substrates via vapor deposition processes using the Niobium-containing film forming compositions.
  • Niobium Oxide Nb 2 O 5
  • metal Oxide films such as Niobium Oxide (Nb 2 O 5 ) have been extensively utilized in various fields of technology. Traditionally these oxides have been applied as resistive films used as high-k materials for insulating layers. For instance, a thin layer of Nb 2 O 5 between two ZrO 2 dielectric layers is expected to help significantly reduce leakage current and stabilize the cubic/tetragonal phase of the ZrO 2 , affording higher k values in the current MIM capacitor of a DRAM. (Alumina, J. Vac. Sci. Technol A 4 (6), 1986 and Microelectronic Engineering 86 (2009) 1789-1795).
  • Niobium Nitride such as Niobium Nitride (NbN x wherein x is approximately 1) have been extensively utilized in various fields of technology. Traditionally these nitrides have been applied as hard and decorative coatings but during the past decade they have increasingly been used as diffusion barrier and adhesion/glue layers in microelectronic devices [Applied Surface Science 120 (1997) 199-212]. NbCl 5 for instance has been examined as a niobium source for Atomic Layer Epitaxial growth of NbN x , but the process required Zn as a reducing agent [Applied Surface Science 82/83 (1994) 468-474]. NbN x films were also deposited by atomic layer deposition using NbCl 5 and NH 3 .
  • Gust et al. disclose the synthesis, structure, and properties of niobium and tantalum imido complexes bearing pyrazolato ligands and their potential use for the growth of tantalum nitride films by CVD. Polyhedron 20 (2001) 805-813.
  • Maestre et al. disclose the reaction of the cyclopentadienyl-silyl-amido titanium compound with group 5 metal monocyclopentadienyl complexes to form NbCp(NH(CH 2 ) 2 —NH 2 )Cl 3 and NbCpCl 2 (N—(CH 2 ) 2 —N).
  • Nb refers to Niobium
  • N refers to nitrogen
  • C refers to carbon, etc.
  • the term “independently” when used in the context of describing R groups should be understood to denote that the subject R group is not only independently selected relative to other R groups bearing the same or different subscripts or superscripts, but is also independently selected relative to any additional species of that same R group.
  • the two or three R 1 groups may, but need not be identical to each other or to R 2 or to R 3 .
  • alkyl group refers to saturated functional groups containing exclusively carbon and hydrogen atoms. Further, the term “alkyl group” refers to linear, branched, or cyclic alkyl groups. Examples of linear alkyl groups include without limitation, methyl groups, ethyl groups, propyl groups, butyl groups, etc. Examples of branched alkyls groups include without limitation, tbutyl. Examples of cyclic alkyl groups include without limitation, cyclopropyl groups, cyclopentyl groups, cyclohexyl groups, etc.
  • the abbreviation “Me” refers to a methyl group
  • the abbreviation “Et” refers to an ethyl group
  • the abbreviation “Pr” refers to a propyl group
  • the abbreviation “nPr” refers to a “normal” or linear propyl group
  • the abbreviation “iPr” refers to an isopropyl group
  • the abbreviation “Bu” refers to a butyl group
  • the abbreviation “nBu” refers to a “normal” or linear butyl group
  • the abbreviation “tBu” refers to a tert-butyl group, also known as 1,1-dimethylethyl
  • the abbreviation “sBu” refers to a sec-butyl group, also known as 1-methylpropyl
  • the abbreviation “iBu” refers to an iso-butyl group, also known as 2-methylpropyl
  • TMS trimethylsilyl
  • DMS dimethylsilyl
  • MMS monomethylsilyl
  • Py pyridine
  • R 1 , R 2 , R 3 -Pyr refers to a pyrazolyl ligand having the following structure:
  • the films or layers deposited may be listed throughout the specification and claims without reference to their proper stoichoimetry (i.e., NbO 2 or Nb 2 O 5 ).
  • the layers may include pure (Nb) layers, silicide (Nb o Si p ) layers, carbide (Nb o C p ) layers, nitride (Nb k N l ) layers, oxide (Nb n O m ) layers, or mixtures thereof; wherein k, l, m, n, o, and p inclusively range from 1 to 6.
  • niobium silicide is Nb k Si l , where k and l each range from 0.5 to 5.
  • any referenced layers may also include a Silicon oxide layer, Si n O m , wherein n ranges from 0.5 to 1.5 and m ranges from 1.5 to 3.5. More preferably, the silicon oxide layer is SiO 2 or SiO 3 .
  • the silicon oxide layer may be a silicon oxide based dielectric material, such as organic based or silicon oxide based low-k dielectric materials such as the Black Diamond II or III material by Applied Materials, Inc.
  • any referenced silicon-containing layer may be pure silicon.
  • Any referenced layers, such as the niobium- or silicon-containing layers may also include dopants, such as B, C, P, As and/or Ge.
  • Niobium-containing film forming compositions comprising a precursor having the formula:
  • each R, R 1 , R 2 , R 3 , R 4 , R 5 , R 6 , and R 7 is independently H, an alkyl group, or R′ 3 Si, with each R′ independently being H or an alkyl group.
  • the disclosed Niobium containing film forming compositions may include one or more of the following aspects:
  • Nb-containing film forming composition delivery devices comprising a canister having an inlet conduit and an outlet conduit and containing any of the Nb-containing film forming compositions disclosed above.
  • the disclosed device may include one or more of the following aspects:
  • Niobium-containing film forming composition disclosed above is introduced into a reactor having a substrate disposed therein. At least part of the precursor is deposited onto the at least one substrate to form the Niobium containing film.
  • the disclosed processes may further include one or more of the following aspects:
  • FIG. 1 is a side view of one embodiment of the Nb-containing film forming composition delivery device
  • FIG. 2 is a side view of a second embodiment of the Nb-containing film forming composition delivery device.
  • FIG. 3 is a ThermoGravimetric Analysis (TGA) graph demonstrating the percentage of weight loss with increasing temperature of Niobium tButyl imido cyclopentadienyl tAmyl-diazadienyl.
  • Niobium-containing film forming compositions comprising a precursor having the formula:
  • each R, R 1 , R 2 , R 3 , R 4 , R 5 , R 6 , and R 7 is independently H, an alkyl group, or R′ 3 Si, with each R′ independently being H or an alkyl group.
  • Each R, R 1 , R 2 , R 3 , R 4 , R 5 , R 6 , and R 7 may independently be selected from H, Me, Et, nPr, iPr, tBu, sBu, iBu, nBu, tAmyl, SiMe 3 , SiMe 2 H, or SiH 2 Me.
  • R 6 may be the same as R 7 .
  • R 6 and R 7 may each be H, Me, Et, iPr, nPr, tBu, tAmyl, SiMe 3 , SiHMe 2 , or SiH 2 Me.
  • R 6 may differ from R 7 .
  • R 6 may be iPr and R 7 may be tBu.
  • R 6 may be the same as R 7 .
  • R 6 and R 7 may each be H, Me, Et, iPr, nPr, tBu, tAmyl, SiMe 3 , SiHMe 2 , or SiH 2 Me.
  • R 6 may differ from R 7 .
  • R 6 may be iPr and R 7 may be tBu.
  • R 6 may be the same as R 7 .
  • R 6 and R 7 may each be H, Me, Et, iPr, nPr, tBu, tAmyl, SiMe 3 , SiHMe 2 , or SiH 2 Me.
  • R 6 may differ from R 7 .
  • R 6 may be iPr and R 7 may be tBu.
  • R 6 may be the same as R 7 .
  • R 6 and R 7 may each be H, Me, Et, iPr, nPr, tBu, tAmyl, SiMe 3 , SiHMe 2 , or SiH 2 Me.
  • R 6 may differ from R 7 .
  • R 6 may be iPr and R 7 may be tBu.
  • R 6 may be the same as R 7 .
  • R 6 and R 7 may each be H, Me, Et, iPr, nPr, tBu, tAmyl, SiMe 3 , SiHMe 2 , or SiH 2 Me.
  • R 6 may differ from R 7 .
  • R 6 may be iPr and R 7 may be tBu.
  • R 6 may be the same as RI.
  • R 6 and R 7 may each be H, Me, Et, iPr, nPr, tBu, tAmyl, SiMe 3 , SiHMe 2 , or SiH 2 Me.
  • R 6 may differ from R 7 .
  • R 6 may be iPr and R 7 may be tBu.
  • R 6 may be the same as R 7 .
  • R 6 and R 7 may each be H, Me, Et, iPr, nPr, tBu, tAmyl, SiMe 3 , SiHMe 2 , or SiH 2 Me.
  • R 6 may differ from R 7 .
  • R 6 may be iPr and R 7 may be tBu.
  • R may be Et, iPr, tAmyl, or SiMe 3 .
  • Suitable polar solvents include tetrahydrofuran (THF). After stirring for a sufficient period of time, 1 molar equivalent of the freshly prepared alkaline diazadienyl ligand at ⁇ 78° C. may be added. After additional stirring at room temperature, the solvent is removed under vacuum and the product extracted using a nonpolar solvent, such as pentane.
  • Purity of the disclosed Niobium-containing film forming composition is greater than 95% w/w (i.e., 95.0% w/w to 100.0% w/w), preferably greater than 98% w/w (i.e., 98.0% w/w to 100.0% w/w), and more preferably greater than 99% w/w (i.e., 99.0% w/w to 100.0% w/w).
  • 95% w/w i.e., 95.0% w/w to 100.0% w/w
  • 98% w/w i.e., 98.0% w/w to 100.0% w/w
  • 99% w/w i.e., 99.0% w/w to 100.0% w/w.
  • the disclosed Niobium-containing film forming compositions may contain any of the following impurities: diazadiene; cyclopentadiene, pyridines; alkylamines; alkylimines; THF; ether; pentane; cyclohexane; heptanes; benzene; toluene; chlorinated metal compounds; lithium, sodium, or potassium cyclopentadienyl or lithium, sodium, or potassium diazadienyl.
  • the total quantity of these impurities is below 5% w/w (i.e., 0.0% w/w to 5.0% w/w), preferably below 2% w/w (i.e., 0.0% w/w to 2.0% w/w), and more preferably below 1% w/w (i.e. 0.0% w/w to 1.0% w/w).
  • the composition may be purified by recrystallisation, sublimation, distillation, and/or passing the gas or liquid through a suitable adsorbent, such as a 4A molecular sieve.
  • metal impurities include, but are not limited to, Aluminum (Al), Arsenic (As), Barium (Ba), Beryllium (Be), Bismuth (Bi), Cadmium (Cd), Calcium (Ca), Chromium (Cr), Cobalt (Co), Copper (Cu), Gallium (Ga), Germanium (Ge), Hafnium (Hf), Zirconium (Zr), Indium (In), Iron (Fe), Lead (Pb), Lithium (Li), Magnesium (Mg), Manganese (Mn), Tungsten (W), Nickel (Ni), Potassium (K), Sodium (Na), Strontium (Sr), Thorium (Th), Tin (Sn), Titanium (Ti), Uranium (U), and Zinc (Zn).
  • the Nb-containing film forming composition that have a low melting point (i.e., melt at a temperature below 50° C.) and/or exhibit low residue (i.e., between 0% and 10%) during thermogravimetric analysis are expected to be suitable for vapor deposition processes.
  • the disclosed Nb-containing film forming compositions may be delivered to a semiconductor processing tool by the disclosed Nb-containing film forming composition delivery devices.
  • FIGS. 1 and 2 show two embodiments of the disclosed delivery devices 1 .
  • FIG. 1 is a side view of one embodiment of the Nb-containing film forming composition delivery device 1 .
  • the disclosed Nb-containing film forming composition 10 are contained within a container 20 having two conduits, an inlet conduit 30 and an outlet conduit 40 .
  • the container 20 , inlet conduit 30 , and outlet conduit 40 are manufactured to prevent the escape of the gaseous form of the Nb-containing film forming composition 10 , even at elevated temperature and pressure.
  • Suitable valves include spring-loaded or tied diaphragm valves.
  • the valve may further comprise a restrictive flow orifice (RFO).
  • RFO restrictive flow orifice
  • the delivery device should be connected to a gas manifold and in an enclosure.
  • the gas manifold should permit the safe evacuation and purging of the piping that may be exposed to air when the delivery device is replaced so that any residual amounts of the material do not react.
  • the enclosure should be equipped with sensors and fire control capability to control the fire in the case of a pyrophoric material release.
  • the gas manifold should also be equipped with isolation valves, vacuum generators, and permit the introduction of a purge gas at a minimum.
  • the delivery device must be leak tight and be equipped with valves that do not permit escape of even minute amounts of the material.
  • the delivery device fluidly connects to other components of the semiconductor processing tool, such as the gas cabinet disclosed above, via valves 35 and 45 .
  • the delivery device 20 , inlet conduit 30 , valve 35 , outlet conduit 40 , and valve 45 are made of 316L EP or 304 stainless steel.
  • inert materials such as Hastelloy or Inconel, may also be used in the teachings herein to prevent any potential contamination of the Nb-containing film forming composition 10 .
  • the end 31 of inlet conduit 30 is located above the surface of the Nb-containing film forming composition 10
  • the end 41 of the outlet conduit 40 is located below the surface of the Nb-containing film forming composition 10
  • the Nb-containing film forming composition 10 is preferably in liquid form.
  • An inert gas including but not limited to nitrogen, argon, helium, and mixtures thereof, may be introduced into the inlet conduit 30 .
  • the inert gas pressurizes the delivery device 20 so that the liquid Nb-containing film forming composition 10 is forced through the outlet conduit 40 and to components in the semiconductor processing tool (not shown).
  • the semiconductor processing tool may include a vaporizer which transforms the liquid Nb-containing film forming composition 10 into a vapor, with or without the use of a carrier gas such as helium, argon, nitrogen or mixtures thereof, in order to deliver the vapor to a chamber where a wafer to be repaired is located and treatment occurs in the vapor phase.
  • a carrier gas such as helium, argon, nitrogen or mixtures thereof.
  • the liquid Nb-containing film forming composition 10 may be delivered directly to the wafer surface as a jet or aerosol.
  • FIG. 2 is a side view of a second embodiment of the Nb-containing film forming composition delivery device 1 .
  • the end 31 of inlet conduit 30 is located below the surface of the Nb-containing film forming composition 10
  • the end 41 of the outlet conduit 40 is located above the surface of the Nb-containing film forming composition 10 .
  • FIG. 2 also includes an optional heating element 25 , which may increase the temperature of the Nb-containing film forming composition 10 .
  • the Nb-containing film forming composition 10 may be in solid or liquid form.
  • An inert gas including but not limited to nitrogen, argon, helium, and mixtures thereof, is introduced into the inlet conduit 30 . The inert gas flows through the Nb-containing film forming composition 10 and carries a mixture of the inert gas and vaporized Nb-containing film forming composition 10 to the outlet conduit 40 and to the components in the semiconductor processing tool.
  • FIGS. 1 and 2 include valves 35 and 45 .
  • valves 35 and 45 may be placed in an open or closed position to allow flow through conduits 30 and 40 , respectively.
  • Either delivery device 1 in FIG. 1 or 2 or a simpler delivery device having a single conduit terminating above the surface of any solid or liquid present, may be used if the Nb-containing film forming composition 10 is in vapor form or if sufficient vapor pressure is present above the solid/liquid phase.
  • the Nb-containing film forming composition 10 is delivered in vapor form through the conduit 30 or 40 simply by opening the valve 35 in FIG. 1 or 45 in FIG. 2 , respectively.
  • the delivery device 1 may be maintained at a suitable temperature to provide sufficient vapor pressure for the Nb-containing film forming composition 10 to be delivered in vapor form, for example by the use of an optional heating element 25 .
  • FIGS. 1 and 2 disclose two embodiments of the Nb-containing film forming composition delivery device 1
  • the inlet conduit 30 and outlet conduit 40 may both be located above or below the surface of the Nb-containing film forming composition 10 without departing from the disclosure herein.
  • inlet conduit 30 may be a filling port.
  • the disclosed Nb-containing film forming compositions may be delivered to semiconductor processing tools using other delivery devices, such as the ampoules disclosed in WO 2006/059187 to Jurcik et al., without departing from the teachings herein.
  • Niobium-containing layers on a substrate using a vapor deposition process are also disclosed.
  • the method may be useful in the manufacture of semiconductor, photovoltaic, LCD-TFT, or flat panel type devices.
  • the disclosed Niobium-containing film forming compositions may be used to deposit Niobium-containing films using any deposition methods known to those of skill in the art.
  • suitable vapor deposition methods include chemical vapor deposition (CVD) or atomic layer deposition (ALD).
  • exemplary CVD methods include thermal CVD, plasma enhanced CVD (PECVD), pulsed CVD (PCVD), low pressure CVD (LPCVD), sub-atmospheric CVD (SACVD) or atmospheric pressure CVD (APCVD), hot-wire CVD (HWCVD, also known as cat-CVD, in which a hot wire serves as an energy source for the deposition process), radicals incorporated CVD, and combinations thereof.
  • PECVD plasma enhanced CVD
  • PCVD pulsed CVD
  • LPCVD low pressure CVD
  • SACVD sub-atmospheric CVD
  • APCVD atmospheric pressure CVD
  • HWCVD hot-wire CVD
  • cat-CVD also known as cat-CVD, in which
  • Exemplary ALD methods include thermal ALD, plasma enhanced ALD (PEALD), spatial isolation ALD, hot-wire ALD (HWALD), radicals incorporated ALD, and combinations thereof.
  • Super critical fluid deposition may also be used.
  • the deposition method is preferably ALD, PE-ALD, or spatial ALD in order to provide suitable step coverage and film thickness control.
  • the disclosed Niobium-containing film forming compositions may consist of the precursor or a combination of the precursor and a suitable solvent, such as ethyl benzene, xylene, mesitylene, decalin, decane, dodecane, and mixtures thereof.
  • a suitable solvent such as ethyl benzene, xylene, mesitylene, decalin, decane, dodecane, and mixtures thereof.
  • the disclosed precursors may be present in varying concentrations in the solvent.
  • the Niobium-containing film forming compositions are introduced into a reactor in vapor form by conventional means, such as tubing and/or flow meters.
  • the vapor form may be produced by vaporizing the composition through a conventional vaporization step such as direct vaporization, distillation, or by bubbling, or by using a sublimator such as the one disclosed in PCT Publication WO2009/087609 to Xu et al.
  • the composition may be fed in liquid state to a vaporizer where it is vaporized before it is introduced into the reactor.
  • the composition may be vaporized by passing a carrier gas into a container containing the composition or by bubbling the carrier gas into the composition.
  • the carrier gas may include, but is not limited to, Ar, He, N 2 , and mixtures thereof. Bubbling with a carrier gas may also remove any dissolved oxygen present in the composition.
  • the carrier gas and composition are then introduced into the reactor as a vapor.
  • the container containing the disclosed composition may be heated to a temperature that permits the composition to be in its liquid phase and to have a sufficient vapor pressure.
  • the container may be maintained at temperatures in the range of, for example, approximately 0° C. to approximately 150° C. Those skilled in the art recognize that the temperature of the container may be adjusted in a known manner to control the amount of precursor vaporized.
  • the reactor may be any enclosure or chamber within a device in which deposition methods take place such as without limitation, a parallel-plate type reactor, a cold-wall type reactor, a hot-wall type reactor, a single-wafer reactor, a multi-wafer reactor, or other types of deposition systems under conditions suitable to cause the compounds to react and form the layers.
  • deposition methods such as without limitation, a parallel-plate type reactor, a cold-wall type reactor, a hot-wall type reactor, a single-wafer reactor, a multi-wafer reactor, or other types of deposition systems under conditions suitable to cause the compounds to react and form the layers.
  • a parallel-plate type reactor such as without limitation, a parallel-plate type reactor, a cold-wall type reactor, a hot-wall type reactor, a single-wafer reactor, a multi-wafer reactor, or other types of deposition systems under conditions suitable to cause the compounds to react and form the layers.
  • a parallel-plate type reactor such as without limitation, a parallel
  • the reactor contains one or more substrates onto which the films will be deposited.
  • a substrate is generally defined as the material on which a process is conducted.
  • the substrates may be any suitable substrate used in semiconductor, photovoltaic, flat panel, or LCD-TFT device manufacturing.
  • suitable substrates include wafers, such as silicon, silica, glass, plastic or GaAs wafers.
  • the wafer may have one or more layers of differing materials deposited on it from a previous manufacturing step.
  • the wafers may include silicon layers (crystalline, amorphous, porous, etc.), silicon oxide layers, silicon nitride layers, silicon oxy nitride layers, carbon doped silicon oxide (SiCOH) layers, or combinations thereof.
  • the wafers may include copper layers or noble metal layers (e.g. platinum, palladium, rhodium, or gold).
  • the wafers may include barrier layers, such as manganese, manganese oxide, etc.
  • Plastic layers such as poly(3,4-ethylenedioxythiophene)poly(styrenesulfonate) [PEDOT:PSS] may also be used.
  • the layers may be planar or patterned.
  • the disclosed processes may deposit the Niobium-containing layer directly on the wafer or directly on one or more than one (when patterned layers form the substrate) of the layers on top of the wafer.
  • a Niobium Nitride film may be deposited onto a Si layer.
  • a zirconium oxide layer may be deposited on the Niobium Nitride layer, a second Niobium Nitride layer may be deposited on the zirconium oxide layer forming a NbN/ZrO 2 /NbN stack used in DRAM capacitors.
  • the temperature and the pressure within the reactor are held at conditions suitable for vapor depositions.
  • conditions within the chamber are such that at least part of the precursor is deposited onto the substrate to form a Niobium-containing film.
  • the pressure in the reactor may be held between about 1 Pa and about 10 5 Pa, more preferably between about 25 Pa and about 10 3 Pa, as required per the deposition parameters.
  • the temperature in the reactor may be held between about 100° C. and about 500° C., preferably between about 150° C. and about 400° C.
  • “at least part of the precursor is deposited” means that some or all of the precursor reacts with or adheres to the substrate.
  • the temperature of the reactor may be controlled by either controlling the temperature of the substrate holder or controlling the temperature of the reactor wall. Devices used to heat the substrate are known in the art.
  • the reactor wall is heated to a sufficient temperature to obtain the desired film at a sufficient growth rate and with desired physical state and composition.
  • a non-limiting exemplary temperature range to which the reactor wall may be heated includes from approximately 100° C. to approximately 500° C.
  • the deposition temperature may range from approximately 150° C. to approximately 400° C.
  • the deposition temperature may range from approximately 200° C. to approximately 500° C.
  • a reactant may be introduced into the reactor.
  • the reactant may be H 2 , H 2 CO, N 2 H 4 , NH 3 , SiH 4 , Si 2 H 6 , Si 3 H 8 , SiH 2 Me 2 , SiH 2 Et 2 , N(SiH 3 ) 3 , hydrogen radicals thereof, and mixtures thereof.
  • the reactant is H 2 or NH 3 .
  • the reactant may be an oxidizing gas such as one of O 2 , O 3 , H 2 O, H 2 O 2 , NO, N 2 O, NO 2 , oxygen containing radicals such as O ⁇ or OH ⁇ , carboxylic acids, formic acid, acetic acid, propionic acid, and mixtures thereof.
  • the oxidizing gas is selected from the group consisting of O 2 , O 3 , or H 2 O.
  • the reactant may be treated by a plasma, in order to decompose the reactant into its radical form.
  • N 2 may also be utilized as a nitrogen source gas when treated with plasma.
  • the plasma may be generated with a power ranging from about 50 W to about 500 W, preferably from about 100 W to about 400 W.
  • the plasma may be generated or present within the reactor itself. Alternatively, the plasma may generally be at a location removed from the reactor, for instance, in a remotely located plasma system.
  • One of skill in the art will recognize methods and apparatus suitable for such plasma treatment.
  • the reactant may be introduced into a direct plasma reactor, which generates plasma in the reaction chamber, to produce the plasma-treated reactant in the reaction chamber.
  • direct plasma reactors include the TitanTM PECVD System produced by Trion Technologies.
  • the reactant may be introduced and held in the reaction chamber prior to plasma processing. Alternatively, the plasma processing may occur simultaneously with the introduction of the reactant.
  • In-situ plasma is typically a 13.56 MHz RF inductively coupled plasma that is generated between the showerhead and the substrate holder.
  • the substrate or the showerhead may be the powered electrode depending on whether positive ion impact occurs.
  • Typical applied powers in in-situ plasma generators are from approximately 30 W to approximately 1000 W. Preferably, powers from approximately 30 W to approximately 600 W are used in the disclosed methods.
  • the powers range from approximately 100 W to approximately 500 W.
  • the disassociation of the reactant using in-situ plasma is typically less than achieved using a remote plasma source for the same power input and is therefore not as efficient in reactant disassociation as a remote plasma system, which may be beneficial for the deposition of Niobium-containing films on substrates easily damaged by plasma.
  • the plasma-treated reactant may be produced outside of the reaction chamber.
  • the MKS Instruments' ASTRONi® reactive gas generator may be used to treat the reactant prior to passage into the reaction chamber.
  • the reactant O 2 Operated at 2.45 GHz, 7 kW plasma power, and a pressure ranging from approximately 0.5 Torr to approximately 10 Torr, the reactant O 2 may be decomposed into two O ⁇ radicals.
  • the remote plasma may be generated with a power ranging from about 1 kW to about 10 kW, more preferably from about 2.5 kW to about 7.5 kW.
  • the vapor deposition conditions within the chamber allow the disclosed composition and the reactant to react and form a Niobium-containing film on the substrate.
  • plasma-treating the reactant may provide the reactant with the energy needed to react with the disclosed precursors.
  • an additional precursor compound may be introduced into the reactor.
  • the additional precursor may be used to provide additional elements to the Niobium-containing film.
  • the additional elements may include lanthanides (Ytterbium, Erbium, Dysprosium, Gadolinium, Praseodymium, Cerium, Lanthanum, Yttrium), zirconium, germanium, silicon, magnesium, titanium, manganese, ruthenium, bismuth, lead, magnesium, aluminum, or mixtures of these.
  • the resultant film deposited on the substrate contains the Niobium metal in combination with an additional element.
  • the Niobium-containing film forming composition and reactants may be introduced into the reactor either simultaneously (chemical vapor deposition), sequentially (atomic layer deposition) or different combinations thereof.
  • the reactor may be purged with an inert gas between the introduction of the compositions and the introduction of the reactants.
  • the reactants and the compositions may be mixed together to form a reactant/composition mixture, and then introduced to the reactor in mixture form.
  • Another example is to introduce the reactant continuously and to introduce the Niobium-containing film forming composition by pulse (pulsed chemical vapor deposition).
  • the vaporized composition and the reactant may be pulsed sequentially or simultaneously (e.g. pulsed CVD) into the reactor.
  • Each pulse of composition may last for a time period ranging from about 0.01 seconds to about 10 seconds, alternatively from about 0.3 seconds to about 3 seconds, alternatively from about 0.5 seconds to about 2 seconds.
  • the reactant may also be pulsed into the reactor.
  • the pulse of each may last for a time period ranging from about 0.01 seconds to about 10 seconds, alternatively from about 0.3 seconds to about 3 seconds, alternatively from about 0.5 seconds to about 2 seconds.
  • the vaporized compositions and reactants may be simultaneously sprayed from a shower head under which a susceptor holding several wafers is spun (spatial ALD).
  • deposition may take place for a varying length of time. Generally, deposition may be allowed to continue as long as desired or necessary to produce a film with the necessary properties. Typical film thicknesses may vary from several angstroms to several hundreds of microns, depending on the specific deposition process. The deposition process may also be performed as many times as necessary to obtain the desired film.
  • the vapor phase of the disclosed Niobium-containing film forming composition and a reactant are simultaneously introduced into the reactor.
  • the two react to form the resulting Niobium-containing film.
  • the exemplary CVD process becomes an exemplary PECVD process.
  • the reactant may be treated with plasma prior or subsequent to introduction into the chamber.
  • the vapor phase of the disclosed Niobium-containing film forming composition is introduced into the reactor, where it is contacted with a suitable substrate. Excess composition may then be removed from the reactor by purging and/or evacuating the reactor.
  • a reactant for example, NH 3
  • Any excess reactant is removed from the reactor by purging and/or evacuating the reactor. If the desired film is a Niobium Nitride, this two-step process may provide the desired film thickness or may be repeated until a film having the necessary thickness has been obtained.
  • the two-step process above may be followed by introduction of the vapor of an additional precursor compound into the reactor.
  • the additional precursor compound will be selected based on the nature of the Niobium-containing film being deposited.
  • the additional precursor compound is contacted with the substrate. Any excess precursor compound is removed from the reactor by purging and/or evacuating the reactor.
  • a reactant may be introduced into the reactor to react with the precursor compound. Excess reactant is removed from the reactor by purging and/or evacuating the reactor. If a desired film thickness has been achieved, the process may be terminated. However, if a thicker film is desired, the entire four-step process may be repeated. By alternating the provision of the Niobium-containing film forming composition, additional precursor compound, and reactant, a film of desired composition and thickness can be deposited.
  • the exemplary ALD process becomes an exemplary PEALD process.
  • the reactant may be treated with plasma prior or subsequent to introduction into the chamber.
  • a reactant for example, NH 3
  • NH 3 is introduced into the reactor where it reacts with the absorbed composition in a
  • any excess NH 3 gas is removed from the reactor by purging and/or evacuating the reactor. These two steps may be repeated until the Niobium Nitride film obtains a desired thickness, typically around 10 angstroms.
  • ZrO 2 may then be deposited on the NbN film.
  • ZrCp(NMe 2 ) 3 may serve as the Zr precursor.
  • the resulting NbN/ZrO 2 /NbN stack may be used in DRAM capacitors.
  • Excess composition may then be removed from the reactor by purging and/or evacuating the reactor.
  • a reactant for example, NH 3
  • NH 3 is introduced into the reactor where it reacts with the absorbed composition in a self-limiting manner to form a Niobium Nitride film. Any excess NH 3 gas is removed from the reactor by purging and/or evacuating the reactor. These two steps may be repeated until the Niobium Nitride film obtains a desired thickness, typically around 10 angstroms.
  • ZrO 2 may then be deposited on the NbN film.
  • ZrCp(NMe 2 ) 3 may serve as the Zr precursor.
  • the resulting NbN/ZrO 2 /NbN stack may be used in DRAM capacitors.
  • Niobium-containing films resulting from the processes discussed above may include Nb, Nb k Si l , Nb n O m , Nb o N p , or Nb o N p O q , wherein k, l, m, n, o, p, and q may each independently range from 1 to 6.
  • Exemplary films include NbO 2 , Nb 2 O 5 , NbN, and NbON.
  • the film may be subject to further processing, such as thermal annealing, furnace-annealing, rapid thermal annealing, UV or e-beam curing, and/or plasma gas exposure.
  • further processing such as thermal annealing, furnace-annealing, rapid thermal annealing, UV or e-beam curing, and/or plasma gas exposure.
  • the NbN film may be exposed to a temperature ranging from approximately 200° C. and approximately 1000° C. for a time ranging from approximately 0.1 second to approximately 7200 seconds under an inert atmosphere, a N-containing atmosphere, or combinations thereof. Most preferably, the temperature is 400° C. for 3600 seconds under an inert atmosphere or a N-containing atmosphere.
  • the resulting film may contain fewer impurities and therefore may have an improved density resulting in improved leakage current.
  • the annealing step may be performed in the same reaction chamber in which the deposition process is performed. Alternatively, the substrate may be removed from the reaction chamber, with the annealing/flash annealing process being performed in a separate apparatus. Any of the above post-treatment methods, but especially thermal annealing, has been found effective to reduce carbon contamination of the NbN film. This in turn tends to improve the resistivity of the film.
  • the Niobium-containing films deposited by any of the disclosed processes may have a bulk resistivity at room temperature of approximately 50 ⁇ ohm ⁇ cm to approximately 1,000 ⁇ ohm ⁇ cm. Room temperature is approximately 20° C. to approximately 28° C. depending on the season. Bulk resistivity is also known as volume resistivity.
  • the bulk resistivity is measured at room temperature on NbN films that are typically approximately 50 nm thick. The bulk resistivity typically increases for thinner films due to changes in the electron transport mechanism. The bulk resistivity also increases at higher temperatures.
  • the disclosed compositions may be used as doping or implantation agents.
  • Part of the disclosed composition may be deposited on top of the film to be doped, such as an indium oxide (In 2 O 3 ) film, tantalum dioxide (TaO 2 ), vanadium dioxide (VO 2 ) film, a titanium oxide film, a copper oxide film, or a tin dioxide (SnO 2 ) film.
  • the Niobium then diffuses into the film during an annealing step to form the Niobium-doped films ⁇ (Nb)In 2 O 3 , (Nb)VO 2 , (Nb)TiO, (Nb)CuO, (Nb)SnO 2 ⁇ .
  • FIG. 3 is a TGA graph illustrating the percentage of weight loss upon temperature increase.

Abstract

Niobium-containing film forming compositions are disclosed, along with methods of synthesizing the same, and methods of forming Niobium-containing films on one or more substrates via vapor deposition processes using the Niobium-containing film forming compositions.

Description

    CROSS REFERENCE TO RELATED APPLICATIONS
  • The present application claims the benefit of U.S. Provisional Application Ser. No. 62/148,265 filed Apr. 16, 2015, being herein incorporated by reference in its entirety for all purposes.
  • TECHNICAL FIELD
  • Niobium-containing film forming compositions are disclosed, along with methods of synthesizing the same, and methods of forming Niobium-containing films on one or more substrates via vapor deposition processes using the Niobium-containing film forming compositions.
  • BACKGROUND
  • Metal Oxide films, such as Niobium Oxide (Nb2O5), have been extensively utilized in various fields of technology. Traditionally these oxides have been applied as resistive films used as high-k materials for insulating layers. For instance, a thin layer of Nb2O5 between two ZrO2 dielectric layers is expected to help significantly reduce leakage current and stabilize the cubic/tetragonal phase of the ZrO2, affording higher k values in the current MIM capacitor of a DRAM. (Alumina, J. Vac. Sci. Technol A 4 (6), 1986 and Microelectronic Engineering 86 (2009) 1789-1795).
  • Metal Nitride films, such as Niobium Nitride (NbNx wherein x is approximately 1) have been extensively utilized in various fields of technology. Traditionally these nitrides have been applied as hard and decorative coatings but during the past decade they have increasingly been used as diffusion barrier and adhesion/glue layers in microelectronic devices [Applied Surface Science 120 (1997) 199-212]. NbCl5 for instance has been examined as a niobium source for Atomic Layer Epitaxial growth of NbNx, but the process required Zn as a reducing agent [Applied Surface Science 82/83 (1994) 468-474]. NbNx films were also deposited by atomic layer deposition using NbCl5 and NH3. [Thin Solid Films 491 (2005) 235-241]. The chlorine content showed strong temperature dependence as the film deposited at 500° C. was almost chlorine free, while the chlorine content was 8 at. % when the deposition temperature was as low as 250° C. Id. The high melting point of NbCl5 also makes this precursor difficult to use in the vapor deposition process.
  • Gust et al. disclose the synthesis, structure, and properties of niobium and tantalum imido complexes bearing pyrazolato ligands and their potential use for the growth of tantalum nitride films by CVD. Polyhedron 20 (2001) 805-813.
  • Elorriaga et al. disclose asymmetric niobium guanidinates as intermediates in the catalytic guanylation of amines (Dalton Transactions, 2013, Vol. 42, Issue 23 pp. 8223-8230).
  • Tomson et al. disclose the synthesis and reactivity of the cationic Nb and Ta monomethyl complexes [(BDI)MeM(NtBu)][X](BDI=2,6-iPr2C6H3—N—C(Me)CH—C(Me)-N(2,6-iPr2C6H3); X=MeB(C6F5)3 or B(C6F5)4) (Dalton Transactions 2011 Vol. 40, Issue 30, pp. 7718-7729).
  • DE102006037955 to Starck discloses tantalum- and niobium-compounds having the formula R4R5R6M(R1NNR2R3)2, wherein M is Ta or Nb; R1-R3═C1-12 alkyl, C5-12 cycloalkyl, C6-10 aryl, alkenyl, C1-4 triorganosilyl; and R4-R6=halo, (cyclo)alkoxy, aryloxy, siloxy, BH4, allyl, indenyl, benzyl, cyclopentadienyl, CH2SiMe3, silylamido, amido, or imino.
  • Maestre et al. disclose the reaction of the cyclopentadienyl-silyl-amido titanium compound with group 5 metal monocyclopentadienyl complexes to form NbCp(NH(CH2)2—NH2)Cl3 and NbCpCl2(N—(CH2)2—N).
  • A need remains for developing liquid or low melting point (<50° C. at standard pressure), highly thermally stable, Niobium-containing precursor molecules suitable for vapor phase film deposition with controlled thickness and composition at high temperature.
  • Notation and Nomenclature
  • Certain abbreviations, symbols, and terms are used throughout the following description and claims, and include:
  • As used herein, the indefinite article “a” or “an” means one or more.
  • As used herein, the terms “approximately” or “about” mean±10% of the value stated.
  • The standard abbreviations of the elements from the periodic table of elements are used herein. It should be understood that elements may be referred to by these abbreviations (e.g., Nb refers to Niobium, N refers to nitrogen, C refers to carbon, etc.).
  • As used herein, the term “independently” when used in the context of describing R groups should be understood to denote that the subject R group is not only independently selected relative to other R groups bearing the same or different subscripts or superscripts, but is also independently selected relative to any additional species of that same R group. For example in the formula MR1 x (NR2R3)(4-x), where x is 2 or 3, the two or three R1 groups may, but need not be identical to each other or to R2 or to R3.
  • As used herein, the term “alkyl group” refers to saturated functional groups containing exclusively carbon and hydrogen atoms. Further, the term “alkyl group” refers to linear, branched, or cyclic alkyl groups. Examples of linear alkyl groups include without limitation, methyl groups, ethyl groups, propyl groups, butyl groups, etc. Examples of branched alkyls groups include without limitation, tbutyl. Examples of cyclic alkyl groups include without limitation, cyclopropyl groups, cyclopentyl groups, cyclohexyl groups, etc.
  • As used herein, the abbreviation “Me” refers to a methyl group; the abbreviation “Et” refers to an ethyl group; the abbreviation “Pr” refers to a propyl group; the abbreviation “nPr” refers to a “normal” or linear propyl group; the abbreviation “iPr” refers to an isopropyl group; the abbreviation “Bu” refers to a butyl group; the abbreviation “nBu” refers to a “normal” or linear butyl group; the abbreviation “tBu” refers to a tert-butyl group, also known as 1,1-dimethylethyl; the abbreviation “sBu” refers to a sec-butyl group, also known as 1-methylpropyl; the abbreviation “iBu” refers to an iso-butyl group, also known as 2-methylpropyl; the abbreviation “amyl” refers to an amyl or pentyl group; the abbreviation “tAmyl” refers to a tert-amyl group, also known as 1,1-dimethylpropyl.
  • As used herein, the abbreviation “TMS” refers to trimethylsilyl (Me3Si—); the abbreviation “DMS” refers to dimethylsilyl (Me2HSi—); the abbreviation “MMS” refers to monomethylsilyl (MeH2Si—); the abbreviation “Py” refers to pyridine; and the abbreviation R1, R2, R3-Pyr refers to a pyrazolyl ligand having the following structure:
  • Figure US20160307904A1-20161020-C00001
  • Please note that the films or layers deposited, such as niobium oxide, may be listed throughout the specification and claims without reference to their proper stoichoimetry (i.e., NbO2 or Nb2O5). The layers may include pure (Nb) layers, silicide (NboSip) layers, carbide (NboCp) layers, nitride (NbkNl) layers, oxide (NbnOm) layers, or mixtures thereof; wherein k, l, m, n, o, and p inclusively range from 1 to 6. For instance, niobium silicide is NbkSil, where k and l each range from 0.5 to 5. Similarly, any referenced layers may also include a Silicon oxide layer, SinOm, wherein n ranges from 0.5 to 1.5 and m ranges from 1.5 to 3.5. More preferably, the silicon oxide layer is SiO2 or SiO3. The silicon oxide layer may be a silicon oxide based dielectric material, such as organic based or silicon oxide based low-k dielectric materials such as the Black Diamond II or III material by Applied Materials, Inc. Alternatively, any referenced silicon-containing layer may be pure silicon. Any referenced layers, such as the niobium- or silicon-containing layers, may also include dopants, such as B, C, P, As and/or Ge.
  • Any and all ranges recited herein are inclusive of their endpoints (i.e., x=1 to 4 includes x=1, x=4, and x=any number in between), irrespective of whether the term “inclusively” is used.
  • SUMMARY
  • Disclosed are Niobium-containing film forming compositions comprising a precursor having the formula:
  • Figure US20160307904A1-20161020-C00002
  • wherein each R, R1, R2, R3, R4, R5, R6, and R7 is independently H, an alkyl group, or R′3Si, with each R′ independently being H or an alkyl group. The disclosed Niobium containing film forming compositions may include one or more of the following aspects:
      • each R, R1, R2, R3, R4, R5, R6, and R7 independently being selected from H, Me, Et, nPr, iPr, tBu, sBu, iBu, nBu, tAmyl, SiMe3, SiMe2H, or SiH2Me;
      • R being tBu; R1, R2, R3, R4 and R5 being respectively H, H, H, H and H; R6 and R7 being respectively iPr and iPr;
      • R being tBu; R1, R2, R3, R4 and R5 being respectively H, H, H, H and H; R6 and R7 being respectively iPr and tBu;
      • R being tBu; R1, R2, R3, R4 and R5 being respectively H, H, H, H and H; R6 and R7 being respectively tBu and tBu;
      • R being tBu; R1, R2, R3, R4 and R5 being respectively H, H, H, H and H; R6 and R7 being respectively tAmyl and tAmyl;
      • R being tBu; R1, R2, R3, R4 and R5 being respectively Me, H, H, H and H; R6 and R7 being respectively iPr and iPr;
      • R being tBu; R1, R2, R3, R4 and R5 being respectively Me, H, H, H and H; R6 and R7 being respectively iPr and tBu;
      • R being tBu; R1, R2, R3, R4 and R5 being respectively Me, H, H, H and H; R6 and R7 being respectively tBu and tBu;
      • R being tBu; R1, R2, R3, R4 and R5 being respectively Me, H, H, H and H; R6 and R7 being respectively tAmyl and tAmyl;
      • R being tBu; R1, R2, R3, R4 and R5 being respectively Et, H, H, H and H; R6 and R7 being respectively iPr and iPr;
      • R being tBu; R1, R2, R3, R4 and R5 being respectively Et, H, H, H and H; R6 and R7 being respectively iPr and tBu;
      • R being tBu; R1, R2, R3, R4 and R5 being respectively Et, H, H, H and H; R6 and R7 being respectively tBu and tBu;
      • R being tBu; R1, R2, R3, R4 and R5 being respectively Et, H, H, H and H; R6 and R7 being respectively tAmyl and tAmyl;
      • R being tBu; R1, R2, R3, R4 and R5 being respectively iPr, H, H, H and H; R6 and R7 being respectively iPr and iPr;
      • R being tBu; R1, R2, R3, R4 and R5 being respectively iPr, H, H, H and H; R6 and R7 being respectively iPr and tBu;
      • R being tBu; R1, R2, R3, R4 and R5 being respectively iPr, H, H, H and H; R6 and R7 being respectively tBu and tBu;
      • R being tBu; R1, R2, R3, R4 and R5 being respectively iPr, H, H, H and H; R6 and R7 being respectively tAmyl and tAmyl;
      • R being tBu; R1, R2, R3, R4 and R5 being respectively tBu, H, H, H and H; R6 and R7 being respectively iPr and iPr;
      • R being tBu; R1, R2, R3, R4 and R5 being respectively tBu, H, H, H and H; R6 and R7 being respectively iPr and tBu;
      • R being tBu; R1, R2, R3, R4 and R5 being respectively tBu, H, H, H and H; R6 and R7 being respectively tBu and tBu;
      • R being tBu; R1, R2, R3, R4 and R5 being respectively tBu, H, H, H and H; R6 and R7 being respectively tAmyl and tAmyl;
      • R being tBu; R1, R2, R3, R4 and R5 being respectively SiMe3, H, H, H and H; R6 and R7 being respectively iPr and iPr;
      • R being tBu; R1, R2, R3, R4 and R5 being respectively SiMe3, H, H, H and H; R6 and R7 being respectively iPr and tBu;
      • R being tBu; R1, R2, R3, R4 and R5 being respectively SiMe3, H, H, H and H; R6 and R7 being respectively tBu and tBu;
      • R being tBu; R1, R2, R3, R4 and R5 being respectively SiMe3, H, H, H and H; R6 and R7 being respectively tAmyl and tAmyl;
      • R being tBu; R1, R2, R3, R4 and R5 being respectively iPr, H, iPr, H and iPr; R6 and R7 being respectively iPr and iPr;
      • R being tBu; R1, R2, R3, R4 and R5 being respectively iPr, H, iPr, H and iPr; R6 and R7 being respectively iPr and tBu;
      • R being tBu; R1, R2, R3, R4 and R5 being respectively iPr, H, iPr, H and iPr; R6 and R7 being respectively tBu and tBu;
      • R being tBu; R1, R2, R3, R4 and R5 being respectively iPr, H, iPr, H and iPr; R6 and R7 being respectively tAmyl and tAmyl;
      • R being Et; R1, R2, R3, R4 and R5 being respectively H, H, H, H and H; R6 and R7 being respectively tAmyl and tAmyl;
      • R being iPr; R1, R2, R3, R4 and R5 being respectively H, H, H, H and H; R6 and R7 being respectively tAmyl and tAmyl;
      • R being tAmyl; R1, R2, R3, R4 and R5 being respectively H, H, H, H and H; R6 and R7 being respectively tAmyl and tAmyl; and
      • R being SiMe3; R1, R2, R3, R4 and R5 being respectively H, H, H, H and H; R6 and R7 being respectively tAmyl and tAmyl.
  • Also disclosed are Nb-containing film forming composition delivery devices comprising a canister having an inlet conduit and an outlet conduit and containing any of the Nb-containing film forming compositions disclosed above. The disclosed device may include one or more of the following aspects:
      • the Nb-containing film forming composition having a total concentration of metal contaminants of less than 10 ppmw;
      • an end of the inlet conduit end located above a surface of the Nb-containing film forming composition and an end of the outlet conduit located below the surface of the Nb-containing film forming composition;
      • an end of the inlet conduit end located below a surface of the Nb-containing film forming composition and an end of the outlet conduit located above the surface of the Nb-containing film forming composition;
      • further comprising a diaphragm valve on the inlet and the outlet;
      • the Nb-containing film forming composition being NbCp(=NtBu)(N(tAmyl)-CH—CH—N(tAmyl)); or
      • the Nb-containing film forming composition being Nb(MeCp)(=NtBu)(N(tBu(N(tBu)-CH—CH—N(tBu)).
  • Also disclosed are processes for the deposition of Niobium-containing films on substrates. The Niobium-containing film forming composition disclosed above is introduced into a reactor having a substrate disposed therein. At least part of the precursor is deposited onto the at least one substrate to form the Niobium containing film. The disclosed processes may further include one or more of the following aspects:
      • introducing at least one reactant into the reactor;
      • the reactant being plasma-treated;
      • the reactant being remote plasma-treated;
      • the reactant not being plasma-treated;
      • the reactant being selected from the group consisting of H2, H2CO, N2H4, NH3, SiH4, Si2H6, Si3H8, SiH2Me2, SiH2Et2, N(SiH3)3, hydrogen radicals thereof, and mixtures thereof;
      • the reactant being H2;
      • the reactant being NH3;
      • the reactant being selected from the group consisting of: O2, O3, H2O, H2O2, NO, N2O, NO2, oxygen radicals thereof, and mixtures thereof;
      • the reactant being H2O;
      • the reactant being plasma treated O2;
      • the reactant being O3;
      • the Niobium containing film forming composition and the reactant being introduced into the reactor simultaneously;
      • the reactor being configured for chemical vapor deposition;
      • the reactor being configured for plasma enhanced chemical vapor deposition;
      • the Niobium containing film forming composition and the reactant being introduced into the chamber sequentially;
      • the reactor being configured for atomic layer deposition;
      • the reactor being configured for plasma enhanced atomic layer deposition;
      • the reactor being configured for spatial atomic layer deposition;
      • the Niobium containing film being a pure Nb thin film;
      • the Niobium containing film being NbkSil, wherein each of k and l is an integer which inclusively range from 1 to 6;
      • the Niobium containing film being NbnOm, wherein each of n and m is an integer which inclusively range from 1 to 6;
      • the Niobium containing film being NbO2 or Nb2O5;
      • the Niobium containing film being NboNp, wherein each of o and p is an integer which inclusively range from 1 to 6;
      • the Niobium containing film being NbN;
      • the Niobium containing film being NboNpOq, wherein each of o, p and q is an integer which inclusively range from 1 to 6; and
      • the Niobium containing film being NbON.
    BRIEF DESCRIPTION OF THE FIGURES
  • For a further understanding of the nature and objects of the present invention, reference should be made to the following detailed description, taken in conjunction with the accompanying figures wherein:
  • FIG. 1 is a side view of one embodiment of the Nb-containing film forming composition delivery device;
  • FIG. 2 is a side view of a second embodiment of the Nb-containing film forming composition delivery device; and
  • FIG. 3 is a ThermoGravimetric Analysis (TGA) graph demonstrating the percentage of weight loss with increasing temperature of Niobium tButyl imido cyclopentadienyl tAmyl-diazadienyl.
  • DESCRIPTION OF PREFERRED EMBODIMENTS
  • Disclosed are Niobium-containing film forming compositions comprising a precursor having the formula:
  • Figure US20160307904A1-20161020-C00003
  • wherein each R, R1, R2, R3, R4, R5, R6, and R7 is independently H, an alkyl group, or R′3Si, with each R′ independently being H or an alkyl group. Each R, R1, R2, R3, R4, R5, R6, and R7 may independently be selected from H, Me, Et, nPr, iPr, tBu, sBu, iBu, nBu, tAmyl, SiMe3, SiMe2H, or SiH2Me.
  • When R is tBu and R1-R5 is H, the precursor has the formula:
  • Figure US20160307904A1-20161020-C00004
  • In this embodiment, R6 may be the same as R7. For example, R6 and R7 may each be H, Me, Et, iPr, nPr, tBu, tAmyl, SiMe3, SiHMe2, or SiH2Me. Alternatively, R6 may differ from R7. For example, R6 may be iPr and R7 may be tBu.
  • When R is tBu, R1 is Me, and R2-R5 is H, the precursor has the formula:
  • Figure US20160307904A1-20161020-C00005
  • In this embodiment, R6 may be the same as R7. For example, R6 and R7 may each be H, Me, Et, iPr, nPr, tBu, tAmyl, SiMe3, SiHMe2, or SiH2Me. Alternatively, R6 may differ from R7. For example, R6 may be iPr and R7 may be tBu.
  • When R is tBu, R1 is Et, and R2-R5 is H, the precursor has the formula:
  • Figure US20160307904A1-20161020-C00006
  • In this embodiment, R6 may be the same as R7. For example, R6 and R7 may each be H, Me, Et, iPr, nPr, tBu, tAmyl, SiMe3, SiHMe2, or SiH2Me. Alternatively, R6 may differ from R7. For example, R6 may be iPr and R7 may be tBu.
  • When R is tBu, R1 is iPr, and R2-R5 is H, the precursor has the formula:
  • Figure US20160307904A1-20161020-C00007
  • In this embodiment, R6 may be the same as R7. For example, R6 and R7 may each be H, Me, Et, iPr, nPr, tBu, tAmyl, SiMe3, SiHMe2, or SiH2Me. Alternatively, R6 may differ from R7. For example, R6 may be iPr and R7 may be tBu.
  • When R and R1 are tBu and R2-R5 is H, the precursor has the formula:
  • Figure US20160307904A1-20161020-C00008
  • In this embodiment, R6 may be the same as R7. For example, R6 and R7 may each be H, Me, Et, iPr, nPr, tBu, tAmyl, SiMe3, SiHMe2, or SiH2Me. Alternatively, R6 may differ from R7. For example, R6 may be iPr and R7 may be tBu.
  • When R is tBu, R1 is SiMe3, and R2-R5 is H, the precursor has the formula:
  • Figure US20160307904A1-20161020-C00009
  • In this embodiment, R6 may be the same as RI. For example, R6 and R7 may each be H, Me, Et, iPr, nPr, tBu, tAmyl, SiMe3, SiHMe2, or SiH2Me. Alternatively, R6 may differ from R7. For example, R6 may be iPr and R7 may be tBu.
  • When R is tBu; R1, R3, and R5 are iPr; and R2 and R4 are H, the precursor has the formula:
  • Figure US20160307904A1-20161020-C00010
  • In this embodiment, R6 may be the same as R7. For example, R6 and R7 may each be H, Me, Et, iPr, nPr, tBu, tAmyl, SiMe3, SiHMe2, or SiH2Me. Alternatively, R6 may differ from R7. For example, R6 may be iPr and R7 may be tBu.
  • When R1— R5 are H and R6 and R7 are tAmyl, the precursor has the formula:
  • Figure US20160307904A1-20161020-C00011
  • In this embodiment, R may be Et, iPr, tAmyl, or SiMe3.
  • These precursors may be synthesized by reacting 1 molar equivalent of Nb(=NtBu)Cl3(py)2 with 1 molar equivalent of the relevant alkaline cyclopentadienyl ligand (i.e., Li or Na or K Cp) in a polar solvent at room temperature. Nb(=NtBu)Cl3(py)2 can be prepared as described in Dalton Trans., 2011, 40, 413-420. Suitable polar solvents include tetrahydrofuran (THF). After stirring for a sufficient period of time, 1 molar equivalent of the freshly prepared alkaline diazadienyl ligand at −78° C. may be added. After additional stirring at room temperature, the solvent is removed under vacuum and the product extracted using a nonpolar solvent, such as pentane.
  • Purity of the disclosed Niobium-containing film forming composition is greater than 95% w/w (i.e., 95.0% w/w to 100.0% w/w), preferably greater than 98% w/w (i.e., 98.0% w/w to 100.0% w/w), and more preferably greater than 99% w/w (i.e., 99.0% w/w to 100.0% w/w). One of ordinary skill in the art will recognize that the purity may be determined by H NMR or gas or liquid chromatography with mass spectrometry. The disclosed Niobium-containing film forming compositions may contain any of the following impurities: diazadiene; cyclopentadiene, pyridines; alkylamines; alkylimines; THF; ether; pentane; cyclohexane; heptanes; benzene; toluene; chlorinated metal compounds; lithium, sodium, or potassium cyclopentadienyl or lithium, sodium, or potassium diazadienyl. The total quantity of these impurities is below 5% w/w (i.e., 0.0% w/w to 5.0% w/w), preferably below 2% w/w (i.e., 0.0% w/w to 2.0% w/w), and more preferably below 1% w/w (i.e. 0.0% w/w to 1.0% w/w). The composition may be purified by recrystallisation, sublimation, distillation, and/or passing the gas or liquid through a suitable adsorbent, such as a 4A molecular sieve.
  • Purification of the disclosed Niobium-containing film forming composition may also result in metal impurities at the 0 ppbw to 1 ppmw, preferably 0-500 ppbw (part per billion weight) level. These metal impurities include, but are not limited to, Aluminum (Al), Arsenic (As), Barium (Ba), Beryllium (Be), Bismuth (Bi), Cadmium (Cd), Calcium (Ca), Chromium (Cr), Cobalt (Co), Copper (Cu), Gallium (Ga), Germanium (Ge), Hafnium (Hf), Zirconium (Zr), Indium (In), Iron (Fe), Lead (Pb), Lithium (Li), Magnesium (Mg), Manganese (Mn), Tungsten (W), Nickel (Ni), Potassium (K), Sodium (Na), Strontium (Sr), Thorium (Th), Tin (Sn), Titanium (Ti), Uranium (U), and Zinc (Zn).
  • The Nb-containing film forming composition that have a low melting point (i.e., melt at a temperature below 50° C.) and/or exhibit low residue (i.e., between 0% and 10%) during thermogravimetric analysis are expected to be suitable for vapor deposition processes.
  • The disclosed Nb-containing film forming compositions may be delivered to a semiconductor processing tool by the disclosed Nb-containing film forming composition delivery devices. FIGS. 1 and 2 show two embodiments of the disclosed delivery devices 1.
  • FIG. 1 is a side view of one embodiment of the Nb-containing film forming composition delivery device 1. In FIG. 1, the disclosed Nb-containing film forming composition 10 are contained within a container 20 having two conduits, an inlet conduit 30 and an outlet conduit 40. One of ordinary skill in the precursor art will recognize that the container 20, inlet conduit 30, and outlet conduit 40 are manufactured to prevent the escape of the gaseous form of the Nb-containing film forming composition 10, even at elevated temperature and pressure.
  • Suitable valves include spring-loaded or tied diaphragm valves. The valve may further comprise a restrictive flow orifice (RFO). The delivery device should be connected to a gas manifold and in an enclosure. The gas manifold should permit the safe evacuation and purging of the piping that may be exposed to air when the delivery device is replaced so that any residual amounts of the material do not react. The enclosure should be equipped with sensors and fire control capability to control the fire in the case of a pyrophoric material release. The gas manifold should also be equipped with isolation valves, vacuum generators, and permit the introduction of a purge gas at a minimum.
  • The delivery device must be leak tight and be equipped with valves that do not permit escape of even minute amounts of the material. The delivery device fluidly connects to other components of the semiconductor processing tool, such as the gas cabinet disclosed above, via valves 35 and 45. Preferably, the delivery device 20, inlet conduit 30, valve 35, outlet conduit 40, and valve 45 are made of 316L EP or 304 stainless steel. However, one of ordinary skill in the art will recognize that other inert materials, such as Hastelloy or Inconel, may also be used in the teachings herein to prevent any potential contamination of the Nb-containing film forming composition 10.
  • In FIG. 1, the end 31 of inlet conduit 30 is located above the surface of the Nb-containing film forming composition 10, whereas the end 41 of the outlet conduit 40 is located below the surface of the Nb-containing film forming composition 10. In this embodiment, the Nb-containing film forming composition 10 is preferably in liquid form. An inert gas, including but not limited to nitrogen, argon, helium, and mixtures thereof, may be introduced into the inlet conduit 30. The inert gas pressurizes the delivery device 20 so that the liquid Nb-containing film forming composition 10 is forced through the outlet conduit 40 and to components in the semiconductor processing tool (not shown). The semiconductor processing tool may include a vaporizer which transforms the liquid Nb-containing film forming composition 10 into a vapor, with or without the use of a carrier gas such as helium, argon, nitrogen or mixtures thereof, in order to deliver the vapor to a chamber where a wafer to be repaired is located and treatment occurs in the vapor phase. Alternatively, the liquid Nb-containing film forming composition 10 may be delivered directly to the wafer surface as a jet or aerosol.
  • FIG. 2 is a side view of a second embodiment of the Nb-containing film forming composition delivery device 1. In FIG. 2, the end 31 of inlet conduit 30 is located below the surface of the Nb-containing film forming composition 10, whereas the end 41 of the outlet conduit 40 is located above the surface of the Nb-containing film forming composition 10. FIG. 2 also includes an optional heating element 25, which may increase the temperature of the Nb-containing film forming composition 10. The Nb-containing film forming composition 10 may be in solid or liquid form. An inert gas, including but not limited to nitrogen, argon, helium, and mixtures thereof, is introduced into the inlet conduit 30. The inert gas flows through the Nb-containing film forming composition 10 and carries a mixture of the inert gas and vaporized Nb-containing film forming composition 10 to the outlet conduit 40 and to the components in the semiconductor processing tool.
  • Both FIGS. 1 and 2 include valves 35 and 45. One of ordinary skill in the art will recognize that valves 35 and 45 may be placed in an open or closed position to allow flow through conduits 30 and 40, respectively. Either delivery device 1 in FIG. 1 or 2, or a simpler delivery device having a single conduit terminating above the surface of any solid or liquid present, may be used if the Nb-containing film forming composition 10 is in vapor form or if sufficient vapor pressure is present above the solid/liquid phase. In this case, the Nb-containing film forming composition 10 is delivered in vapor form through the conduit 30 or 40 simply by opening the valve 35 in FIG. 1 or 45 in FIG. 2, respectively. The delivery device 1 may be maintained at a suitable temperature to provide sufficient vapor pressure for the Nb-containing film forming composition 10 to be delivered in vapor form, for example by the use of an optional heating element 25.
  • While FIGS. 1 and 2 disclose two embodiments of the Nb-containing film forming composition delivery device 1, one of ordinary skill in the art will recognize that the inlet conduit 30 and outlet conduit 40 may both be located above or below the surface of the Nb-containing film forming composition 10 without departing from the disclosure herein. Furthermore, inlet conduit 30 may be a filling port. Finally, one of ordinary skill in the art will recognize that the disclosed Nb-containing film forming compositions may be delivered to semiconductor processing tools using other delivery devices, such as the ampoules disclosed in WO 2006/059187 to Jurcik et al., without departing from the teachings herein.
  • Also disclosed are methods for forming Niobium-containing layers on a substrate using a vapor deposition process. The method may be useful in the manufacture of semiconductor, photovoltaic, LCD-TFT, or flat panel type devices.
  • The disclosed Niobium-containing film forming compositions may be used to deposit Niobium-containing films using any deposition methods known to those of skill in the art. Examples of suitable vapor deposition methods include chemical vapor deposition (CVD) or atomic layer deposition (ALD). Exemplary CVD methods include thermal CVD, plasma enhanced CVD (PECVD), pulsed CVD (PCVD), low pressure CVD (LPCVD), sub-atmospheric CVD (SACVD) or atmospheric pressure CVD (APCVD), hot-wire CVD (HWCVD, also known as cat-CVD, in which a hot wire serves as an energy source for the deposition process), radicals incorporated CVD, and combinations thereof. Exemplary ALD methods include thermal ALD, plasma enhanced ALD (PEALD), spatial isolation ALD, hot-wire ALD (HWALD), radicals incorporated ALD, and combinations thereof. Super critical fluid deposition may also be used. The deposition method is preferably ALD, PE-ALD, or spatial ALD in order to provide suitable step coverage and film thickness control.
  • The disclosed Niobium-containing film forming compositions may consist of the precursor or a combination of the precursor and a suitable solvent, such as ethyl benzene, xylene, mesitylene, decalin, decane, dodecane, and mixtures thereof. The disclosed precursors may be present in varying concentrations in the solvent.
  • The Niobium-containing film forming compositions are introduced into a reactor in vapor form by conventional means, such as tubing and/or flow meters. The vapor form may be produced by vaporizing the composition through a conventional vaporization step such as direct vaporization, distillation, or by bubbling, or by using a sublimator such as the one disclosed in PCT Publication WO2009/087609 to Xu et al. The composition may be fed in liquid state to a vaporizer where it is vaporized before it is introduced into the reactor. Alternatively, the composition may be vaporized by passing a carrier gas into a container containing the composition or by bubbling the carrier gas into the composition. The carrier gas may include, but is not limited to, Ar, He, N2, and mixtures thereof. Bubbling with a carrier gas may also remove any dissolved oxygen present in the composition. The carrier gas and composition are then introduced into the reactor as a vapor.
  • If necessary, the container containing the disclosed composition may be heated to a temperature that permits the composition to be in its liquid phase and to have a sufficient vapor pressure. The container may be maintained at temperatures in the range of, for example, approximately 0° C. to approximately 150° C. Those skilled in the art recognize that the temperature of the container may be adjusted in a known manner to control the amount of precursor vaporized.
  • The reactor may be any enclosure or chamber within a device in which deposition methods take place such as without limitation, a parallel-plate type reactor, a cold-wall type reactor, a hot-wall type reactor, a single-wafer reactor, a multi-wafer reactor, or other types of deposition systems under conditions suitable to cause the compounds to react and form the layers. One of ordinary skill in the art will recognize that any of these reactors may be used for either ALD or CVD deposition processes.
  • The reactor contains one or more substrates onto which the films will be deposited. A substrate is generally defined as the material on which a process is conducted. The substrates may be any suitable substrate used in semiconductor, photovoltaic, flat panel, or LCD-TFT device manufacturing. Examples of suitable substrates include wafers, such as silicon, silica, glass, plastic or GaAs wafers. The wafer may have one or more layers of differing materials deposited on it from a previous manufacturing step. For example, the wafers may include silicon layers (crystalline, amorphous, porous, etc.), silicon oxide layers, silicon nitride layers, silicon oxy nitride layers, carbon doped silicon oxide (SiCOH) layers, or combinations thereof. Additionally, the wafers may include copper layers or noble metal layers (e.g. platinum, palladium, rhodium, or gold). The wafers may include barrier layers, such as manganese, manganese oxide, etc. Plastic layers, such as poly(3,4-ethylenedioxythiophene)poly(styrenesulfonate) [PEDOT:PSS] may also be used. The layers may be planar or patterned. The disclosed processes may deposit the Niobium-containing layer directly on the wafer or directly on one or more than one (when patterned layers form the substrate) of the layers on top of the wafer. Furthermore, one of ordinary skill in the art will recognize that the terms “film” or “layer” used herein refer to a thickness of some material laid on or spread over a surface and that the surface may be a trench or a line. Throughout the specification and claims, the wafer and any associated layers thereon are referred to as substrates. For example, a Niobium Nitride film may be deposited onto a Si layer. In subsequent processing, a zirconium oxide layer may be deposited on the Niobium Nitride layer, a second Niobium Nitride layer may be deposited on the zirconium oxide layer forming a NbN/ZrO2/NbN stack used in DRAM capacitors.
  • The temperature and the pressure within the reactor are held at conditions suitable for vapor depositions. In other words, after introduction of the vaporized composition into the chamber, conditions within the chamber are such that at least part of the precursor is deposited onto the substrate to form a Niobium-containing film. For instance, the pressure in the reactor may be held between about 1 Pa and about 105 Pa, more preferably between about 25 Pa and about 103 Pa, as required per the deposition parameters. Likewise, the temperature in the reactor may be held between about 100° C. and about 500° C., preferably between about 150° C. and about 400° C. One of ordinary skill in the art will recognize that “at least part of the precursor is deposited” means that some or all of the precursor reacts with or adheres to the substrate.
  • The temperature of the reactor may be controlled by either controlling the temperature of the substrate holder or controlling the temperature of the reactor wall. Devices used to heat the substrate are known in the art. The reactor wall is heated to a sufficient temperature to obtain the desired film at a sufficient growth rate and with desired physical state and composition. A non-limiting exemplary temperature range to which the reactor wall may be heated includes from approximately 100° C. to approximately 500° C. When a plasma deposition process is utilized, the deposition temperature may range from approximately 150° C. to approximately 400° C. Alternatively, when a thermal process is performed, the deposition temperature may range from approximately 200° C. to approximately 500° C.
  • In addition to the disclosed Niobium-containing film forming composition, a reactant may be introduced into the reactor. The reactant may be H2, H2CO, N2H4, NH3, SiH4, Si2H6, Si3H8, SiH2Me2, SiH2Et2, N(SiH3)3, hydrogen radicals thereof, and mixtures thereof. Preferably, the reactant is H2 or NH3.
  • Alternatively, the reactant may be an oxidizing gas such as one of O2, O3, H2O, H2O2, NO, N2O, NO2, oxygen containing radicals such as O or OH, carboxylic acids, formic acid, acetic acid, propionic acid, and mixtures thereof. Preferably, the oxidizing gas is selected from the group consisting of O2, O3, or H2O.
  • The reactant may be treated by a plasma, in order to decompose the reactant into its radical form. N2 may also be utilized as a nitrogen source gas when treated with plasma. For instance, the plasma may be generated with a power ranging from about 50 W to about 500 W, preferably from about 100 W to about 400 W. The plasma may be generated or present within the reactor itself. Alternatively, the plasma may generally be at a location removed from the reactor, for instance, in a remotely located plasma system. One of skill in the art will recognize methods and apparatus suitable for such plasma treatment.
  • For example, the reactant may be introduced into a direct plasma reactor, which generates plasma in the reaction chamber, to produce the plasma-treated reactant in the reaction chamber. Exemplary direct plasma reactors include the Titan™ PECVD System produced by Trion Technologies. The reactant may be introduced and held in the reaction chamber prior to plasma processing. Alternatively, the plasma processing may occur simultaneously with the introduction of the reactant. In-situ plasma is typically a 13.56 MHz RF inductively coupled plasma that is generated between the showerhead and the substrate holder. The substrate or the showerhead may be the powered electrode depending on whether positive ion impact occurs. Typical applied powers in in-situ plasma generators are from approximately 30 W to approximately 1000 W. Preferably, powers from approximately 30 W to approximately 600 W are used in the disclosed methods. More preferably, the powers range from approximately 100 W to approximately 500 W. The disassociation of the reactant using in-situ plasma is typically less than achieved using a remote plasma source for the same power input and is therefore not as efficient in reactant disassociation as a remote plasma system, which may be beneficial for the deposition of Niobium-containing films on substrates easily damaged by plasma.
  • Alternatively, the plasma-treated reactant may be produced outside of the reaction chamber. The MKS Instruments' ASTRONi® reactive gas generator may be used to treat the reactant prior to passage into the reaction chamber. Operated at 2.45 GHz, 7 kW plasma power, and a pressure ranging from approximately 0.5 Torr to approximately 10 Torr, the reactant O2 may be decomposed into two O radicals. Preferably, the remote plasma may be generated with a power ranging from about 1 kW to about 10 kW, more preferably from about 2.5 kW to about 7.5 kW.
  • The vapor deposition conditions within the chamber allow the disclosed composition and the reactant to react and form a Niobium-containing film on the substrate. In some embodiments, Applicants believe that plasma-treating the reactant may provide the reactant with the energy needed to react with the disclosed precursors.
  • Depending on what type of film is desired to be deposited, an additional precursor compound may be introduced into the reactor. The additional precursor may be used to provide additional elements to the Niobium-containing film. The additional elements may include lanthanides (Ytterbium, Erbium, Dysprosium, Gadolinium, Praseodymium, Cerium, Lanthanum, Yttrium), zirconium, germanium, silicon, magnesium, titanium, manganese, ruthenium, bismuth, lead, magnesium, aluminum, or mixtures of these. When an additional precursor compound is utilized, the resultant film deposited on the substrate contains the Niobium metal in combination with an additional element.
  • The Niobium-containing film forming composition and reactants may be introduced into the reactor either simultaneously (chemical vapor deposition), sequentially (atomic layer deposition) or different combinations thereof. The reactor may be purged with an inert gas between the introduction of the compositions and the introduction of the reactants. Alternatively, the reactants and the compositions may be mixed together to form a reactant/composition mixture, and then introduced to the reactor in mixture form. Another example is to introduce the reactant continuously and to introduce the Niobium-containing film forming composition by pulse (pulsed chemical vapor deposition).
  • The vaporized composition and the reactant may be pulsed sequentially or simultaneously (e.g. pulsed CVD) into the reactor. Each pulse of composition may last for a time period ranging from about 0.01 seconds to about 10 seconds, alternatively from about 0.3 seconds to about 3 seconds, alternatively from about 0.5 seconds to about 2 seconds. In another embodiment, the reactant may also be pulsed into the reactor. In such embodiments, the pulse of each may last for a time period ranging from about 0.01 seconds to about 10 seconds, alternatively from about 0.3 seconds to about 3 seconds, alternatively from about 0.5 seconds to about 2 seconds. In another alternative, the vaporized compositions and reactants may be simultaneously sprayed from a shower head under which a susceptor holding several wafers is spun (spatial ALD).
  • Depending on the particular process parameters, deposition may take place for a varying length of time. Generally, deposition may be allowed to continue as long as desired or necessary to produce a film with the necessary properties. Typical film thicknesses may vary from several angstroms to several hundreds of microns, depending on the specific deposition process. The deposition process may also be performed as many times as necessary to obtain the desired film.
  • In one non-limiting exemplary CVD process, the vapor phase of the disclosed Niobium-containing film forming composition and a reactant are simultaneously introduced into the reactor. The two react to form the resulting Niobium-containing film. When the reactant in this exemplary CVD process is treated with a plasma, the exemplary CVD process becomes an exemplary PECVD process. The reactant may be treated with plasma prior or subsequent to introduction into the chamber.
  • In one non-limiting exemplary ALD process, the vapor phase of the disclosed Niobium-containing film forming composition is introduced into the reactor, where it is contacted with a suitable substrate. Excess composition may then be removed from the reactor by purging and/or evacuating the reactor. A reactant (for example, NH3) is introduced into the reactor where it reacts with the absorbed composition in a self-limiting manner. Any excess reactant is removed from the reactor by purging and/or evacuating the reactor. If the desired film is a Niobium Nitride, this two-step process may provide the desired film thickness or may be repeated until a film having the necessary thickness has been obtained.
  • Alternatively, if the desired film contains the Niobium transition metal and a second element, the two-step process above may be followed by introduction of the vapor of an additional precursor compound into the reactor. The additional precursor compound will be selected based on the nature of the Niobium-containing film being deposited. After introduction into the reactor, the additional precursor compound is contacted with the substrate. Any excess precursor compound is removed from the reactor by purging and/or evacuating the reactor. Once again, a reactant may be introduced into the reactor to react with the precursor compound. Excess reactant is removed from the reactor by purging and/or evacuating the reactor. If a desired film thickness has been achieved, the process may be terminated. However, if a thicker film is desired, the entire four-step process may be repeated. By alternating the provision of the Niobium-containing film forming composition, additional precursor compound, and reactant, a film of desired composition and thickness can be deposited.
  • When the reactant in this exemplary ALD process is treated with a plasma, the exemplary ALD process becomes an exemplary PEALD process. The reactant may be treated with plasma prior or subsequent to introduction into the chamber.
  • In a second non-limiting exemplary ALD process, the vapor phase of one of the disclosed Niobium-containing film forming composition, for example Niobium (tbutyl imido) tris(3,5-diisopropylpyrazolyl) (Nb(=NtBu)(iPr, H, iPr-Pyr)3), is introduced into the reactor, where it is contacted with a Si substrate. Excess composition may then be removed from the reactor by purging and/or evacuating the reactor. A reactant (for example, NH3) is introduced into the reactor where it reacts with the absorbed composition in a self-limiting manner to form a Niobium Nitride film. Any excess NH3 gas is removed from the reactor by purging and/or evacuating the reactor. These two steps may be repeated until the Niobium Nitride film obtains a desired thickness, typically around 10 angstroms. ZrO2 may then be deposited on the NbN film. For example, ZrCp(NMe2)3 may serve as the Zr precursor. The second non-limiting exemplary ALD process described above using Nb(=NtBu)(iPr,H,iPr-Pyr)3 and NH3 may then be repeated on the ZrO2 layer. The resulting NbN/ZrO2/NbN stack may be used in DRAM capacitors.
  • In a third non-limiting exemplary ALD process, the vapor phase of one of the disclosed Niobium-containing film forming composition, for example Niobium tButyl imido cyclopentadienyl tAmyl-diazadienyl (Nb(=NtBu)Cp(˜N(tAmyl)-CH—CH—N(tAmyl))), is introduced into the reactor, where it is contacted with a Si substrate.
  • Excess composition may then be removed from the reactor by purging and/or evacuating the reactor. A reactant (for example, NH3) is introduced into the reactor where it reacts with the absorbed composition in a self-limiting manner to form a Niobium Nitride film. Any excess NH3 gas is removed from the reactor by purging and/or evacuating the reactor. These two steps may be repeated until the Niobium Nitride film obtains a desired thickness, typically around 10 angstroms. ZrO2 may then be deposited on the NbN film. For example, ZrCp(NMe2)3 may serve as the Zr precursor. The third non-limiting exemplary ALD process described above using (Nb(=NtBu)Cp(˜N(tAmyl)-CH—CH—N(tAmyl))) and NH3 may then be repeated on the ZrO2 layer. The resulting NbN/ZrO2/NbN stack may be used in DRAM capacitors.
  • The Niobium-containing films resulting from the processes discussed above may include Nb, NbkSil, NbnOm, NboNp, or NboNpOq, wherein k, l, m, n, o, p, and q may each independently range from 1 to 6. Exemplary films include NbO2, Nb2O5, NbN, and NbON. One of ordinary skill in the art will recognize that by judicial selection of the appropriate organosilane precursor and reactants, the desired film composition may be obtained.
  • Upon obtaining a desired film thickness, the film may be subject to further processing, such as thermal annealing, furnace-annealing, rapid thermal annealing, UV or e-beam curing, and/or plasma gas exposure. Those skilled in the art recognize the systems and methods utilized to perform these additional processing steps. For example, the NbN film may be exposed to a temperature ranging from approximately 200° C. and approximately 1000° C. for a time ranging from approximately 0.1 second to approximately 7200 seconds under an inert atmosphere, a N-containing atmosphere, or combinations thereof. Most preferably, the temperature is 400° C. for 3600 seconds under an inert atmosphere or a N-containing atmosphere. The resulting film may contain fewer impurities and therefore may have an improved density resulting in improved leakage current. The annealing step may be performed in the same reaction chamber in which the deposition process is performed. Alternatively, the substrate may be removed from the reaction chamber, with the annealing/flash annealing process being performed in a separate apparatus. Any of the above post-treatment methods, but especially thermal annealing, has been found effective to reduce carbon contamination of the NbN film. This in turn tends to improve the resistivity of the film.
  • After annealing, the Niobium-containing films deposited by any of the disclosed processes may have a bulk resistivity at room temperature of approximately 50 μohm·cm to approximately 1,000 μohm·cm. Room temperature is approximately 20° C. to approximately 28° C. depending on the season. Bulk resistivity is also known as volume resistivity. One of ordinary skill in the art will recognize that the bulk resistivity is measured at room temperature on NbN films that are typically approximately 50 nm thick. The bulk resistivity typically increases for thinner films due to changes in the electron transport mechanism. The bulk resistivity also increases at higher temperatures.
  • In another alternative, the disclosed compositions may be used as doping or implantation agents. Part of the disclosed composition may be deposited on top of the film to be doped, such as an indium oxide (In2O3) film, tantalum dioxide (TaO2), vanadium dioxide (VO2) film, a titanium oxide film, a copper oxide film, or a tin dioxide (SnO2) film. The Niobium then diffuses into the film during an annealing step to form the Niobium-doped films {(Nb)In2O3, (Nb)VO2, (Nb)TiO, (Nb)CuO, (Nb)SnO2}. See, e.g., US2008/0241575 to Lavoie et al., the doping method of which is incorporated herein by reference in its entirety. Alternatively, high energy ion implantation using a variable energy radio frequency quadrupole implanter may be used to dope the Niobium of the disclosed compositions into a film. See, e.g., Kensuke et al., JVSTA 16(2) March/April 1998, the implantation method of which is incorporated herein by reference in its entirety. In another alternative, plasma doping, pulsed plasma doping or plasma immersion ion implantation may be performed using the disclosed compounds. See, e.g., Felch et al., Plasma doping for the fabrication of ultra-shallow junctions Surface Coatings Technology, 156 (1-3) 2002, pp. 229-236, the doping method of which is incorporated herein by reference in its entirety.
  • EXAMPLE
  • The following non-limiting example is provided to further illustrate embodiments of the invention. However, the examples are not intended to be all inclusive and are not intended to limit the scope of the inventions described herein.
  • EXAMPLE Synthesis of Niobium tButyl Imido Cyclopentadienyl tAmyl-Diazadienyl
  • To a solution of Nb(=NtBu)Cl3(py)2 (2 g, 4.6 mmol) in 30 mL of THF at −78° C., was added dropwise a solution of Sodium Cyclopendienyl (2.4 mL, 2.0M, 4.8 mmol). The mixture was stirred room temperature for 12 h. Color turned to yellow. A fresh red solution of lithium tAmyl-Diazadienyl in THF, prepared from the reaction of tAmyl-Diazadiene (0.9 g, 4.6 mmol) and pure Lithium (80 mg, 11.5 mmol), was added at −78° C. and the mixture turned to dark brown. After stirring overnight at room temperature, the solvent was removed under vacuum and the product was extracted with pentane to give brown oil. The material was then purified by distillation up to 220° C. @25 mTorr to give 0.23 g (11%) of brown oil. The material was characterized by NMR 1H (δ, ppm, C6D6): 5.68 (s, 5H), 5.65 (s, 2H), 1.60 (m, 4H), 1.29 (s, 12H), 1.26 (s, 9H), 1.28 (t, 6H).
  • The solid left a 1.6% residual mass during Open-Cup TGA analysis measured at a temperature rising rate of 10° C./min in an atmosphere which flows nitrogen at 200 mL/min. These results are shown in FIG. 3, which is a TGA graph illustrating the percentage of weight loss upon temperature increase.
  • It will be understood that many additional changes in the details, materials, steps, and arrangement of parts, which have been herein described and illustrated in order to explain the nature of the invention, may be made by those skilled in the art within the principle and scope of the invention as expressed in the appended claims. Thus, the present invention is not intended to be limited to the specific embodiments in the examples given above and/or the attached drawings.

Claims (20)

We claim:
1. A Niobium-containing film forming composition comprising a precursor having the formula
Figure US20160307904A1-20161020-C00012
wherein each R, R1, R2, R3, R4, R5, R6, and R7 are independently H, an alkyl group, or R′3Si, with each R′ independently being H or an alkyl group
2. The Niobium-containing film forming composition of claim 1, wherein R is tBu and R1-R5 is H.
3. The Niobium-containing film forming composition of claim 1, wherein R is tBu, R1 is Me, and R2-R5 is H.
4. The Niobium-containing film forming composition of claim 1, wherein R is tBu, R1 is Et, and R2-R5 is H.
5. The Niobium-containing film forming composition of claim 1, wherein R is tBu, R1 is iPr, and R2-R5 is H.
6. The Niobium-containing film forming composition of claim 5, wherein R is tBu, R1 is tBu, and R2-R5 is H.
7. The Niobium-containing film forming composition of claim 1, wherein R is tBu, R1 is SiMe3, and R2-R5 is H.
8. The Niobium-containing film forming composition of claim 1, wherein R is tBu; R1, R3, and R5 are iPr; and R2 and R4 are H.
9. The Niobium-containing film forming composition of claim 1, wherein R1-R5 are H and R6 and R7 are tAmyl.
10. The Niobium-containing film forming composition of claim 9, wherein R is tBu.
11. A method of forming a Niobium-containing film, the method comprising introducing into a reactor having a substrate therein a vapor of the Niobium-containing film forming composition of claim 1; and depositing at least part of the precursor onto the substrate.
12. The method of claim 11, further comprising introducing a reactant into the reactor.
13. The method of claim 12, wherein the reactant is selected from the group consisting of H2, H2CO, N2H4, NH3, SiH4, Si2H6, Si3H8, SiH2Me2, SiH2Et2, N(SiH3)3, hydrogen radicals thereof, and mixtures thereof.
14. The method of claim 12, wherein the reactant is selected from the group consisting of O2, O3, H2O, H2O2, NO, N2O, NO2, oxygen radicals thereof, and mixtures thereof.
15. The method of claim 12, wherein the Niobium-containing film forming composition and the reactant are introduced into the reactor simultaneously and the reactor is configured for chemical vapor deposition.
16. The method of claim 12, wherein the Niobium-containing film forming composition and the reactant are introduced into the chamber sequentially and the reactor is configured for atomic layer deposition.
17. The method of claim 11, wherein the substrate is a dielectric layer.
18. The method of claim 17, wherein the substrate is ZrO2 and the Niobium-containing film forming composition is used to form a DRAM capacitor.
19. The method of claim 12, further comprising plasma treating the reactant.
20. The method of claim 12, wherein the Niobium-containing film forming precursor is NbCp(=NtBu)(N(tAmyl)-CH—CH—N(tAmyl)) or Nb(MeCp)(=NtBu)(N(tBu(N(tBu)-CH—CH—N(tBu)) and the reactant is NH3 or O3.
US15/130,640 2015-04-16 2016-04-15 Niobium-containing film forming compositions and vapor deposition of niobium-containing films Abandoned US20160307904A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US15/130,640 US20160307904A1 (en) 2015-04-16 2016-04-15 Niobium-containing film forming compositions and vapor deposition of niobium-containing films

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201562148265P 2015-04-16 2015-04-16
US15/130,640 US20160307904A1 (en) 2015-04-16 2016-04-15 Niobium-containing film forming compositions and vapor deposition of niobium-containing films

Publications (1)

Publication Number Publication Date
US20160307904A1 true US20160307904A1 (en) 2016-10-20

Family

ID=57128482

Family Applications (3)

Application Number Title Priority Date Filing Date
US15/130,640 Abandoned US20160307904A1 (en) 2015-04-16 2016-04-15 Niobium-containing film forming compositions and vapor deposition of niobium-containing films
US15/130,702 Abandoned US20160307708A1 (en) 2015-04-16 2016-04-15 Tantalum-containing film forming compositions and vapor deposition of tantalum-containing films
US15/130,675 Expired - Fee Related US9691771B2 (en) 2015-04-16 2016-04-15 Vanadium-containing film forming compositions and vapor deposition of vanadium-containing films

Family Applications After (2)

Application Number Title Priority Date Filing Date
US15/130,702 Abandoned US20160307708A1 (en) 2015-04-16 2016-04-15 Tantalum-containing film forming compositions and vapor deposition of tantalum-containing films
US15/130,675 Expired - Fee Related US9691771B2 (en) 2015-04-16 2016-04-15 Vanadium-containing film forming compositions and vapor deposition of vanadium-containing films

Country Status (2)

Country Link
US (3) US20160307904A1 (en)
KR (1) KR20160124028A (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10023462B2 (en) * 2015-11-30 2018-07-17 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Niobium-Nitride film forming compositions and vapor deposition of Niobium-Nitride films
US20180212019A1 (en) * 2017-01-24 2018-07-26 International Business Machines Corporation Conformal capacitor structure formed by a single process
US11532696B2 (en) 2019-03-29 2022-12-20 Samsung Electronics Co., Ltd. Semiconductor devices including capacitor and methods of manufacturing the semiconductor devices
WO2023200429A1 (en) * 2022-04-12 2023-10-19 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Niobium vanadium, tantalum film forming compositions and deposition of group v (five) containing films using the same

Families Citing this family (289)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (en) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. Semiconductor device and manufacuring method thereof
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (en) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. Method of forming metal interconnection and method of fabricating semiconductor device using the same
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) * 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (en) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
JP6803460B2 (en) * 2016-09-08 2020-12-23 ユーピー ケミカル カンパニー リミテッド Group 5 metal compound, its production method, a precursor composition for film deposition containing it, and a film deposition method using the same.
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (en) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10752649B2 (en) 2017-04-07 2020-08-25 Applied Materials, Inc. Metal precursors with modified diazabutadiene ligands for CVD and ALD and methods of use
KR102457289B1 (en) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10174423B2 (en) * 2017-06-28 2019-01-08 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Niobium-containing film forming compositions and vapor deposition of Niobium-containing films
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (en) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (en) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (en) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 Storage device for storing wafer cassettes for use with batch ovens
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (en) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 Deposition method
CN111630203A (en) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 Method for depositing gap filling layer by plasma auxiliary deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN116732497A (en) 2018-02-14 2023-09-12 Asm Ip私人控股有限公司 Method for depositing ruthenium-containing films on substrates by cyclical deposition processes
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (en) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. Substrate processing method
TWI811348B (en) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
TW202349473A (en) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TW202013553A (en) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210027265A (en) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. Periodic deposition method for forming metal-containing material and film and structure comprising metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (en) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (en) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 Method of forming device structure, structure formed by the method and system for performing the method
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
KR20200102357A (en) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for plug fill deposition in 3-d nand applications
TW202104632A (en) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
KR102638425B1 (en) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. Method and apparatus for filling a recess formed within a substrate surface
TW202100794A (en) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus and method for processing substrate
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
KR20200116033A (en) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
KR20200123380A (en) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Method of using a gas-phase reactor system including analyzing exhausted gas
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP2021015791A (en) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. Plasma device and substrate processing method using coaxial waveguide
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202121506A (en) 2019-07-19 2021-06-01 荷蘭商Asm Ip私人控股有限公司 Method of forming topology-controlled amorphous carbon polymer film
CN112309843A (en) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 Selective deposition method for achieving high dopant doping
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (en) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. Liquid level sensor for a chemical source vessel
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
TW202129060A (en) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 Substrate processing device, and substrate processing method
TW202115273A (en) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 Method of forming a photoresist underlayer and structure including same
KR20210045930A (en) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. Method of Topology-Selective Film Formation of Silicon Oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
TW202125596A (en) * 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (en) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
TW202146882A (en) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method of verifying an article, apparatus for verifying an article, and system for verifying a reaction chamber
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (en) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method for growing phosphorous-doped silicon layer and system of the same
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
KR20210132605A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Vertical batch furnace assembly comprising a cooling gas supply
KR20210132576A (en) * 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Method of forming vanadium nitride-containing layer and structure comprising the same
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
KR20210143653A (en) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
KR20220010438A (en) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
KR20220027026A (en) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. Method and system for forming metal silicon oxide and metal silicon oxynitride
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
TW202217037A (en) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
KR20220076343A (en) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. an injector configured for arrangement within a reaction chamber of a substrate processing apparatus
CN114639631A (en) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 Fixing device for measuring jumping and swinging
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
TW202237882A (en) * 2021-03-02 2022-10-01 荷蘭商Asm Ip私人控股有限公司 Methods and systems for forming a layer comprising vanadium and oxygen
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
KR102631512B1 (en) * 2021-07-28 2024-01-31 한국화학연구원 Novel Organometallic Compounds for deposition of thin film
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070042213A1 (en) * 2005-07-15 2007-02-22 H.C. Starck Tantalum and niobium compounds and their use for chemical vapour deposition (CVD)

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102006037955A1 (en) 2006-08-12 2008-02-14 H.C. Starck Gmbh Tantalum and niobium compounds and their use for Chemical Vapor Deposition (CVD)

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070042213A1 (en) * 2005-07-15 2007-02-22 H.C. Starck Tantalum and niobium compounds and their use for chemical vapour deposition (CVD)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10023462B2 (en) * 2015-11-30 2018-07-17 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Niobium-Nitride film forming compositions and vapor deposition of Niobium-Nitride films
US20180212019A1 (en) * 2017-01-24 2018-07-26 International Business Machines Corporation Conformal capacitor structure formed by a single process
US10388721B2 (en) * 2017-01-24 2019-08-20 International Business Machines Corporation Conformal capacitor structure formed by a single process
US10756163B2 (en) 2017-01-24 2020-08-25 International Business Machines Corporation Conformal capacitor structure formed by a single process
US11532696B2 (en) 2019-03-29 2022-12-20 Samsung Electronics Co., Ltd. Semiconductor devices including capacitor and methods of manufacturing the semiconductor devices
US11929392B2 (en) 2019-03-29 2024-03-12 Samsung Electronics Co., Ltd. Semiconductor devices including capacitor and methods of manufacturing the semiconductor devices
WO2023200429A1 (en) * 2022-04-12 2023-10-19 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Niobium vanadium, tantalum film forming compositions and deposition of group v (five) containing films using the same

Also Published As

Publication number Publication date
US20160307905A1 (en) 2016-10-20
US9691771B2 (en) 2017-06-27
US20160307708A1 (en) 2016-10-20
KR20160124028A (en) 2016-10-26

Similar Documents

Publication Publication Date Title
US9691771B2 (en) Vanadium-containing film forming compositions and vapor deposition of vanadium-containing films
US20170044664A1 (en) Hafnium-containing film forming compositions for vapor deposition of hafnium-containing films
KR102371411B1 (en) Vapor Deposition of Niobium-Containing Film Forming Compositions and Niobium-Containing Films
US10023462B2 (en) Niobium-Nitride film forming compositions and vapor deposition of Niobium-Nitride films
US10364259B2 (en) Zirconium, hafnium, titanium precursors and deposition of group 4 containing films using the same
US10895012B2 (en) Zirconium, hafnium, titanium precursors and deposition of group 4 containing films using the same
US9786671B2 (en) Niobium-containing film forming compositions and vapor deposition of niobium-containing films
US10584039B2 (en) Titanium-containing film forming compositions for vapor deposition of titanium-containing films
US11168099B2 (en) Titanium-containing film forming compositions for vapor deposition of titanium-containing films
US20200149165A1 (en) Zirconium, hafnium, titanium precursors and deposition of group 4 containing films using the same
US20200032397A1 (en) Zirconium, hafnium, titanium precursors and deposition of group 4 containing films using the same
US20160083405A1 (en) Tantalum- or vanadium-containing film forming compositions and vapor deposition of tantalum- or vanadium-containing films
US9790591B2 (en) Titanium-containing film forming compositions for vapor deposition of titanium-containing films
US20210189145A1 (en) Group v element-containing film forming compositions and vapor deposition of group v element-containing film
US10106568B2 (en) Hafnium-containing film forming compositions for vapor deposition of hafnium-containing films
US9719167B2 (en) Cobalt-containing film forming compositions, their synthesis, and use in film deposition
US20210221830A1 (en) Methods for vapor deposition of group 4 transition metal-containing films using group 4 transition metal-containing films forming compositions

Legal Events

Date Code Title Description
AS Assignment

Owner name: L'AIR LIQUIDE, SOCIETE ANONYME POUR L'ETUDE ET L'E

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:LANSALOT-MATRAS, CLEMENT;NOH, WONTAE;SIGNING DATES FROM 20150701 TO 20150707;REEL/FRAME:039919/0384

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION