TWI803641B - 氣相沈積含第6族過渡金屬膜之含第6族過渡金屬組成物 - Google Patents

氣相沈積含第6族過渡金屬膜之含第6族過渡金屬組成物 Download PDF

Info

Publication number
TWI803641B
TWI803641B TW108119025A TW108119025A TWI803641B TW I803641 B TWI803641 B TW I803641B TW 108119025 A TW108119025 A TW 108119025A TW 108119025 A TW108119025 A TW 108119025A TW I803641 B TWI803641 B TW I803641B
Authority
TW
Taiwan
Prior art keywords
moo
precursor
group
transition metal
film
Prior art date
Application number
TW108119025A
Other languages
English (en)
Other versions
TW202003534A (zh
Inventor
穆勒 羅西奧 亞歷杭德菈 阿堤加
拉斐爾 羅沙
安東尼奧 桑切斯
吉恩 馬克 吉拉德
尼可拉斯 布拉斯科
岡薩雷斯 聖地牙哥 馬克斯
馬特拉斯 克萊門特 蘭斯洛特
李柱澔
志文 宛
Original Assignee
法商液態空氣喬治斯克勞帝方法研究開發股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 filed Critical 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司
Publication of TW202003534A publication Critical patent/TW202003534A/zh
Application granted granted Critical
Publication of TWI803641B publication Critical patent/TWI803641B/zh

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F11/00Compounds containing elements of Groups 6 or 16 of the Periodic Table
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F11/00Compounds containing elements of Groups 6 or 16 of the Periodic Table
    • C07F11/005Compounds containing elements of Groups 6 or 16 of the Periodic Table compounds without a metal-carbon linkage
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation using carrier gas in contact with the source material

Landscapes

  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Physical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

揭露了形成含第6族過渡金屬的薄膜的先質以經由氣相沈積製程在一個或多個基材上沈積含第6族過渡金屬的膜。

Description

氣相沈積含第6族過渡金屬膜之含第6族過渡金屬組成物
揭露了形成含第6族過渡金屬的薄膜之組成物以經由氣相沈積製程在一個或多個基材上沈積含第6族過渡金屬之膜。
鉬和鎢在奈米裝置的製造中獲得許多不同的應用。
已經報導了從MoO2Cl2和/或MoOCl4氣相沈積Mo、MoO、MoN和MoS膜。參見例如,授予應用材料公司(Applied Materials,Inc.)的美國專利申請公開案號2017/062224;授予格拉威泊爾公司(Glaverbel)的美國專利案號6,416,890;授予英特格公司(Entegris,Inc.)的美國專利申請公開案號2018/019165;Gesheva等人,Solar Energy Materials[太陽能材料],3,1980,415-424;Shinde等人,NPG Asia Materials[自然亞洲材料]2018 10,e468;Hillman等人,Mater Res Bull.[材料研究通報]16,1981,1345-1359;Chain等人,Thin Solid Films[固體薄膜],1985 123,3,197-211;授予NthDegree全球技術公司(NthDegree Technologies Worldwide,Inc.)的美國專利申請公開案號 2014/023907;以及授予牛津大學創新有限公司(Oxford University Innovation Limited)的英國專利申請公開案號2548628。
使用MO2Cl2在高於600℃的溫度下借助於CVD將含Mo(例如Mo3Si或MoSi2)的膜沈積到Si基材上-Journal of Electrochemical Society[電化學學會雜誌](1967),114(2),201-4。
已經報導了從WO2Cl2和/或WOCl4氣相沈積W、WO、WN和WS膜。參見例如,授予牛津大學創新有限公司(Oxford University Innovation Limited)的英國專利申請公開案號2548628;授予耶達研發有限公司(Yeda Research & Development Company Ltd.)等的美國專利案號7,959,891;授予日本真空技術株式會社(Ulvac Japan,Ltd.)的日本專利申請公開案號2006/028572;Boran等人,Jilin Daxue Ziran Kexue Xuebao[吉林大學自然科學學報],1996,3,49-52;以及授予應用材料公司(Applied Materials,Inc.)的日本專利案號102942956。
MoO2Cl2、MoOCl4、WO2Cl2和/或WOCl4先質在室溫和大氣壓下是固體。從固體先質進行氣相沈積的困難係眾所周知的。參見例如,授予McFeely等人的美國專利案號6,984,415和授予喬治洛德方法研究和開發液化空氣有限公司(L’Air Liquide,Societe Anonyme pour l’Etude et l’Exploitation des Procedes Georges Claude)的PCT公開案號WO 2012/168924。
已經報導了Mo和W鹵化物和鹵氧化物的加合物的合成和表徵。參見例如,Oliveira等人,Dalton Trans.[道耳頓會刊],2015,44,14139-14148;Brown等人,Dalton Trans.[道耳頓會刊],2004,0,2487-2491;Kuhn等人,Journal of Organometallic Chemistry[有機金屬化學雜誌]1999,583,3- 10;Al-Ajlouni等人,Eur.J.lnorg.Chem.[歐洲無機化學雜誌]2005,1716-1723;Larson等人,Inorganic Chemistry[無機化學],1966,5,5,801-805;Master Thesis Jale ÖCAL[碩士論文Jale ÖCAL],2009,Reactions of oxomolybdenium compounds with N donor ligands and related computational calculations[含氧鉬(oxomolybdenium)化合物與N供體配位基的反應和相關的使用電腦的計算];Krauss等人,Chem.Berich.,1961,94,2864-2876;Marchetti等人,Dalton Trans.[道耳頓會刊],2013,42,2477;Kamenar等人,Inorganica Chimica Acta[無機化學學報],65,1982,L245-L247;Amaiz等人,Polyhedron[多面體],1994,13,19,2745-2749;Barea等人,Inorg.Chem.[無機化學]1998,37,3321-3325;和Davis等人,Eur.J.Inorg.Chem.[歐洲無機化學雜誌]2007,1903-1910。
仍然需要開發適合於在高溫下以受控的厚度和組成氣相沈積的第6族先質分子。
揭露了形成含第6族過渡金屬的膜之組成物。該等形成含第6族過渡金屬的膜之組成物包含具有式MEE’XX’、MEXX’X”X'''、MEE’XX’.Ln或MEXX’X”X'''.L的先質,其中M=Mo或W;E=O或S;X=Cl、Br或I;L為加合物;並且n=1或2。所揭露的形成含第6族過渡金屬的膜之組成物可包括一個或多個以下方面:˙該先質具有式MEE’XX’;˙該先質為Mo(=O)2Cl2; ˙該先質為Mo(=S)2Cl2;˙該先質為Mo(=O)(=S)Cl2;˙該先質為W(=O)2Cl2;˙該先質為W(=S)2Cl2;˙該先質為W(=O)(=S)Cl2;˙該先質為Mo(=O)2Br2;˙該先質為Mo(=S)2Br2;˙該先質為Mo(=O)(=S)Br2;˙該先質為W(=O)2Br2;˙該先質為W(=S)2Br2;˙該先質為W(=O)(=S)Br2;˙該先質為Mo(=O)2I2;˙該先質為Mo(=S)2I2;˙該先質為Mo(=O)(=S)I2;˙該先質為W(=O)2I2;˙該先質為W(=S)2I2;˙該先質為W(=O)(=S)I2;˙該組成物包含在大約0% w/w與5% w/w之間的MEE’XHX’H;˙該組成物包含在大約0% w/w與5% w/w之間的MO2(HCl)2;˙該先質具有式MEEXX’X”X''';˙該先質為Mo(=O)Cl4;˙該先質為Mo(=S)Cl4; ˙該先質為W(=O)Cl4;˙該先質為W(=S)Cl4;˙該先質為Mo(=O)Br4;˙該先質為Mo(=S)Br4;˙該先質為W(=O)Br4;˙該先質為W(=S)Br4;˙該先質為Mo(=O)I4;˙該先質為Mo(=S)I4;˙該先質為W(=O)I4;˙該先質為W(=S)I4;˙該先質具有式MEE’XX’.Ln;˙L選自由以下各項組成之群組:酮(R-C(=O)-R)、醯胺(R-C(=O)-NR2)、二醯胺(R2N-C(O)-CH2-C(O)-NR2)、腈(R-C≡N)、異腈(RN=C)、硫醚(R2S)、亞碸(R2SO)、酯(R-C(=O)-OR)、二酯(R-O-C(=O)-CH2-C(=O)-O-R)、醚(R-O-R)、聚醚(R-O)n、胺(NR3)或酸酐(R-C(=O)-O-C(=O)-R),其中每個R獨立地為H或C1-C10烴且n=1-10;˙L選自由以下各項組成之群組:酮(R-C(=O)-R)、二醯胺(R2N-C(O)-CH2-C(O)-NR2)、甲醯胺(H-C(O)-NR2)、乙醯胺(Me-C(O)-NR2)、腈(R-C≡N)、硫醚(R2S)、酯(R-C(=O)-OR)、二酯(R-O-C(=O)-CH2-C(=O)-O-R)、醚(R-O-R)、聚醚(R-O)n或酸酐(R-C(=O)-O-C(=O)-R),其中每個R獨立地為H或C1-C10烴且n=1-10; ˙L不為四氫呋喃(THF)、四甲基乙二胺(TMEDA)或二乙二醇二甲醚;˙每個R獨立地為H或C1-C4烴;˙每個R獨立地為H或C5-C10烴;˙每個R獨立地為H或直鏈C5-C10烴;˙L為腈;˙該先質為MoO2Cl2.(tBuCN);˙該先質為MoO2Cl2.(tBuCN)2;˙該先質為MoO2Cl2.(nPrCN);˙該先質為MoO2Cl2.(nPrCN)2;˙該先質為MoO2Cl2.(nC5H11C-CN);˙該先質為MoO2Cl2.(nC5H11C-CN)2;˙該先質為MoO2Cl2.(iBuCN);˙該先質為MoO2Cl2.(iBuCN)2;˙該先質為MoO2Cl2.(iPrCN);˙該先質為MoO2Cl2.(iPrCN)2;˙L為酸酐;˙該先質為MoO2Cl2.(戊酸酐);˙L為甲醯胺;˙該先質為MoO2Cl2.(H-C(=O)-NnBu2)2;˙該先質為MoO2Cl2.(H-C(=O)-NnBu2)2;˙該先質為MoO2Cl2.(H-C(=O)-NEt2); ˙該先質為MoO2Cl2.(H-C(=O)-NEt2)2;˙L為乙醯胺;˙該先質為MoO2Cl2.(Me-C(=O)-NEt2);˙該先質為MoO2Cl2.(Me-C(=O)-NEt2)2;˙L為二醯胺;˙該先質為MoO2Cl2.(四丙基丙二醯胺);˙L為酮;˙該先質為MoO2Cl2.(CH3C(O)C4H9);˙該先質為MoO2Cl2.(CH3C(O)C4H9)2;˙L為酯(R-CO-OR);˙該先質為MoO2Cl2.(己酸甲酯);˙該先質為MoO2Cl2.(己酸甲酯)2;˙該先質為MoO2Cl2.(乙酸戊酯);˙該先質為MoO2Cl2.(乙酸戊酯)2;˙該先質為MoO2Cl2.(戊酸甲酯);˙該先質為MoO2Cl2.(戊酸甲酯)2;˙該先質為MoO2Cl2.(丁酸乙酯);˙該先質為MoO2Cl2.(丁酸乙酯)2;˙該先質為MoO2Cl2.(異丁酸異丁酯);˙該先質為MoO2Cl2.(異丁酸異丁酯)2;˙該先質為MoO2Cl2.(庚酸甲酯);˙該先質為MoO2Cl2.(庚酸甲酯)2; ˙該先質為MoO2Cl2.(乙酸異戊酯);˙該先質為MoO2Cl2.(乙酸異戊酯)2;˙該先質為MoO2Cl2.(異戊酸乙酯);˙該先質為MoO2Cl2.(異戊酸乙酯)2;˙該先質為MoO2Cl2.(2-甲基戊酸乙酯);˙該先質為MoO2Cl2.(2-甲基戊酸乙酯)2;˙該先質為MoO2Cl2.(異戊酸異丁酯);˙該先質為MoO2Cl2.(異戊酸異丁酯)2;˙該先質為MoO2Cl2.(異戊酸甲酯);˙該先質為MoO2Cl2.(異戊酸甲酯)2;˙該先質為MoO2Cl2.(丁酸二級丁酯);˙該先質為MoO2Cl2.(丁酸二級丁酯)2;˙該先質為MoO2Cl2.(異丁酸丁酯);˙該先質為MoO2Cl2.(異丁酸丁酯)2;˙該先質為MoO2Cl2.(2-乙基丁酸乙酯);˙該先質為MoO2Cl2.(2-乙基丁酸乙酯)2;˙該先質為MoO2Cl2.(戊酸乙酯);˙該先質為MoO2Cl2.(戊酸乙酯)2;˙該先質為MoO2Cl2.(丁酸丙酯);˙該先質為MoO2Cl2.(丁酸丙酯)2;˙該先質為MoO2Cl2.(丁酸甲酯);˙該先質為MoO2Cl2.(丁酸甲酯)2; ˙該先質為MoO2Cl2.(丁酸環己酯);˙該先質為MoO2Cl2.(丁酸環己酯)2;˙該先質為MoO2Cl2.(庚酸乙酯);˙該先質為MoO2Cl2.(庚酸乙酯)2;˙該先質為MoO2Cl2.(異丁酸乙酯);˙該先質為MoO2Cl2.(異丁酸乙酯)2;˙該先質為MoO2Cl2.(乙酸三級丁酯);˙該先質為MoO2Cl2.(乙酸三級丁酯)2;˙該先質為MoO2Cl2.(三級丁基乙酸乙酯);˙該先質為MoO2Cl2.(三級丁基乙酸乙酯)2;˙該先質為MoO2Cl2.(丁基乙酸2-乙酯);˙該先質為MoO2Cl2.(丁基乙酸2-乙酯)2;˙該先質為MoO2Cl2.(丙酸丁酯);˙該先質為MoO2Cl2.(丙酸丁酯)2;˙該先質為MoO2Cl2.(丙酸三級丁酯);˙該先質為MoO2Cl2.(丙酸三級丁酯)2;˙該先質為MoO2Cl2.(丙酸環己酯);˙該先質為MoO2Cl2.(丙酸環己酯)2;˙該先質為MoO2Cl2.(2-氯丙酸乙酯);˙該先質為MoO2Cl2.(2-氯丙酸乙酯)2;˙該先質為MoO2Cl2.(3-氯丙酸乙酯);˙該先質為MoO2Cl2.(3-氯丙酸乙酯)2; ˙L為二酯;˙該先質為MoO2Cl2.(丙二酸二丁酯);˙該先質為MoO2Cl2.(甲基丙二酸二乙酯);˙該先質為MoO2Cl2.(丙二酸二丙酯);˙L為醚;˙該先質為MoO2Cl2.(Et2O);˙該先質為MoO2Cl2.(Et2O)2;˙該先質為MoO2Cl2.(Bu2O);˙該先質為MoO2Cl2.(Bu2O)2;˙L為聚醚;˙該先質為MoO2Cl2(nBu-O-CH2-CH2-O-nBu);˙該先質為MoO2Cl2(Et-O-CH2-CH2-O-Et);˙L為硫醚;˙該先質為MoO2Cl2.(Pr2S)2;˙該先質為MoO2Cl2.(2-Me-cSC4H8)2;˙該先質為MoO2Cl2.(Et2S)2;˙該先質具有式MEXX’X”X'''.L;˙該先質為MoOCl4.(tBuCN);˙該先質為MoOCl4.(nPrCN);˙該先質為MoOCl4.(nC5H11C-CN);˙該先質為MoOCl4.(iBuCN);˙該先質為MoOCl4.(iPrCN); ˙該先質為MoOCl4.(戊酸酐);˙該先質為MoOCl4.(nBu-FMD);˙該先質為MoOCl4.(Et-FMD);˙該先質為MoOCl4.(Et Me-amd);˙該先質為MoOCl4.(四丙基丙二醯胺);˙該先質為MoOCl4.(CH3C(O)C4H9);˙該先質為MoOCl4.(己酸甲酯);˙該先質為MoOCl4.(Et2O);˙該先質為MoOCl4.(Bu2O);˙該先質為MoOCl4(nBu-O-CH2-CH2-O-nBu);˙該先質為MoOCl4(Et-O-CH2-CH2-O-Et);˙該先質為MoOCl4.(Pr2S);˙該先質為MoOCl4.(2-Me-cSC4H8);˙該先質為MoOCl4.(Et2S)。
還揭露了形成含第6族過渡金屬的膜之組成物遞送裝置,該等遞送裝置包括罐,該罐具有入口導管及出口導管且含有上文所揭露的形成含第6族過渡金屬的膜之組成物中的任一種。所揭露的遞送裝置可包括一個或多個以下方面:˙該入口導管的一端位於該形成含第6族過渡金屬的膜之組成物的表面上方且該出口導管的一端位於該等形成含第6族過渡金屬的膜之組成物的表面上方; ˙該入口導管的一端位於該形成含第6族過渡金屬的膜之組成物的表面上方且該出口導管的一端位於該形成含第6族過渡金屬的膜之組成物的表面下方;˙該入口導管的一端位於該形成含第6族過渡金屬的膜之組成物的表面下方且該出口導管的一端位於該等形成含第6族過渡金屬的膜之組成物的表面上方。
還揭露了用於使用上文所揭露的形成含第6族過渡金屬的膜之組成物中的任一種在一個或多個基材上沈積含第6族過渡金屬的膜之製程。將至少一種形成含第6族過渡金屬的薄膜的組成物引入到反應器中,該反應器具有至少一個佈置在其中的基材。將該先質的至少一部分沈積到該至少一個基材上以形成該含第6族過渡金屬的膜。所揭露的製程可以進一步包括一個或多個以下方面:˙將至少一種反應物引入到該反應器中;˙將該反應物進行電漿處理;˙將該反應物進行遠端電漿處理;˙該反應物不進行電漿處理;˙該反應物選自由以下各項組成之群組:H2、H2CO、N2H4、NH3、SiH4、Si2H6、Si3H8、SiH2Me2、SiH2Et2、SiHEt3、N(SiH3)3、GeH4、GeH2Et2、GeHEt3、B2H6、B(Me)3、B(Et)3、其氫自由基、以及其混合物;˙該反應物係H2;˙該反應物係NH3、一級胺、二級胺、二胺(乙二胺、N-取代的乙二胺)、肼、取代的肼、或其混合物; ˙該反應物係含硫或硒化物的反應物,如H2S、H2Se、二烷基硫醚、二烷基硒化物、雙(三烷基矽基)硫醚、雙(三烷基矽基)硒化物、或其組合;˙該反應物選自由以下各項組成之群組:O2、O3、H2O、H2O2、NO、N2O、NO2、羧酸、其自由基、以及其混合物;˙該反應物係H2O;˙該反應物係電漿處理的O2;˙該反應物係O3;˙將該含第6族過渡金屬的組成物和該反應物同時引入到該反應器中;˙該反應器被配置用於化學氣相沈積;˙該反應器被配置用於電漿增強化學氣相沈積;˙將該含第6族過渡金屬的組成物和該反應物順序地引入到腔室中;˙該反應器被配置用於原子層沈積;˙該反應器被配置用於電漿增強原子層沈積;˙該反應器被配置用於空間原子層沈積;˙該含第6族過渡金屬的膜係純第6族過渡金屬薄膜;˙該含第6族過渡金屬的膜係Mo或W;˙該含第6族過渡金屬的膜係第6族過渡金屬矽化物或鍺化物(MkSil或MkGel,其中M係第6族過渡金屬,並且k和l各自係範圍為從1至6(包括端點)的整數);˙該含第6族過渡金屬的膜係Mo3Si或MoSi2;˙該含第6族過渡金屬的膜係第6族過渡金屬氧化物(MnOm,其中M係第6族過渡金屬,並且n和m各自係範圍為從1至6(包括端點)的整數); ˙該含第6族過渡金屬的膜係MoO2、MoO3、W2O3、WO2、WO3、W2O5;˙該含第6族過渡金屬的膜係第6族過渡金屬氮化物(MoNp,其中M係第6族過渡金屬,並且o和p各自係範圍為從1至6(包括端點)的整數);˙該含第6族過渡金屬的膜係Mo2N、MoN、MoN2、W2N、WN、WN2;˙該含第6族過渡金屬的膜係第6族過渡金屬氮氧化物(MqOrNs,其中M係第6族過渡金屬,並且q、r和s各自係範圍為從1至10(包括端點)的整數);˙該含第6族過渡金屬的膜係MoON、MoO2N、MoON2、Mo2ON、WON、WO2N、WON2、W2ON;˙該含第6族過渡金屬的膜係鎢或鉬二硫屬化物如MoS2、WS2、MoSe2、WSe2、或其組合。
標記和命名
貫穿以下說明書及申請專利範圍使用某些縮寫、符號及術語,且其包括:如本文所用,不定冠詞“一/一個/種(a或an)”意指一個/一種或多個/多種。
如本文所用,術語“大約(approximately)”或“約(about)”意指所陳述的值的±10%。
如本文所用,術語“包含”係包含性的或開放式的,並且不排除附加的、未列舉的材料或方法步驟;術語“基本上由...組成”將申請專利範圍的範圍限制為指定的材料或步驟以及不會對所要求保護的發明的基本和新穎 特徵產生實質性影響的附加的材料或步驟;並且術語“由...組成”排除申請專利範圍中未指定的任何附加的材料或方法步驟。
如本文所用,含有雙鍵鍵合的O和S分子的化學式可以包括或不包括雙鍵的“=”描述。更具體地,熟悉該項技術者將認識到,MEE’XX’或MEXX’X”X'''也可以顯示為M(=E)(=E’)XX’或M(=E)XX’X”X'''。類似地,MoO2Cl2、WO2Cl2、MoOCl4、WOCl4、MoS2Cl2、WS2Cl2、MoSCl4、WSCl4等也可以顯示為Mo(=O)2Cl2、W(=O)2Cl2、Mo(=O)Cl4、W(=O)Cl4、Mo(=S)2Cl2、W(=S)2Cl2、Mo(=S)Cl4、W(=S)Cl4等。
如本文所用,縮寫“RT”意指室溫或範圍從大約18℃至大約25℃的溫度。
如本文所用,術語“加合物”意指藉由以存在連線性但不損失原子的這樣的方式直接組合兩個單獨的分子實體而形成的分子實體。
如本文所用,縮寫“EDA”係指乙二胺加合物和/或反應物(即H2N-C2H4-NH2);“TFH”係指四氫呋喃;“DMF”係指二甲基甲醯胺。
如本文所用,術語“汽化”、“昇華”和“蒸發”可互換地用於係指來自固體或液體先質的蒸氣(氣體)的一般形成,無論轉化係例如從固體到液體到氣體、固體到氣體,還是液體到氣體。
如本文所用,術語“無水”意指含有在大約0ppmv與大約100ppmv之間的水分並且較佳的是在大約0ppmv與大約10ppmv之間的水分。
如本文所用,術語“烴基”係指含有碳和氫的官能基;術語“烷基”係指僅僅含有碳和氫原子的飽和官能基。烴基可以是飽和的或不飽和的。這兩個術語中的任何一個係指直鏈的、支鏈的、或環狀基團。直鏈烷基的 實例包括但不限於甲基、乙基、丙基、丁基等。支鏈烷基的實例包括但不限於三級丁基。環狀烷基的實例包括但不限於環丙基、環戊基、環己基等。
如本文所用,術語“芳族基團”係指具有共振鍵環的環狀平面分子,其展現了比具有相同原子組的其他幾何或連接排列更高的穩定性。示例性芳族基團包括取代或未取代的苯基(即,C6R5,其中每個R獨立地為H或烴基)。
如本文所用,縮寫“Me”係指甲基;縮寫“Et”係指乙基;縮寫“Pr”係指丙基;縮寫“nPr”係指“正”或直鏈丙基;縮寫“iPr”係指異丙基;縮寫“Bu”係指丁基;縮寫“nBu”係指“正”或直鏈丁基;縮寫“tBu”係指三級丁基,也稱為1,1-二甲基乙基;縮寫“sBu”係指二級丁基,也稱為1-甲基丙基;縮寫“iBu”係指異丁基,也稱為2-甲基丙基;術語“鹵離子”係指鹵素陰離子F-、Cl-、Br-和I-;並且縮寫“TMS”係指三甲基矽基或-SiMe3。
如本文所用,術語“獨立地”當在描述R基團的上下文中使用時應理解為表示主題R基團不僅相對於帶有相同或不同下標或上標的其他R基團獨立地選擇,而且還是相對於相同R基團的任何附加種類獨立地選擇。例如,在式MR1 x(NR2R3)(4-x)中,其中x係2或3,兩個或三個R1基團可以但是不必係彼此相同的或與R2或與R3相同的。進一步地,應理解,除非另外確切地指明,否則當用於不同式中時,R基團的值彼此獨立。
本文使用元素週期表中元素的標準縮寫。應理解,可藉由該等縮寫提及元素(例如,Si係指矽,C係指碳,H係指氫等)。然而,請注意,縮寫Ar可以是指惰性氣體氬氣或化學式ArNH(SiMe3)中的化學部分2,6-Me2-C6H3
本文列舉的任何及所有範圍包括其端點(即,x=1至4或x範圍係從1至4,包括x=1、x=4及x=其間的任何數),不論是否使用術語“包括端點”。
為了進一步理解本發明的本質和目的,應結合附圖來參考以下詳細說明,在附圖中相似元件給予相同或類似參考號,並且其中:[圖1]係所揭露方法之一之流程圖,克服了使用固體先質在氣相沈積中遇到的一些問題;[圖2]係所揭露方法之一之流程圖,克服了使用固體先質在氣相沈積中遇到的一些問題;[圖3]係所揭露方法之一之流程圖,克服了使用固體先質在氣相沈積中遇到的一些問題;[圖4]係所揭露方法之一之流程圖,克服了使用固體先質在氣相沈積中遇到的一些問題;[圖5]係所揭露方法之一之流程圖,克服了使用固體先質在氣相沈積中遇到的一些問題;[圖6]係示意性地展示了示例性ALD設備之框圖;[圖7]係熱重分析(TGA)圖,展示了溫度升高時的MoO2Cl2.Ln的重量損失百分比,其中L係指定的腈且n係1或2; [圖8]係TGA圖,展示了溫度升高時的MoO2Cl2.Ln的重量損失百分比,其中L係二丁基甲醯胺、二乙基甲醯胺、2-己酮、己酸甲酯或乙酸戊酯且n係1或2;[圖9]係TGA圖,展示了溫度升高時的MoO2Cl2.Ln的重量損失百分比,其中L係二乙醚、二丁醚、乙二醇二丁醚、乙二醇二乙醚或二乙二醇二甲醚且n係1或2;[圖10]係TGA圖,展示了溫度升高時的MoO2Cl2.Ln的重量損失百分比,其中L係二乙基硫醚或二丙基硫醚且n係1或2;[圖11]係MoO2Cl2.(己酸甲酯)2先質之1H NMR譜。
[圖12]係MoO2Cl2.(乙酸戊酯)2先質之1H NMR譜。
[圖13]係TGA/差熱分析(DTA)圖,展示了溫度升高時的MoO2Cl2.(THF)2的重量損失百分比(TGA)或溫差(DTA);[圖14]係TGA圖,展示了溫度升高時的MoO2Cl2.Ln之重量損失百分比,其中L係TMEDA且n係1或2;[圖15]係TGA/DTA圖,展示了溫度升高時的MoO2Cl2.(庚基氰)2之重量損失百分比(TGA-實線)或溫差(DTA-點線);[圖16]係使用Mo(=O)2Cl2的ALD模式中含Mo膜的生長速率隨溫度變化之圖;[圖17]係在400℃下使用Mo(=O)2Cl2的ALD模式中含Mo膜的生長速率隨先質引入時間變化之圖;[圖18]係在400℃下使用Mo(=O)2Cl2的ALD模式中含Mo膜的生長速率隨氨引入時間變化之圖; [圖19]係使用Mo(=O)2Cl2的ALD模式中含Mo膜的生長速率隨ALD循環數變化之圖;[圖20]係俄歇電子能譜(AES)圖,示出隨濺射時間變化的在400℃下沈積的MoN膜之原子組成;[圖21]係AES圖,示出隨濺射時間變化的在425℃下沈積的MoN膜之原子組成;[圖22]係AES圖,示出隨濺射時間變化的在450℃下沈積的MoN膜之原子組成;[圖23]係AES圖,示出隨濺射時間變化的在475℃下沈積的MoN膜之原子組成;[圖24]係在400℃下產生的MoN膜之X射線光譜(XPS)圖,示出膜中殘留量的氯;[圖25]係膜電阻率隨溫度變化之圖;[圖26]示出了475℃產生的MoN膜之X射線衍射(XRD)分析,示出氮化鉬的特徵信號;[圖27]係在475℃下在1:10縱橫比圖案晶圓中沈積的膜之掃描電子顯微鏡(SEM)照片,並且示出幾乎完美的階梯覆蓋性能;[圖28]係液體的形成含第6族過渡金屬的膜之組成物遞送裝置1的一個實施方式之側視圖;[圖29]係形成含第6族過渡金屬的膜之組成物遞送裝置1的第二實施方式之側視圖; [圖30]係用於使固體的形成含第6族過渡金屬的膜之組成物昇華的固體先質昇華器100之示例性實施方式。
揭露了形成含第6族過渡金屬的膜之組成物。還揭露了使用其在一個或多個基材上形成含第6族過渡金屬的膜之方法。所揭露的形成含第6族過渡金屬的膜之組成物包含含第6族過渡金屬之先質,該先質具有式MEE’XX’或MEXX’X”X''',其中M=Mo或W;E=O或S;並且X=Cl、Br或I。在另一個替代方案中,含第6族過渡金屬的先質可以是MEE’XX’.Ln加合物,其中M=Mo或W;E=O或S;X=Cl、Br或I;L係配位基;並且n=1或2,前提係L不是四氫呋喃、四甲基乙二胺或二乙二醇二甲醚。在另一個替代方案中,含第6族過渡金屬的先質可以是MEXX’X”X'''.L加合物,其中M=Mo或W;E=O或S;X=Cl、Br或I;並且L係配位基。
L可以選自酮(R-C(=O)-R)、醯胺(R-C(=O)-NR2)、二醯胺(R2N-C(O)-CH2-C(O)-NR2)、腈(R-C≡N)、異腈(RN=C)、硫醚(R2S)、亞碸(R2SO)、酯(R-C(=O)-OR)、二酯(R-O-C(=O)-CH2-C(=O)-O-R)、醚(R-O-R)、聚醚(R-O)n、胺(NR3)或酸酐(R-C(=O)-O-C(=O)-R),其中每個R獨立地為H或C1-C10烴且n=1-10。相鄰的R可以形成環狀結構(例如,NR2可以是吡啶、吡咯等)。一個或多個R可選自H或C1-C4烴以產生更易揮發的配位基。可替代地,一個或多個R可選自C5-C10烴以產生更不易揮發的配位基。在另一個替代方案中,作為直鏈C5-C10烴的一個或 多個R可以幫助產生液體先質。可以公正地選擇該等替代方案的任何組合以產生具有所希望的穩定性和揮發性的先質。
對於MEE’XX’.L,L較佳的是選自由以下各項組成之群組:酮(R-C(=O)-R)、二醯胺(R2N-C(O)-CH2-C(O)-NR2)、甲醯胺(H-C(O)-NR2)、乙醯胺(Me-C(O)-NR2)、腈(R-C≡N)、硫醚(R2S)、酯(R-C(=O)-OR)、醚(R-O-R)、聚醚(R-O)n和酸酐(R-C(=O)-O-C(=O)-R)。
該等先質必須在無水條件下處理,以避免形成任何水合副產物,例如MEE’HXHX’。其結果係,形成含第6族過渡金屬的膜之組成物含有在大約0% w/w與5% w/w之間的任何MEE’HXHX’副產物,例如如由X-射線衍射(XRD)確定的MoO2(HCl)2。任何水合副產物的存在可在加熱時形成HCl和H2O。如果所揭露的先質不在不含水分的條件下處理,則副產物可在先質表面上形成阻止其昇華的硬皮。
示例性含Mo的MEE’XX’先質包括Mo(=O)2Cl2、Mo(=S)2Cl2、Mo(=O)(=S)Cl2、Mo(=O)2Br2、Mo(=S)2Br2、Mo(=O)(=S)Br2、Mo(=O)2I2、Mo(=S)2I2或Mo(=O)(=S)I2。當下面的膜可能被任何含鹵素的副產物損壞時,該等先質可能是令人關注的。含有較大鹵離子(即Br或I)的先質可能進一步比含Cl先質的損壞更小。對於較大的鹵離子,鹵離子-金屬也較弱,因此在比對於Cl更低的溫度下基於還原的沈積製程成為可能。
示例性含Mo的MEXX’X”X'''先質包括Mo(=O)Cl4、Mo(=S)Cl4、Mo(=O)Br4、Mo(=S)Br4、Mo(=O)I4或Mo(=S)I4。當在所得膜中很少氧至沒有氧係所希望的時,該等先質可能是令人關注的。
示例性含W的MEE’XX’先質包括W(=O)2Cl2W(=S)2Cl2;W(=O)(=S)Cl2、W(=O)2Br2、W(=S)2Br2、W(=O)(=S)Br2、W(=O)2I2、W(=S)2I2或W(=O)(=S)I2。當下面的膜可能被任何含鹵素的副產物損壞時,該等先質可能是令人關注的。含有較大鹵離子(即Br或I)的先質可能進一步比含Cl先質的損壞更小。
示例性含W的MEXX’X”X'''先質包括W(=O)Cl4、W(=S)Cl4、W(=O)Br4、W(=S)Br4、W(=O)I4或W(=S)I4。當在所得膜中很少氧至沒有氧係所希望的時,該等先質可能是令人關注的。
示例性腈加合物包括新戊腈(tBuCN)、丁腈(nPrCN)、己腈(nC5H11-CN)、異戊腈(iBuCN)或異丁腈(iPrCN)。
例如,含Mo和腈的MEE’XX’(L)n先質可以是MoO2Cl2.(tBuCN)、MoO2Cl2.(tBuCN)2、MoO2Cl2.(nPrCN)、MoO2Cl2.(nPrCN)2、MoO2Cl2.(nC5H11C-CN)、MoO2Cl2.(nC5H11C-CN)2、MoO2Cl2.(iBuCN)、MoO2Cl2.(iBuCN)2、MoO2Cl2.(iPrCN)或MoO2Cl2.(iPrCN)2
可替代地,含W和腈的MEE’XX’(L)n先質可以是WO2Cl2.(tBuCN)、WO2Cl2.(tBuCN)、WO2Cl2.(tBuCN)2、WO2Cl2.(nPrCN)、WO2Cl2.(nPrCN)2、WO2Cl2.(nC5H11C-CN)、WO2Cl2.(nC5H11C-CN)2、WO2Cl2.(iBuCN)、WO2Cl2.(iBuCN)2、WO2Cl2.(iPrCN)和WO2Cl2.(iPrCN)2
在另一個替代方案中,含Mo和腈的MEXX’X”X'''(L)n先質可以是MoOCl4.(tBuCN)、MoOCl4.(nPrCN)、MoOCl4.(nC5H11C-CN)、MoOCl4.(iBuCN)和MoOCl4.(iPrCN)。
在又另一個替代方案中,含W和腈的MEXX’X”X'''(L)n先質可以是WOCl4.(tBuCN)、WOCl4.(nPrCN)、WOCl4.(nC5H11C-CN)、WOCl4.(iBuCN)和WOCl4.(iPrCN)。
如下面的實例中示出的,MoO2Cl2(nC5H11-CN)特別有希望用於氣相沈積技術,因為它係澄清/淡黃色的低溫(大約38℃)熔融固體。附加地,MoO2Cl2(nC5H11-CN)在大約100℃下具有大約8托的蒸氣壓。
示例性酸酐加合物包括戊酸酐(VA)。例如,VA先質可以為MoO2Cl2.(VA)、WO2Cl2.(VA)、MoOCl4.(VA)或WOCl4.(VA)。
示例性醯胺加合物包括甲醯胺加合物如N,N-二丁基甲醯胺(H-C(O)-NBu2)和N,N-二乙基甲醯胺(H-C(O)-NEt2),和乙醯胺加合物如N,N-二乙基乙醯胺(Me-C(O)-NEt2)。例如,醯胺先質可以是MoO2Cl2.(H-C(=O)-NnBu2)2、MoO2Cl2.(H-C(=O)-NnBu2)2、MoO2Cl2.(H-C(=O)-NEt2)、MoO2Cl2.(H-C(=O)-NEt2)2、MoO2Cl2.(Me-C(=O)-NEt2)、MoO2Cl2.(Me-C(=O)-NEt2)2、WO2Cl2.(H-C(=O)-NnBu2)2、WO2Cl2.(H-C(=O)-NnBu2)2、WO2Cl2.(H-C(=O)-NEt2)、WO2Cl2.(H-C(=O)-NEt2)2、WO2Cl2.(Me-C(=O)-NEt2)、WO2Cl2.(Me-C(=O)-NEt2)2、MoOCl4.(H-C(=O)-NnBu2)、MoOCl4.(H-C(=O)-NEt2)、MoOCl4.(Me-C(=O)-NEt2)、WOCl4.(H-C(=O)-NnBu2)、WOCl4.(H-C(=O)-NEt2)或WOCl4.(Me-C(=O)-NEt2)。然而,MoO2Cl2(H-C(O)-NBu2)n和MoO2Cl2(H-C(O)-NEt2)n先質比具有其他L加合物的MoO2Cl2更不易揮發。
示例性二醯胺加合物包括N,N,N’,N’-四丙基丙二醯胺(TPMA)。例如,二醯胺先質可以是MoO2Cl2.(TPMA)、WO2Cl2.(TPMA)、MoOCl4.(TPMA)或WOCl4.(TPMA)。
示例性酮加合物包括2-己酮(CH3C(O)C4H9)。例如,酮先質可以是MoO2Cl2.(CH3C(O)C4H9)、MoO2Cl2.(CH3C(O)C4H9)2、WO2Cl2.(CH3C(O)C4H9)、WO2Cl2.(CH3C(O)C4H9)2、MoOCl4.(CH3C(O)C4H9)或WOCl4.(CH3C(O)C4H9)。
示例性酯加合物包括己酸甲酯(MHX)、乙酸戊酯(AA)、戊酸甲酯(MV)、丁酸乙酯(EB)、異丁酸異丁酯(IIB)、庚酸甲酯(MHP)、乙酸異戊酯(IA)、異戊酸乙酯(EI)、2-甲基戊酸乙酯(EMV)、異戊酸異丁酯(IIV)、異戊酸甲酯(MI)、丁酸二級丁酯(BB)、異丁酸丁酯(BIB)、2-乙基丁酸乙酯(EEB)、戊酸乙酯(EV)、丁酸丙酯(PB)、丁酸甲酯(MB)、丁酸環己酯(CHB)、庚酸乙酯(EH)、異丁酸乙酯(EIB)、乙酸三級丁酯(TA)、三級丁基乙酸乙酯(EBA)、丁基乙酸2-乙酯(2-EBA)、丙酸丁酯(BP)、丙酸三級丁酯(tBP)、丙酸環己酯(CHP)、2-氯丙酸乙酯(2-ECP)、3-氯丙酸乙酯(3-ECP)及其組合。
例如,含Mo和酯的先質包括MoO2Cl2.(MHX)、MoO2Cl2.(MHX)2、MoO2Cl2.(AA)、MoO2Cl2.(AA)2、MoO2Cl2.(MV)、MoO2Cl2.(MV)2、MoO2Cl2.(EB)、MoO2Cl2.(EB)2、MoO2Cl2.(MHP)、MoO2Cl2.(MHP)2、MoO2Cl2.(IIB)、MoO2Cl2.(IIB)2、MoO2Cl2.(IA)、MoO2Cl2.(IA)2、MoO2Cl2.(EI)、MoO2Cl2.(EI)2、MoO2Cl2.(EMV)、MoO2Cl2.(EMV)2、MoO2Cl2.(IIV)、MoO2Cl2.(IIV)2、MoO2Cl2.(MI)、MoO2Cl2.(MI)2、MoO2Cl2.(BB)、MoO2Cl2.(BB)2、MoO2Cl2.(BIB)、MoO2Cl2.(BIB)2、MoO2Cl2.(EEB)、MoO2Cl2.(EEB)2、MoO2Cl2.(EV)、 MoO2Cl2.(EV)2、MoO2Cl2.(PB)、MoO2Cl2.(PB)2、MoO2Cl2.(MB)、MoO2Cl2.(MB)2、MoO2Cl2.(CHB)、MoO2Cl2.(CHB)2、MoO2Cl2.(EH)、MoO2Cl2.(EH)2、MoO2Cl2.(EIB)、MoO2Cl2.(EIB)2、MoO2Cl2.(TA)、MoO2Cl2.(TA)2、MoO2Cl2.(EBA)、MoO2Cl2.(EBA)2、MoO2Cl2.(2-EBA)、MoO2Cl2.(2-EBA)2、MoO2Cl2.(BP)、MoO2Cl2.(BP)2、MoO2Cl2.(tBP)、MoO2Cl2.(tBP)2、MoO2Cl2.(CHP)、MoO2Cl2.(CHP)2、MoO2Cl2.(2-ECP)、MoO2Cl2.(2-ECP)2、MoO2Cl2.(3-ECP)、MoO2Cl2.(3-ECP)2或其組合。
例如,含W和酯的先質包括WO2Cl2.(MHX)、WO2Cl2.(MHX)2、WO2Cl2.(AA)、WO2Cl2.(AA)2、WO2Cl2.(MV)、WO2Cl2.(MV)2、WO2Cl2.(EB)、WO2Cl2.(EB)2、WO2Cl2.(MHP)、WO2Cl2.(MHP)2、WO2Cl2.(IIB)、WO2Cl2.(IIB)2、WO2Cl2.(IA)、WO2Cl2.(IA)2、WO2Cl2.(EI)、WO2Cl2.(EI)2、WO2Cl2.(EMV)、WO2Cl2.(EMV)2、WO2Cl2.(IIV)、WO2Cl2.(IIV)2、WO2Cl2.(MI)、WO2Cl2.(MI)2、WO2Cl2.(BB)、WO2Cl2.(BB)2、WO2Cl2.(BIB)、WO2Cl2.(BIB)2、WO2Cl2.(EEB)、WO2Cl2.(EEB)2、WO2Cl2.(EV)、WO2Cl2.(EV)2、WO2Cl2.(PB)、WO2Cl2.(PB)2、WO2Cl2.(MB)、WO2Cl2.(MB)2、WO2Cl2.(CHB)、WO2Cl2.(CHB)2、WO2Cl2.(EH)、WO2Cl2.(EH)2、WO2Cl2.(EIB)、WO2Cl2.(EIB)2、WO2Cl2.(TA)、WO2Cl2.(TA)2、WO2Cl2.(EBA)、WO2Cl2.(EBA)2、WO2Cl2.(2-EBA)、WO2Cl2.(2-EBA)2、WO2Cl2.(BP)、WO2Cl2.(BP)2、WO2Cl2.(tBP)、WO2Cl2.(tBP)2、WO2Cl2.(CHP)、WO2Cl2.(CHP)2、WO2Cl2.(2-ECP)、WO2Cl2.(2-ECP)2、WO2Cl2.(3-ECP)、WO2Cl2.(3-ECP)2或其組合。
可替代地,含Mo和酯的先質包括MoOCl4.(MHX)、MoOCl4.(AA)、MoOCl4.(MV)、MoOCl4.(EB)、MoOCl4.(MHP)、MoOCl4.(IIB)、MoOCl4.(IA)、MoOCl4.(EI)、MoOCl4.(EMV)、MoOCl4.(IIV)、MoOCl4.(MI)、MoOCl4.(BB)、MoOCl4.(BIB)、MoOCl4.(EEB)、MoOCl4.(EV)、MoOCl4.(PB)、MoOCl4.(MB)、MoOCl4.(CHB)、MoOCl4.(EH)、MoOCl4.(EIB)、MoOCl4.(TA)、MoOCl4.(EBA)、MoOCl4.(2-EBA)、MoOCl4.(BP)、MoOCl4.(tBP)、MoOCl4.(CHP)、MoOCl4.(2-ECP)、MoOCl4.(3-ECP)或其組合。
可替代地,含W和酯的先質包括WOCl4.(MHX)、WOCl4.(AA)、WOCl4.(MV)、WOCl4.(EB)、WOCl4.(MHP)、WOCl4.(IIB)、WOCl4.(IA)、WOCl4.(EI)、WOCl4.(EMV)、WOCl4.(IIV)、WOCl4.(MI)、WOCl4.(BB)、WOCl4.(BIB)、WOCl4.(EEB)、WOCl4.(EV)、WOCl4.(PB)、WOCl4.(MB)、WOCl4.(CHB)、WOCl4.(EH)、WOCl4.(EIB)、WOCl4.(TA)、WOCl4.(EBA)、WOCl4.(2-EBA)、WOCl4.(BP)、WOCl4.(tBP)、WOCl4.(CHP)、WOCl4.(2-ECP)、WOCl4.(3-ECP)或其組合。
如下面的實例中示出的,MoO2Cl2.(MH)2和MoO2Cl2.(AA)2特別有希望用於氣相沈積技術,因為兩者在室溫和大氣壓下都是液體。附加地,MoO2Cl2.(MH)2在大約100℃下具有大約14托的蒸氣壓,並且MoO2Cl2.(AA)2在大約100℃下具有大約8.5托的蒸氣壓(與對於MoO2Cl2,在100℃下大約24托相比)。真空熱重分析(TGA)曲線還表明MoO2Cl2.(MH)2和MoO2Cl2.(AA)2展現了氣相沈積製程所需的穩定性。申請人對該等結果感到驚訝,因為酯典型地被認為係困難的加合物,因為它們與金屬弱結合並傾向於產 生脫離基和活性有機部分。雖然測試正在進行中,並且如下面的實例中部分證明的,但申請人仍然確信在MoO2Cl2與酯之間的反應產物係MoO2Cl2.L2,因為酯不易從金屬中心接受氧並且不含H或C=C來接受Cl。
示例性二酯加合物包括丙二酸二丁酯(DBM)、甲基丙二酸二乙酯(DEMM)和丙二酸二丙酯(DPM)。例如,二酯先質可以是MoO2Cl2.(DBM)、MoO2Cl2.(DEMM)、MoO2Cl2.(DPM)、WO2Cl2.(DBM)、WO2Cl2.(DEMM)、WO2Cl2.(DPM)、MoOCl4.(DBM)、MoOCl4.(DEMM)、MoOCl4.(DPM)、WOCl4.(DBM)、WOCl4.(DEMM)或WOCl4.(DPM)。
示例性醚加合物包括二乙醚(Et2O)和二丁醚(Bu2O)。例如,醚先質可以是MoO2Cl2.(Et2O)、MoO2Cl2.(Et2O)2、MoO2Cl2.(Bu2O)、MoO2Cl2.(Bu2O)2、WO2Cl2.(Et2O)、WO2Cl2.(Et2O)2、WO2Cl2.(Bu2O)、WO2Cl2.(Bu2O)2、MoOCl4.(Et2O)、MoOCl4.(Bu2O)、WOCl4.(Et2O)或WOCl4.(Bu2O)。
示例性聚醚加合物包括乙二醇二丁醚(nBu-O-CH2-CH2-O-nBu或EGBE)和乙二醇二乙醚(Et-O-CH2-CH2-O-Et或EGEE)。示例性聚醚先質包括MoO2Cl2(nBu-O-CH2-CH2-O-nBu)、MoO2Cl2(Et-O-CH2-CH2-O-Et)、WO2Cl2(nBu-O-CH2-CH2-O-nBu)、WO2Cl2(Et-O-CH2-CH2-O-Et)、MoOCl4(nBu-O-CH2-CH2-O-nBu)、MoOCl4(Et-O-CH2-CH2-O-Et)、WOCl4(nBu-O-CH2-CH2-O-nBu)或WOCl4(Et-O-CH2-CH2-O-Et)。
如下面的實例中示出的,MoO2Cl2(EGBE)特別有希望用於氣相沈積技術,因為它在室溫和大氣壓下是藍色油狀物。附加地,MoO2Cl2(EGBE) 在120℃下保持穩定超過7小時,並且在120℃下展現了大約1.9托的蒸氣壓。MoO2Cl2(EGBE)的真空TGA示出清晰的曲線,具有大約4%的殘餘物。
示例性硫醚加合物包括二丙基硫醚(Pr2S)、2-甲基四氫噻吩(2-Me-cSC4H8)或二乙基硫醚(Et2S)。例如,硫醚先質可以包括MoO2Cl2.(Pr2S)2、MoO2Cl2.(2-Me-cSC4H8)2、MoO2Cl2.(Et2S)2、WO2Cl2.(Pr2S)2、WO2Cl2.(2-Me-cSC4H8)2、WO2Cl2.(Et2S)2、MoOCl4.(Pr2S)、MoOCl4.(2-Me-cSC4H8)、MoOCl4.(Et2S)、WOCl4.(Pr2S)、WOCl4.(2-Me-cSC4H8)或WOCl4.(Et2S)。
該等先質係可商購的或可以藉由本領域中已知的方法合成。
MEE’XX’(L)n和MEXX’X”X'''(L)兩者經常用作催化劑。對於氣相沈積,MoO2Cl2比MoOCl4更易揮發且熱穩定。然而,基於來自酯加合物的結果,申請人認為MoO2Cl2係這樣一種良好的催化劑,它可與其加合物反應。其結果係,大多數MoO2Cl2.Ln先質可能不會改善MoO2Cl2的氣相沈積性能(儘管如本文所討論的,存在一些出人意料良好的MoO2Cl2.Ln先質)。附加地,用於MoO2Cl2的加合物可能也不用於MoOCl4。其結果係,申請人預期MoOCl4.L先質可以改善MoOCl4的氣相沈積性能。
雖然許多專利申請和雜誌文章已經公佈了關於使用MEE’XX’或MEXX’X”X'''先質進行氣相沈積,但由於種種原因,目前商業實施仍然難取得。
例如,已經沈積在基材上的任何膜可以被在沈積製程期間產生的任何鹵化物副產物蝕刻。
附加地,MEE’XX’或MEXX’X”X'''先質在環境溫度和壓力下是固體。在環境溫度和壓力下為固體的先質典型地不是工業化的,或者如果它們係工業化的,則由於簡易化和製程問題而僅用於需要小使用的應用。當需要大量材料時,固體先質不是較佳的。
從固體先質中可再現且穩定產生蒸氣充其量係挑戰性的。固體先質可以溶解在溶劑中並且溶液汽化,但溶劑可能在所得膜中引入不允許的污染問題。使用的任何溶劑都不能與固體先質反應。附加地,溶劑的蒸發速率不會對固體材料的蒸氣壓產生負面影響。如在授予液化空氣電子美國有限公司(Air Liquide Electronics U.S.LP)的美國專利案號8,435,428的文件中所揭露的,即使當溶劑和先質的蒸氣壓幾乎相同時,先質的濃度也可在遞送期間降低。其結果係,確定用於固體先質的合適溶劑可能是最困難的。
可替代地,昇華器可用於直接從固體材料產生蒸氣。然而,從昇華的固體獲得的汽化產物的體積仍然遠低於汽化液體的體積。為了獲得形成半導體薄膜所需的足夠量的材料,還可能需要更高的溫度和更長的加工時間,導致上述簡易化和製程問題。例如,可能需要加熱從昇華器到加工設備的任何管線以將先質維持為蒸氣形式。並且產生所得膜所需的溫度可能太高並且損壞基材上的下面的層。附加地,必須控制任何固體材料的粒度分佈,以提供從一個批次到另一個批次的一致的昇華速率和蒸氣壓。
昇華器不均勻地將熱量傳遞給固體先質,其中最靠近加熱源的固體材料比被定位成遠離加熱源更遠的固體材料接收更多的熱量。這導致不均勻的熱分佈並因此導致不均勻的蒸氣壓。其結果係,典型地不能將大量固體先 質填充到昇華器中。目前的商業昇華器體積範圍係從500g至1kg。其結果係,使用昇華材料的任何製程都可能需要使用多個小的昇華器。
維持昇華器中的原始固體分佈也是困難的。雖然固體材料最初可以均勻地分佈在昇華器中,但是裝運或甚至將昇華器連接到半導體加工設備都可以推擠固體材料。這也可能對昇華器對半導體製程產生一致且可再現的蒸氣濃度的能力產生負面影響。
進一步複雜的問題,純化的MEE’XX’或MEXX’X”X'''先質的密度可以變化。例如,報導的MoOCl4、MoO2Cl2、WoO2Cl2和WOCl4在25℃和1atm壓力下的晶體密度分別為2.68g/mL、3.31g/mL、4.67g/mL和4.62g/mL。然而,MoO2Cl2和WOCl4的昇華可以產生具有不同體積密度的材料,包括一些具有非常低體積密度的輕質材料。體積密度係材料的質量除以材料佔有的總體積。總體積可包括固體材料顆粒的體積,任何顆粒間空隙的體積和任何內部孔的體積。其結果係,體積密度可以根據材料的處理方式而變化。純化的MEE’XX’或MEXX’X”X'''先質可具有範圍從大約0.3至大約1.5g/mL並且典型地從大約0.6至大約1.1g/mL的體積密度。
除了上文討論的固體先質複雜化之外,該等低體積密度的固體可能夾帶在蒸氣流中。毫無疑問,在半導體工業中,該等低體積密度固體微粒到薄膜中的所得添加係不可接受的。附加地,一些低體積密度的材料可能帶有靜電電荷。帶電材料難以一起運行,因為在工作區(包括手套箱內部和任何容器的表面)周圍飛。附加地,當從惰性氣氛中去除時,逸出的薄片腐蝕它們粘附的任何腐蝕性表面。
處理MoO2Cl2的難度係眾所周知的。參見,例如,Armarego等人,Purification of Laboratory Chemicals[實驗室化學品的純化],2013,ISBN:0123821614,第590頁,敘述“商業鬆散的MoO2Cl2產生差產率的取代產物(例如具有ArNHSiMe3),但THF錯合物MoO2Cl2.THF2更容易處理,以類似的方式反應,並產生高的多產率的取代產物”;以及Stock等人,Advanced Synthesis and Catalysis[高級合成與催化],第354卷,第11-12期,第2309頁,敘述“與為鬆散粉劑的MoO2Cl2相比,MoO2Cl2.DMF2明顯地由晶體組成。為此原因,可以更容易地將預定毫克量的MoO2Cl2.DMF2裝入到反應燒瓶中”。
為了克服該等挑戰,申請人已經開發了幾種方法來製備適用於氣相沈積製程中的MEE’XX’或MEXX’X”X'''先質。所有揭露的製程應在無水和惰性條件下進行,以避免形成ME2(OH)2並釋放任何腐蝕性和有毒的鹵化氫氣體。
圖1係展示了克服上文討論的一些問題的一種方法的流程圖。更具體地,可以使用溫度、壓力或兩者將低密度MEE’XX’或MEXX’X”X'''先質轉化為高密度MEE’XX’或MEXX’X”X'''先質。對於範圍從大約1分鐘至大約24小時、較佳的是從1分鐘至12小時、更較佳的是從1小時至3小時的時間,可以將MEE’XX’或MEXX’X”X'''先質加熱至範圍從大約0℃至大約200℃、較佳的是從大約25℃至大約150℃、並且更較佳的是從大約80℃至大約120℃的溫度。可替代地,對於相同的時間段,可以將MEE’XX’或MEXX’X”X'''先質加壓至範圍從大約1atm至大約500atm、更較佳的是從大約10atm至大約200atm的壓力。在另一個替代方案中,加熱和加壓兩者可以同時進行持續相同的時間段。 在另一個替代方案中,加熱和加壓兩者可以順序地進行持續整個或部分時間段。熟悉該項技術者將認識到進行加熱和加壓製程所需的設備。
申請人已經發現,MEE’XX’或MEXX’X”X'''先質的不均勻熱處理可以產生各處具有不同密度的產物。更具體地,MoO2Cl2和WOCl4的不均勻加熱和冷卻導致輕的、帶靜電的薄片和緻密的厚片材料二者。帶靜電的薄片難以一起運行,因為它們在工作區(包括手套箱內部和任何容器的表面)周圍飛。附加地,當從惰性氣氛中去除時,逸出的薄片腐蝕它們粘附的任何腐蝕性表面。最後,輕薄片和緻密的厚片材料兩者仍展現了低體積密度(例如,範圍從大約0.3至大約1.5g/mL並且典型地從大約0.6至大約1.1g/mL)。其結果係,昇華器含有較少的材料,並且客戶需要更多的昇華器用於任何氣相沈積製程。
為了克服該等問題,將MEE’XX’先質的耐壓容器密封並放入隔熱常壓爐中。耐壓容器可以由任何非腐蝕性材料製成,例如玻璃、耐鹵化物的不銹鋼、PTFE等。爐可以設定為略高於MEE’XX’或MEXX’X”X'''先質的熔點。對於MoO2Cl2,爐被設定為範圍從大約185℃至大約205℃的溫度。可以將容器在加熱之前放入爐中或放入預熱爐中。將容器加熱持續足以熔化MEE’XX’或MEXX’X”X'''先質的時間段。熟悉該項技術者將認識到,該時間將根據爐的溫度和MEE’XX’或MEXX’X”X'''先質的量而變化。熔化後,關閉爐。容器在隔熱爐內均勻冷卻至室溫。熟悉該項技術者將認識到,冷卻容器的時間量也將取決於MEE’XX’或MEXX’X”X'''先質的量。
室溫和大氣壓下所得高密度材料具有範圍從大約1.0g/mL至報導的晶體密度、較佳的是從大約1.9g/mL至報導的晶體密度的密度。高密度材料不太可能被夾帶在蒸氣相中。附加地,高密度材料更緊湊並且在昇華器中填裝 後不太可能被擾亂。最後,高密度材料在每個昇華器中產生更大的體積,並且為客戶產生更少的單獨的昇華器罐。
可替代地,可以使用圖2的流程圖中描述的製程來克服上文討論的關於使用固體先質的氣相沈積的問題。更具體地,低密度MEE’XX’或MEXX’X”X'''先質可藉由使MEE’XX’或MEXX’X”X'''先質與配位配位基反應而轉化為高密度加合物。所得加合物具有上文揭露的式MEE’XX’.Ln或MEXX’X”X'''.L。如以下實例中更詳細地示出的,使1莫耳當量的低密度MEE’XX’或MEXX’X”X'''先質與0.1或更多莫耳當量的配位基L在有或沒有溶劑的情況下在範圍從大約-50℃至大約100℃的溫度下反應。合適的溶劑包括烴、鹵化烴、醚、腈、酮或其組合。添加順序並不重要。換言之,可以將低密度MEE’XX’或MEXX’X”X'''先質添加到配位基L中,或者可以將配位基L添加到MEE’XX’或MEXX’X”X'''先質中。在有或沒有攪拌的情況下將組合維持持續大約0.1小時至大約48小時。熟悉該項技術者將認識到進行混合製程所需的設備。
如上文所討論的,MoO2Cl2非常難以處理。對於大規模製程,使用四氫呋喃(THF)加合物/溶劑以產生MoO2Cl2.THF2的液體溶液可能是有益的。然後可以用所希望的加合物替換THF加合物。替換加合物必須比THF加合物更易配位,以便適合替換。例如,腈在MoO2Cl2.Ln的這種合成方法中可能不成功,因為它將不會置換THF。申請人認為,螯合加合物(例如醯胺、二醯胺、酯或酸酐)可適合於使用這種合成方法置換THF。
所得高密度加合物在室溫和大氣壓下具有範圍從大約1.5g/mL至大約4.0g/mL的密度。一些所得高密度加合物甚至可以在室溫和大氣壓下呈液 體形式。如上文所討論的,液體先質比固體先質對於商業氣相沈積技術更合適。例如,可以使用液體轉移技術容易地填充液體先質的罐。高密度加合物不太可能被夾帶在蒸氣相中。附加地,高密度加合物更緊湊並且在昇華器中填裝後不太可能被推擠。最後,較高密度的先質比較低密度的先質更容易處理,並且可以允許每體積增加的負載。
申請人預期M-L鍵將在沈積溫度下斷裂。其結果係,預期在MEE’XX’.Ln或MEXX’X”X'''.L先質中包含加合物不會造成膜污染。同樣地,該等先質應表現為MEE’XX’或MEXX’X”X''',但由於其較低的熔點而更易於處理和使用。由於較低的沈積溫度和減少的蝕刻作用(由於任何沈積副產物),所揭露的MEE’XX’.Ln或MEXX’X”X'''.L先質也可能比MEE’XX’或MEXX’X”X'''更好。
最後,申請人認為,所揭露的MEE’XX’.Ln或MEXX’X”X'''.L先質可能比MEE’XX’或MEXX’X”X'''先質更穩定且更不可水解。所揭露的MEE’XX’.Ln或MEXX’X”X'''.L先質還可展現出比MEE’XX’或MEXX’X”X'''先質更小的對基材和反應器的蝕刻損壞。這可以藉由對比沈積測試來證明。更具體地,與未加合的類似物相比,來自加合的先質的基材和沈積膜的更厚組合可以表證明減少的蝕刻損壞。申請人認為,與非加合的類似物相比,加合的先質可使基材和沈積膜的厚度增加了大約0%至大約25%。
在另一個替代方案中,可以使用圖3的流程圖中揭露的製程來克服上文討論的關於使用固體先質的氣相沈積的問題。更具體地,在進行圖1的流程圖中的製程之前,藉由遵循圖2的流程圖中的製程,可以將低密度MEE’XX’或MEXX’X”X'''先質轉化為低密度加合物並且將低密度加合物轉化 為高密度加合物。申請人已經發現幾種MEE’XX’.Ln或MEXX’X”X'''.L先質,例如L=THF或異戊腈,係展現了低體積密度(例如,範圍從大約0.3至大約1.5g/mL並且典型地從大約0.6至大約1.1g/mL)的固體。其結果係,圖1和圖2的流程圖的組合可以提供高密度材料,在室溫和大氣壓下該等高密度材料具有範圍從大約1.0g/mL至報導的晶體密度、較佳的是從大約1.9g/mL至報導的晶體密度的密度。高密度材料不太可能被夾帶在蒸氣相中。附加地,高密度材料更緊湊並且在昇華器中填裝後不太可能被擾亂。最後,高密度材料在每個昇華器中產生更大的體積,並且為客戶產生更少的單獨的昇華器罐。
在另一個替代方案中,可以使用圖4的流程圖中揭露的製程來克服上文討論的關於使用固體先質的氣相沈積的問題。更具體地,低密度MEE’XX’或MEXX’X”X'''先質可以與非反應性且非揮發性液體混合以形成漿料。在範圍從大約-80℃至大約250℃、較佳的是從大約-50℃至大約100℃、更較佳的是從大約室溫至大約50℃的溫度下將大約1% w/w至大約99% w/w的低密度MEE’XX’或MEXX’X”X'''先質添加到非反應性且非揮發性液體中。添加順序並不重要。換言之,可以將低密度MEE’XX’或MEXX’X”X'''先質添加到非反應性且非揮發性液體中,或者可以將非反應性且非揮發性液體添加到低密度MEE’XX’或MEXX’X”X'''先質中。示例性的非反應性且非揮發性液體較佳的是具有高於200℃的沸點並且展現了為先質的蒸氣壓的0%至大約1%的蒸氣壓。示例性的非反應性且非揮發性液體包括矽油、烴、全氟化聚醚及其組合。在有或沒有攪拌的情況下維持組合直至均勻。典型地,將組合維持在大約0.1小時至大約48小時之間,並且更較佳的是從大約0.5小時至大約8小時。熟悉該項技術者將認識到進行混合製程所需的設備。熟悉該項技術者將進一步認識到,混合 時間將取決於批次的大小,並且對於非攪拌混合物的均勻性比對於攪拌混合物的均勻性將花更長時間。
漿料允許更容易地填充並且通過罐更有效地熱傳遞。漿料不太可能被夾帶在蒸氣相中。附加地,漿料更緊湊並且在昇華器中填裝後不太可能被推擠。
在另一個替代方案中,可以使用圖5的流程圖來克服上文討論的關於使用固體先質的氣相沈積的問題。更具體地,低密度MEE’XX’或MEXX’X”X'''先質可以與惰性揮發性液體混合以形成固液漿料。可以將漿料轉移到昇華器中並去除揮發性液體以形成高密度MEE’XX’或MEXX’X”X'''先質的固體塊。在範圍從大約-50℃至大約100℃的溫度下將大約1% w/w至大約75% w/w的低密度MEE’XX’或MEXX’X”X'''先質添加到惰性揮發性液體中。添加順序並不重要。換言之,可以將低密度MEE’XX’或MEXX’X”X'''先質添加到惰性揮發性液體中,或者可以將惰性揮發性液體添加到低密度MEE’XX’或MEXX’X”X'''先質中。示例性惰性揮發性液體包括烷烴,如戊烷、己烷或環己烷,或氯化溶劑,如SiCl4、DCM或氯仿。在有或沒有攪拌的情況下維持組合直至均勻。典型地,將組合維持在大約X小時至大約48小時之間,並且更較佳的是從大約X小時至大約8小時。熟悉該項技術者將認識到進行混合製程所需的設備。熟悉該項技術者將進一步認識到,混合時間將取決於批次的大小,並且對於非攪拌混合物的均勻性比對於攪拌混合物的均勻性將花更長時間。
室溫和大氣壓下所得高密度材料具有範圍從大約1.0g/mL至報導的晶體密度的密度。高密度材料不太可能被夾帶在蒸氣相中。附加地,高密度材料更緊湊並且在昇華器中填裝後不太可能被推擠。
申請人認為,藉由圖1-圖5的製程處理的所揭露的形成含第6族過渡金屬的膜之組成物可適用於商業氣相沈積製程中。更具體地,所得的形成含第6族過渡金屬的膜之組成物可具有比類似的未處理的組成物更高的揮發性和更低的熔點。如下面的實例中示出的,所提出的處理可以將一些預處理的化合物轉化為液體,這比固體對於氣相沈積製程在商業上更可行。與未處理的類似物相比,處理還可以增加形成含第6族過渡金屬的膜之組成物的穩定性和/或增加組成物對任何氣相沈積反應物的反應性。處理還可以減少在氣相沈積製程期間對任何鹵化物敏感基材的蝕刻。加合物還可以允許改善的區域選擇性沈積。
還揭露了純化MEE’XX’或MEXX’X”X'''先質的方法。使上文揭露的配位配位基中的任一種與MEE’XX’或MEXX’X”X'''先質反應以形成具有通式MEE’XX’.Ln或MEXX’X”X'''.L的加合物,其中M=Mo、W;E=O、S;X=Cl、Br、I;n=1、2。在昇華或蒸餾製程中將加合物加熱至中等溫度(範圍從大約50℃至大約300℃)以產生純化的加合物。純化的加合物的後續處理恢復了配位製程,導致純化的MEE’XX’或MEXX’X”X'''。示例性後續處理包括高溫(範圍從大約300℃至大約500℃)、pH變化、低壓(範圍從大約0至大約1托)、配位基氧化、光化學或電化學。申請人認為,純化的MEE’XX’或MEXX’X”X'''將含有比起始的MEE’XX’或MEXX’X”X'''更少的雜質。
所揭露的形成含第6族過渡金屬的膜之組成物中的任一種可以展現了(i)足夠的揮發性以提供從儲存它們的容器快速和可再現的遞送到反應腔室中,(ii)高熱穩定性以避免在罐中存儲期間分解並且在高溫(對於介電膜典型地>150℃,並且對於導電膜>275℃)下在ALD模式中使能夠自限制生長, (iii)對基材末端官能基和與反應性氣體的適當反應性以易於轉化成所希望的膜,和(iv)高純度以獲得具有低雜質的膜。
所揭露的形成含第6族過渡金屬的膜之組成物的純度較佳的是高於99.9% w/w。所揭露的形成含第6族過渡金屬的膜之組成物可含有任何下列雜質:Mo(=NR)Cl(OR),其中R如上所定義,烷基胺,二烷基胺,烷基亞胺,烷氧化物,THF,醚,甲苯,氯化金屬化合物,烷氧基鋰或烷氧基鈉,或胺基鋰或胺基鈉。較佳的是,該等雜質的總量低於0.1% w/w。純化的產物可以藉由昇華、蒸餾和/或使氣體或液體藉由合適的吸附劑(例如4A分子篩)來生產。例如,MEE’XX’.Ln或MEXX’X”X'''.L先質可藉由在範圍從大約1毫托至大約500托、較佳的是從1托至200托的壓力下加熱至範圍從大約75℃至大約300℃、較佳的是從大約100℃至大約200℃的溫度進行純化。
所揭露的形成含第6族過渡金屬的膜之組成物還可包含以ppbw(十億分之一重量)水平的金屬雜質。該等金屬雜質包括但不限於鋁(Al)、砷(As)、鋇(Ba)、鈹(Be)、鉍(Bi)、鎘(Cd)、鈣(Ca)、鉻(Cr)、鈷(Co)、銅(Cu)、鎵(Ga)、鍺(Ge)、鉿(Hf)、鋯(Zr)、銦(In)、鐵(Fe)、鉛(Pb)、鋰(Li)、鎂(Mg)、錳(Mn)、鎢(W)、鎳(Ni)、鉀(K)、鈉(Na)、鍶(Sr)、釷(Th)、錫(Sn)、鈦(Ti)、鎢(W)、鈾(U)、釩(V)及鋅(Zn)。形成含第6族過渡金屬的膜之組成物包含在大約0ppb與大約10,000ppb之間、較佳的是在大約0ppb與大約1,000ppb之間、並且更較佳的是在大約0ppb與大約500ppb之間的該等金屬雜質中的任何一種。
還揭露了用於使用氣相沈積製程在基材上形成含第6族過渡金屬的層的方法。該方法可以在製造半導體、光伏打、LCD-TFT、或平板型裝置中是有用的。所揭露的形成含第6族過渡金屬的膜之組成物可用於使用熟悉該項技術者已知的任何氣相沈積方法(例如原子層沈積或化學氣相沈積)沈積含第6族過渡金屬的薄膜。示例性CVD方法包括熱CVD、電漿增強的CVD(PECVD)、脈衝CVD(PCVD)、低壓CVD(LPCVD)、低於大氣壓的CVD(SACVD)或大氣壓CVD(APCVD)、熱絲CVD(HWCVD,還被稱為cat-CVD,其中熱絲充當用於沈積製程的能源)、自由基結合的CVD、以及其組合。示例性ALD方法包括熱ALD、電漿增強的ALD(PEALD)、空間隔離ALD、熱絲ALD(HWALD)、自由基結合的ALD、以及其組合。也可以使用超臨界流體沈積。沈積方法較佳的是ALD、PE-ALD、或空間ALD,以便提供合適的階梯覆蓋和膜厚度控制。
圖6係示意性地展示可用於形成含第6族過渡金屬的層的氣相沈積設備的實例的框圖。圖6中展示的設備包括反應器11,用於所揭露的形成含第6族過渡金屬的膜之組成物的進料源12,用於反應物(典型地為氧化劑如氧氣或臭氧)的進料源13和用於可用作載氣和/或稀釋氣體的惰性氣體的進料源14。基材裝載和卸載機構(未示出)允許在反應器11中插入和移除沈積基材。提供加熱裝置(未示出)以達到所揭露的組成物的反應所需的反應溫度。
形成含第6族過渡金屬的膜之組成物進料源12可以使用鼓泡器方法將組成物引入到反應器11中,並藉由管線L1連接到惰性氣體進料源14。管線L1提供有截止閥V1和從該閥的下游的流量控制器,例如質量流量控制器 MFC1。將組成物從其進料源12藉由管線L2引入到反應器11中。在上游側提供以下項:壓力計PG1、截止閥V2和截止閥V3
反應物進料源13包括將反應物保持為氣態、液態或固體形式的容器。將反應物的蒸氣從其進料源13藉由管線L3引入到反應器11中。在管線L3中提供截止閥V4。此管線L3連接到管線L2
惰性氣體進料源14包括將惰性氣體保持為氣態形式的容器。可以將惰性氣體從其進料源通過管線L4引入到反應器11中。管線L4在上游側上提供有以下項:截止閥V6、質量流量控制器MFC3和壓力計PG2。管線L4與從截止閥V4上游的管線L3連接。
管線L5從管線L1中的截止閥V1的上游分支;此管線L5連接在截止閥V2與截止閥V3之間的管線L2。管線L5提供有截止閥V7和認為來自上游側的質量流量控制器MFC4
管線L6在截止閥V3V4之間分支到反應腔室11中。此管線L6提供有截止閥V8
到達泵PMP的管線L7提供在反應器11的底部處。此管線L7在上游側上包括以下項:壓力計PG3,用於控制背壓的蝶形閥BV和冷阱15。此冷阱15包括管(未示出),該管在其圓周上提供有冷卻器(未示出),並且旨在收集鎢先質和相關的副產物。
反應器可以是其中沈積方法發生的裝置內的任何外殼或腔室,如但不限於:平行板型反應器、冷壁型反應器、熱壁型反應器、單晶圓反應器、多晶圓反應器、或在適於引起化合物反應並形成層的條件下的其他類型的沈積系統。
反應器含有一個或多個上面沈積有膜的基材。基材一般定義為在其上進行製程的材料。基材可以是用於半導體、光伏打、平板或LCD-TFT裝置製造中的任何合適的基材。合適的基材的實例包括晶圓,如矽、二氧化矽、玻璃、或GaAs晶圓。晶圓可具有從先前的製造步驟沈積在其上的一個或多個不同材料層。例如,晶圓可包括矽層(結晶、無定形、多孔等)、氧化矽層、氮化矽層、氮氧化矽層、碳摻雜的氧化矽(SiCOH)層或其組合。附加地,晶圓可包括銅層或貴金屬層(例如鉑、鈀、銠或金)。晶圓可以包括阻擋層,例如錳、氧化錳等。也可以使用塑膠層,例如聚(3,4-伸乙基二氧基噻吩)聚(苯乙烯磺酸酯)[PEDOT:PSS]。層可以是平坦的或圖案化的。所揭露的製程可以將含第6族過渡金屬的層直接沈積在晶圓上或直接沈積在晶圓頂部上的一個或多於一個(當圖案化的層形成基材時)層上。此外,熟悉該項技術者將認識到,本文所用的術語“膜”或“層”係指鋪設或鋪展在表面上的一些材料的厚度並且該表面可為溝槽或線。貫穿本說明書和申請專利範圍,晶圓及其上的任何相關層被稱為基材。例如,可以將氧化鉬膜沈積到TiN層上。在隨後的加工中,可以在鉬層上沈積氧化鋯層,可以在氧化鋯層上沈積第二鉬層,並且可以在第二鉬層上沈積TiN層,形成用於DRAM電容器的TiN/MoOx/ZrO2/MoOx/TiN疊層,其中x範圍係從2-3(包括端點)。
反應器內的溫度和壓力保持在適用於氣相沈積的條件下。換言之,在將汽化的組成物引入到腔室中之後,腔室內的條件使得該汽化的先質的至少一部分沈積在基材上以形成含第6族過渡金屬的膜。例如,根據每個沈積參數所要求的,反應器中的壓力可以保持在約1Pa與約105Pa之間,更較佳的是在約25Pa與約103Pa之間。同樣,反應器中的溫度可以保持在約100℃與約 500℃之間,較佳的是在約150℃與約400℃之間。熟悉該項技術者將認識到,“使汽化的先質的至少一部分沈積”意指一些或全部先質與基材反應或粘附至基材。
可以藉由控制基材固持器的溫度或控制反應器壁的溫度來控制反應器的溫度。用於加熱基材的裝置在本領域中是已知的。反應器壁被加熱至足夠溫度以便以足夠生長速率並且以所希望的物理狀態和組成獲得所希望的膜。非限制性示例性溫度範圍(可以將反應器壁加熱到該溫度範圍)包括從大約100℃至大約500℃。當利用電漿沈積製程時,沈積溫度範圍可以是從大約150℃至大約400℃。可替代地,當進行熱製程時,沈積溫度範圍可以是從大約200℃至大約500℃。
所揭露的形成含第6族過渡金屬的膜之組成物可以以純淨形式或以與合適的溶劑如乙苯、二甲苯、均三甲苯、癸烷、十二烷的共混物形式供應。所揭露的組成物可以是以變化濃度存在於溶劑中。
藉由常規手段如管道系統和/或流量計將純淨的或共混的形成含第6族過渡金屬的膜之組成物以蒸氣形式引入到反應器內。以蒸氣形式的化合物可以藉由常規汽化步驟(例如直接汽化,蒸餾)汽化純淨的或共混的化合物溶液,或藉由鼓泡、或藉由使用昇華器(例如授予Xu等人的PCT公開WO2009/087609中揭露的昇華器)來產生。純淨的或共混的組成物可以以液態進料到汽化器中,在汽化器中將其汽化,然後將其引入到反應器中。可替代地,可藉由將載氣傳送至含有組成物的容器內或藉由將載氣鼓泡進組成物內使純淨的或共混的組成物汽化。載氣可包括但不限於Ar、He、N2及其混合物。用 載氣鼓泡還可移除純淨的或共混的組成物中存在的任何溶解氧。載氣和組成物然後作為蒸氣被引入到反應器中。
如果必要,所揭露的組成物的容器可以被加熱到允許組成物處於其液相並具有足夠的蒸氣壓的溫度。可以將容器維持在例如大約0℃至大約150℃的範圍內的溫度下。熟悉該項技術者認識到可以按已知方式調整容器的溫度以控制汽化的組成物的量。
形成含第6族過渡金屬的膜之組成物可藉由所揭露的形成含第6族過渡金屬的膜之組成物遞送裝置遞送至半導體加工工具中。圖28和圖29示出了所揭露的遞送裝置1的兩個實施方式。
圖28係形成含第6族過渡金屬的膜之組成物遞送裝置1的一個實施方式的側視圖。在圖28中,所揭露的形成含第6族過渡金屬的膜之組成物11包含在具有至少兩個導管(入口導管3和出口導管4)的容器2內。先質領域的普通技術人員將認識到,容器2、入口導管3和出口導管4被製造成即使在升高的溫度和壓力下,也能防止氣態形式的形成含第6族過渡金屬的膜之組成物11逸出。
合適的閥包括彈簧載入閥或束縛隔膜閥。閥可進一步包含限流孔(RFO)。遞送裝置1應連接至氣體歧管並且在外殼中。氣體歧管應允許當替換遞送裝置1時可能暴露於空氣的管道被安全排空並且吹掃,使得任何殘留量的材料不發生反應。
遞送裝置1必須係不漏的且配備有關閉時不允許即使微小量的材料逸出的閥。遞送裝置1經由閥67流體地連接到半導體加工工具的其他 部件,例如以上揭露的氣櫃。較佳的是,容器2、入口導管3、閥6、出口導管4和閥7典型地由316L EP不銹鋼製成。
圖28中,入口導管3的端8位於形成含第6族過渡金屬的膜之組成物11的表面上方,而出口導管4的端9位於形成含第6族過渡金屬的膜之組成物11的表面下方。在該實施方式中,形成含第6族過渡金屬的膜之組成物11較佳的是呈液體形式。包括但不限於氮氣、氬氣、氦氣及其混合物的惰性氣體可被引入到入口導管3中。惰性氣體對容器2加壓,使得迫使液體的形成含第6族過渡金屬的膜之組成物11藉由出口導管4且進入半導體加工工具中的部件(未示出)中。半導體加工工具可包括汽化器,其使用或不使用載氣(諸如氦氣、氬氣、氮氣或其混合物)將液體的形成含第6族過渡金屬的膜之組成物11轉變成蒸氣,以便將該蒸氣遞送至其中定位有待修復晶圓且處理以氣相發生的腔室中。可替代地,液體的形成含第6族過渡金屬的膜之組成物11可以作為射流或氣溶膠直接遞送到晶圓表面上。
圖29係形成含第6族過渡金屬的膜之組成物遞送裝置1的第二實施方式的側視圖。在圖29中,入口導管3的端8位於形成含第6族過渡金屬的膜之組成物11的表面下方,而出口導管4的端9位於形成含第6族過渡金屬的膜之組成物11的表面上方。圖29還包括視需要的加熱元件14,其可以升高形成含第6族過渡金屬的膜之組成物11的溫度。形成含第6族過渡金屬的膜之組成物11可以呈固體或液體形式。包括但不限於氮氣、氬氣、氦氣及其混合物的惰性氣體被引入到入口導管3中。惰性氣體流經形成含第6族過渡金屬的膜之組成物11並將惰性氣體和汽化的形成含第6族過渡金屬的膜之組成物11的混合物攜載至出口導管4和半導體加工工具中的部件。
圖28和圖29二者都包括閥67。熟悉該項技術者將認識到,閥67可以被置於打開或關閉位置,以分別允許流過導管34。在另一個替代方案中,入口導管3和出口導管4兩者都可以位於形成含第6族過渡金屬的膜之組成物11的表面上方,而不脫離本文的揭露內容。此外,入口導管3可為填充端口。
在另一個替代方案中,如果形成含第6族過渡金屬的膜之組成物11呈蒸氣形式或如果在固/液相上方存在足夠的蒸氣壓,則可以使用圖28或圖29中的遞送裝置1,或具有終止於存在的任何固體或液體表面上方的單個導管的更簡單的遞送裝置。在這種情況下,僅藉由分別打開圖28中的閥6圖29中的閥7,將形成含第6族過渡金屬的膜之組成物11以蒸氣形式通過導管34遞送。遞送裝置1可以例如藉由使用視需要的加熱元件14維持在合適的溫度,以便為待以蒸氣形式遞送的形成含第6族過渡金屬的膜之組成物11提供足夠的蒸氣壓。
當形成含第6族過渡金屬的膜之組成物係固體時,可以使用昇華器將它們的蒸氣遞送到反應器中。圖30示出了合適的昇華器100的一個實施方式。昇華器100包括容器33。容器33可以是圓柱形容器,或可替代地,可以是但不限於任何形狀。容器33由以下材料構造,該等材料如但不限於不銹鋼、鎳及其合金、石英、玻璃、以及其他化學上相容的材料。在某些情況下,容器33由另一種金屬或金屬合金構造(沒有限制)。在某些情況下,容器33具有從約8釐米至約55釐米的內徑,並且可替代地,從約8釐米至約30釐米的內徑。如由熟悉該項技術者理解的,替代配置可以具有不同的尺寸。
容器33包括可密封的頂部15、密封件18、以及墊片20。可密封的頂部15被配置成密封容器33遠離外部環境。可密封的頂部15被配置成允許進入容器33。附加地,可密封的頂部15被配置成供導管進入容器33中。可替代地,可密封的頂部15被配置成允許流體流動到容器33中。可密封的頂部15被配置成接收並且穿過包括浸入管92的導管以保持與容器33處於流體接觸。具有控制閥90和配件95的浸入管92被配置成用於使載氣流動到容器33中。在某些情況下,浸入管92沿著容器33的中心軸向下延伸。進一步地,可密封的頂部15被配置成接收並且穿過包括出口管12的導管。將載氣以及形成含第6族過渡金屬的膜之組成物的蒸氣通過出口管12從容器33中移除。出口管12包括控制閥10和配件5。在某些情況下,將出口管12流體聯接至氣體遞送歧管,用於將載氣從昇華器100引導至膜沈積腔室。
容器33和可密封的頂部15被至少兩個密封件18,可替代地,被至少約四個密封件密封。在某些情況下,可密封的頂部15被至少約八個密封件18密封到容器33上。如由熟悉該項技術者理解的,密封件18可釋放地將可密封的頂部15聯接到容器33上,並且與墊片20形成耐受氣體的密封。密封件18可以包括對於熟悉該項技術者已知的用於密封容器33的任何合適的器件。在某些情況下,密封件18包括翼形螺釘。
圖30中所示,容器33進一步包括至少一個佈置在其中的盤。盤包括用於固體材料的擱架或水平支承件。在某些實施方式中,內部盤30被環狀地佈置在容器33內,使得盤30包括小於容器33的內徑或周長的外徑或周長,形成開口31。外部盤86被周向地佈置在容器33內,使得盤86包括與容器33的內徑相同、大約相同、或總體上一致的外徑或周長。外部盤86形成佈置在該盤的 中心處的開口87。多個盤被佈置在容器33內。該等盤以交替方式堆疊,其中內部盤30、34、36、44與交替的外部盤62、78、82、86在該容器內豎直地堆疊。在實施方式中,內部盤30、34、36、44環狀地向外延伸,並且外部盤62、78、82、86環狀地朝向容器33的中心延伸。如圖30的實施方式中所示,內部盤30、343644不與外部盤62788286處於物理接觸。
組裝的昇華器100包括內部盤30、34、36、44,該等內部盤包括對齊且聯接的支承腳50,內部通道51,同心壁40、41、42,以及同心槽縫47、48、49。內部盤30、34、36、44豎直地堆疊,並且圍繞浸入管92環狀地定向。附加地,昇華器包括外部盤62、78、82、86。如圖30中所示,外部盤62、78、82、86應該緊密地配合到容器33中用於良好接觸以將熱量從容器33傳導至盤62、78、82、86。較佳的是,外部盤62、78、82、86被聯接至容器33的內壁,或者處於與該內壁物理接觸。
如所示,外部盤62、78、82、86和內部盤30、34、36、44堆疊在容器33內部。當在容器33中組裝以形成昇華器100時,內部盤30、34、36、44在組裝的外部盤62、78、82、86之間形成外部氣體通道31、35、37、45。進一步地,外部盤62、78、82、86與內部盤30、34、36、44的支承腳形成內部氣體通道56、79、83、87。內部盤30、34、36、44的壁40、41、42形成用於保持固體先質的帶凹槽的槽縫。外部盤62、78、82、86包括用於保持固體先質的壁68、69、70。在組裝期間,將固體先質裝載到內部盤30343644的環形槽縫474849以及外部盤62788286的環形槽縫646566中。
雖然圖30揭露了能夠將任何固體形成含第6族過渡金屬的膜之組成物的蒸氣遞送至反應器的昇華器的一個實施方式,但熟悉該項技術者將認 識到,其他昇華器設計也是合適的,而不脫離本文的傳授內容。最後,熟悉該項技術者將認識到,可使用其他遞送裝置(諸如授予Jurcik等人的WO 2006/059187中所揭露的安瓿)將所揭露的形成含第6族過渡金屬的膜之組成物11遞送至半導體加工工具中,而不脫離本文的傳授內容。
除了所揭露的組成物之外,還可以將反應物引入到反應器中。反應物可以是氧化氣體,諸如O2、O3、H2O、H2O2、NO、N2O、NO2之一,含氧自由基諸如O‧或OH‧,NO,NO2,羧酸,甲酸,乙酸,丙酸,及其混合物。較佳的是,氧化氣體選自由以下各項組成之群組:O2、O3、H2O、H2O2、其含氧自由基如O‧或OH‧、及其混合物。
可替代地,反應物可以是還原氣體如以下項之一:H2、H2CO、NH3、SiH4、Si2H6、Si3H8、(CH3)2SiH2、(C2H5)2SiH2、(CH3)SiH3、(C2H5)SiH3、苯基矽烷、N2H4、N(SiH3)3、N(CH3)H2、N(C2H5)H2、N(CH3)2H、N(C2H5)2H、N(CH3)3、N(C2H5)3、(SiMe3)2NH、(CH3)HNNH2、(CH3)2NNH2、苯肼、H2N-C2H4-NH2、取代的乙二胺、含N分子、B2H6、9-硼雙環[3,3,1]壬烷、二氫苯呋喃、吡唑啉、三甲基鋁、二甲基鋅、二乙基鋅、其自由基物種、及其混合物。較佳的是,還原氣體係H2、NH3、SiH4、Si2H6、Si3H8、SiH2Me2、SiH2Et2、N(SiH3)3、H2N-C2H4-NH2、其氫自由基、或其混合物。更較佳的是,還原氣體係H2N-C2H4-NH2
反應物可藉由電漿處理,以便使反應物分解成其自由基形式。當用電漿處理時,N2還可以被用作還原氣體。例如,可以以範圍從約50W至約500W、較佳的是從約100W至約400W的功率產生電漿。可以在反應器自身內產生或存在電漿。可替代地,電漿通常可以處於遠離反應器的位置處,例如在 遠端定位的電漿系統中。熟悉該項技術者將認識到適合於此種電漿處理的方法和設備。
例如,反應物可以被引入到直接電漿反應器(反應器在反應腔室中產生電漿)中,以在該反應腔室中產生電漿處理過的反應物。示例性的直接電漿反應器包括由垂恩技術公司(Trion Technologies)生產的TitanTM PECVD系統。反應物可以在電漿加工之前被引入並保持在反應腔室中。可替代地,電漿加工可以與引入反應物同時發生。原位電漿典型地是在噴淋頭與基材固持器之間產生的13.56MHz RF電感耦合電漿。根據是否發生正離子碰撞,基材或噴淋頭可以是被供電電極。原位電漿產生器中的典型施加功率為從大約30W至大約1000W。較佳的是,在所揭露的方法中使用從大約30W至大約600W的功率。更較佳的是,功率的範圍從大約100W至大約500W。使用原位電漿的反應物的解離典型地小於對於相同功率輸入使用遠端電漿源實現的,並且因此在反應物解離中不如遠端電漿系統有效,這可能有利於將含第6族過渡金屬的膜沈積在容易被電漿損壞的基材上。
可替代地,電漿處理過的反應物可以在反應腔室外產生。MKS儀器公司的ASTRONi®反應性氣體產生器可以用於在通入反應腔室之前處理反應物。在2.45GHz、7kW電漿功率和範圍從大約0.5托至大約10托的壓力下操作,反應物O2可分解成兩個O自由基。較佳的是,可以用範圍從約1kW至約10kW、更較佳的是從約2.5kW至約7.5kW的功率產生遠程電漿。
腔室內的氣相沈積條件允許所揭露的組成物和反應物反應並在基材上形成含第6族過渡金屬的膜。在一些實施方式中,申請人認為電漿處理反應物可以向反應物提供與所揭露的先質反應所需的能量。
根據希望沈積哪種類型的膜,可以將附加的先質引入到反應器中。先質可用於向含第6族過渡金屬的膜提供附加的元素。該等附加的元素可包括鑭系元素(鏡、鉺、鏑、釓、鐠、鈰、鑭、釔)、鋯、鍺、矽、鈦、錳、釕、鉍、鉛、鎂、鋁、或該等元素的混合物。當利用附加的先質化合物時,所得的沈積在基材上的膜含有與至少一種附加的元素組合的第6族過渡金屬。
可以將形成含第6族過渡金屬的膜之組成物和反應物同時(化學氣相沈積)、順序地(原子層沈積)或其不同組合引入到反應器中。可以在引入組成物與引入反應物之間用惰性氣體吹掃反應器。可替代地,反應物和組成物可以混合在一起以形成反應物/組成物混合物,並且然後以混合物形式引入到反應器中。另一個實例係連續引入反應物並藉由脈衝(脈衝化學氣相沈積)引入形成含第6族過渡金屬的膜之組成物。
汽化的組成物和反應物可以順序地或同時(例如脈衝CVD)脈衝進入反應器。每次脈衝可持續範圍從約0.01秒至約10秒、可替代地從約0.3秒至約3秒、可替代地從約0.5秒至約2秒的一段時間。在另一個實施方式中,反應物也可以脈衝進入反應器。在此類實施方式中,每種氣體的脈衝可持續範圍從約0.01秒至約10秒、可替代地從約0.3秒至約3秒、可替代地從約0.5秒至約2秒的一段時間。在另一個替代方案中,可以同時地從噴淋頭噴射汽化的組成物和反應物,保持若干晶圓的基座在該噴淋頭下旋轉(空間ALD)。
根據具體的製程參數,沈積可能進行不同的時間長度。通常,可使沈積繼續所希望或所必需長度的時間以產生具有必需特性的膜。根據具體的沈積製程,典型的膜厚度可以從幾埃到幾百微米變化。沈積製程也可以進行獲得所希望的膜所必需的很多次。
在一個非限制性的示例性CVD型製程中,將蒸氣相的所揭露的形成含第6族過渡金屬的膜之組成物和反應物同時引入到反應器中。兩者反應以形成所得的含第6族過渡金屬的薄膜。當在此示例性CVD製程中的反應物用電漿處理時,示例性CVD製程變成示例性的PECVD製程。反應物可以在引入到腔室中之前或之後用電漿處理。
在第二非限制性示例性CVD型製程中,將所揭露的形成含第6族過渡金屬的膜之組成物之一的蒸氣相(例如MoO2Cl2.EDA)引入到設定在範圍從大約250℃至大約350℃的溫度下的反應器中。沒有引入反應物。可替代地,可以使用附加的EDA作為還原劑。使EDA與MoO2Cl2反應以產生有光澤的、高度導電的含金屬Mo膜。分析正在進行中,但申請人認為含Mo膜係Mo、MoC、MoN或MoCN。
還揭露了第三非限制性示例性CVD型製程,其使用包含液體Mo加合的先質MoO2Cl2.Ln(如MoO2Cl2.(己酸甲酯)2)的形成含第6族過渡金屬的膜之組成物之一的蒸氣相。將裝載基材(例如Si晶圓)的噴淋頭反應器維持在室溫下或加熱至範圍最高至1000℃、較佳的是從大約100℃至大約700℃、更較佳的是從大約250℃至大約700℃的溫度。在加熱發生的同時,用通過端口引入的氮氣流吹掃反應腔室。隨後將反應腔室減壓至約10托。
在達到設定溫度後,使反應腔室和基材(例如Si晶圓)在大約30分鐘的時間段內達到熱平衡。然後將反應器壓力調節至約1托。
然後將用作共反應物的氫氣引入到反應器中,流量範圍為從大約1sccm至大約10,000sccm、較佳的是從大約10sccm至大約1,000sccm。
當壓力達到平衡時,打開在裝有MoO2Cl2.L液體加合物的罐之間的閥,並將加合的分子的蒸氣遞送到反應腔室中,使金屬膜沈積在基材(例如Si晶圓)上。使用氬氣載氣。
在沈積時間結束後,將腔室用氮氣再加壓至大氣壓,同時維持溫度。將沈積的基材(例如Si晶圓)移至氮氣飽和腔室中以冷卻至環境溫度。藉由SEM測量晶圓上沈積的金屬膜的厚度。藉由XPS和/或EDX檢查金屬膜的組成。
在一個非限制性的示例性ALD型製程中,將蒸氣相的所揭露的形成含第6族過渡金屬的膜之組成物引入到反應器中,在該反應器中使其與合適的基材接觸。然後可藉由吹掃和/或排空反應器從該反應器中去除過量的組成物。將所希望的氣體(例如,H2)引入到反應器中,在該反應器中使其以自限制的方式與吸附的組成物反應。藉由吹掃和/或排空反應器從該反應器中去除任何過量的還原氣體。如果所希望的膜係含第6族過渡金屬的膜,此兩步製程可以提供所希望的膜厚度,或者可以重複直到獲得具有必需厚度的膜。
可替代地,如果所希望的膜含有第6族過渡金屬和第二元素,則可以在以上兩步製程之後將另外的先質的蒸氣引入到反應器中。附加的先質將基於所沈積的第6族過渡金屬膜的性質來選擇。在引入到反應器中之後,使附加的先質與基材接觸。藉由吹掃和/或排空反應器從該反應器中去除任何過量的先質。再次,可以將所希望的氣體引入到反應器中以與所吸附的先質反應。藉由吹掃和/或排空反應器從該反應器中去除過量氣體。如果已經實現了所希望的膜厚度,則可終止製程。然而,如果較厚的膜係所希望的,則可重複整個四步 驟製程。藉由交替提供形成含第6族過渡金屬的膜之組成物、附加的先質和反應物,可以沈積具有所希望的組成和厚度的膜。
當在此示例性ALD製程中的反應物用電漿處理時,示例性ALD製程變成示例性的PEALD製程。反應物可以在引入到腔室中之前或之後用電漿處理。
在第二非限制性示例性ALD型製程中,將所揭露的形成含第6族過渡金屬的膜之組成物之一的蒸氣相(例如MoO2Cl2)引入到反應器中,在該反應器中使其與TiN基材接觸。然後可藉由吹掃和/或排空反應器從該反應器中去除過量的組成物。將所希望的氣體(例如,O3)引入到反應器中,在該反應器中使其以自限制的方式與吸收的先質反應以形成氧化鉬膜。藉由吹掃和/或排空反應器從該反應器中去除任何過量的氧化氣體。可以重複這兩個步驟,直到氧化鉬膜獲得所希望的厚度,典型地約為10埃。然後可以在MoOx膜上沈積ZrO2,其中x為2-3(包括端點)。例如,ZrCp(NMe2)3可以充當Zr先質。然後可以在ZrO2層上使用MoO2Cl2和臭氧重複上文描述的第二非限制性示例性ALD製程,接著係在MoOx層上沈積TiN。所得TiN/MoOx/ZrO2/MoOx/TiN疊層可用於DRAM電容器中。
由上文討論的製程得到的含第6族過渡金屬的膜可包括純的第6族過渡金屬(M=Mn或W)、第6族過渡金屬矽化物(MkSil)、第6族過渡金屬氧化物(MnOm)、第6族過渡金屬氮化物(MoNp)膜、第6族過渡金屬碳化物(MqCr)膜、或第6族過渡金屬碳氮化物(MCrNp),其中k、l、m、n、o、p、q和r係範圍從1至6(包括端點)的整數。熟悉該項技術者將認識到,藉由適 當的所揭露的形成含第6族過渡金屬的膜之組成物、視需要的先質以及反應物的明斷選擇,可以獲得所希望的膜組成。
例如,純鎢的沈積可用於填充使與電晶體源極和漏極接觸的孔洞(“接觸孔洞”),並且還用於填充在連續的金屬層之間的通孔。這種方法被稱為“鎢塞”製程。由於使用WF6沈積的膜的良好特性,可以開發鎢的使用。然而,必須提供諸如Ti/TiN的粘附/阻擋層以保護下面的Si免受氟的攻擊並確保鎢與二氧化矽的粘附。
可替代地,可以在多晶矽柵的頂部上使用矽化鎢以增加柵線的導電性,並且因此增加電晶體速度。這種方法在DRAM製造中流行,其中柵極也是電路的字線。可以使用WF6和SiH4,但更通常使用二氯矽烷(SiCl2H2)作為矽源,因為它允許更高的沈積溫度,並且因此導致沈積膜中的較低的氟濃度。
在另一個替代方案中,氮化鎢(WNx)或氮化鉬(MoNx)被認為係防止銅在微電子電路中擴散的良好屏障。WNx和MoNx也可用於薄膜電容器和場效應電晶體的電極中。
一經獲得所希望的膜厚度,可以使膜經受另外的加工,如熱退火、爐退火、快速熱退火、UV或電子束固化、和/或電漿氣體暴露。熟悉該項技術者認識到用於進行該等附加的加工步驟的系統和方法。例如,含第6族過渡金屬的膜可在惰性氣氛、含H氣氛、含N氣氛、含O氣氛或其組合下,在範圍從大約200℃與大約1000℃的溫度下暴露範圍從大約0.1秒至大約7200秒的時間。最較佳的是,在含H氣氛或含O氣氛下,溫度為400℃持續3600秒。所得膜可含有較少的雜質,並且因此可具有改善的密度,導致改善的洩漏電流。退火 步驟可以在進行沈積製程的同一反應腔室中進行。可替代地,可以從反應腔室中移除基材,其中在單獨的設備中進行退火/快速退火製程。已經發現任何以上後處理方法、但尤其是熱退火有效地減少含第6族過渡金屬的膜的碳和氮污染。這進而傾向於改善膜的電阻率。
在退火之後,藉由任何所揭露的製程沈積的含鎢膜在室溫下可具有大約5.5μohm.cm至大約70μohm.cm、較佳的是大約5.5μohm.cm至大約20μohm.cm、並且更較佳的是大約5.5μohm.cm至大約12μohm.cm的體電阻率。在退火之後,藉由任何所揭露的製程沈積的含鉬膜在室溫下可以具有大約50μohm.cm至大約1,000μohm.cm的體電阻率。根據季節,室溫為大約20℃至大約28℃。體電阻率也稱為體積電阻率。熟悉該項技術者將認識到,在室溫下在典型地未大約50nm厚的W或Mo膜上測量體電阻率。由於電子傳輸機制的變化,對於較薄的膜,體電阻率典型地增加。在較高溫度下,體電阻率也會增加。
在另一個替代方案中,所揭露的形成含第6族過渡金屬的膜之組成物可用作摻雜劑或植入劑。可以將所揭露的組成物的一部分沈積在待摻雜的膜的頂部上,例如氧化銦(In2O3)膜、二氧化釩(VO2)膜、氧化鈦膜、氧化銅膜或二氧化錫(SnO2)膜。然後鉬或鎢在退火步驟期間擴散到膜中以形成摻鉬膜{(Mo)In2O3、(Mo)VO2、(Mo)TiO、(Mo)CuO或(Mo)SnO2}或摻鎢膜{(W)In2O3、(W)VO2、(W)TiO、(W)CuO或(W)SnO2}。參見例如,授予Lavoie等人的US 2008/0241575,其摻雜方法藉由引用以其全文併入本文。可替代地,使用可變能量射頻四極注入機的高能離子注入可用於將所揭露組成物的鉬或鎢摻入膜中。參見,例如,Kensuke等人,JVSTA 16(2)1998年3月/4月,其注入方法藉由引用以其全文併入本文。在另一個替代方案中,可以使用所揭露的組 成物進行電漿摻雜、脈衝電漿摻雜或電漿浸沒離子注入。參見,例如,Felch等人,Plasma doping for the fabrication of ultra-shallow junctions Surface Coatings Technology[用於製造超淺結表面塗層技術的電漿摻雜],156(1-3)2002,第229-236頁,其摻雜方法藉由引用以其全文併入本文。
實例
提供以下非限制性實例以進一步展示了本發明的實施方式。使混合物在室溫下反應10分鐘至48小時。在小規模中,反應典型地在大約5至大約10分鐘內發生。較大規模的反應顯然將花更長時間。將上清液通過PTFE過濾器過濾。真空蒸發濾液以除去溶劑和/或過量配位基,產生純MoO2Cl2.Ln油狀物或固體。
Figure 108119025-A0305-02-0057-1
Figure 108119025-A0305-02-0058-2
1除了龐大的新戊腈和雙齒配位基外,申請人認為大多數加合物形成MoO2Cl2.L2,但正在進行測試來確認。 2將過量的莫耳當量的加合物逐滴添加到MoO2Cl2中。3蒸氣壓-如由TGA計算的。4 N/A=不可獲得的
圖7係熱重分析(TGA)圖,展示了溫度升高時的MoO2Cl2.L2的重量損失百分比,其中實線代表L=丁腈,實心短虛線-點線代表L=異戊腈,實心長虛線-點線代表L=異丁腈,空心虛線代表L=新戊腈,並且雙空心線代表L=己腈。
圖8係TGA圖,展示了溫度升高時的MoO2Cl2.L2的重量損失百分比,其中實線代表L=己酸甲酯,半實心-半空心虛線代表L=乙酸戊酯,點線代表L=2-己酮,空心虛線代表L=N,N-二乙基甲醯胺,並且點線-空心虛線代表N,N-二丁基甲醯胺。
圖9係TGA圖,展示了溫度升高時的MoO2Cl2.Ln的重量損失百分比,其中實線代表L=乙二醇二乙醚,點線代表L=二丁醚,實心虛線代表L=二乙二醇二甲醚,兩條空心虛線代表L=二乙醚,並且點線-實心虛線代表L=乙二醇二丁醚。
圖10係TGA圖,展示了溫度升高時的MoO2Cl2.L2的重量損失百分比,其中實線代表L=二丙基硫醚,並且點線代表L=二乙基硫醚。從MoO2Cl2.(SEt2)2的TGA曲線中無意中省略了減去空白,並且其結果係,部分曲線低於x軸。
熟悉該項技術者將認識到,氣相沈積典型地在真空下進行,並且來自大氣TGA的結果典型地比來自真空TGA的結果更差。
圖11係MoO2Cl2.(己酸甲酯)2先質的1H NMR譜。
圖12係MoO2Cl2.(乙酸戊酯)2先質的1H NMR譜。
實例2:MoO2Cl2(THF)2中間體的合成
[Journal of the American Chemical Society[美國化學學會雜誌],112,3875]
在手套箱內,在-30℃下13mL玻璃小瓶中裝載有3mL THF,向其中分三份添加1g固體MoO2Cl2。反應立即發生以形成略微混濁的無色溶液。將粗反應產物通過PTFE過濾器過濾。真空蒸發澄清的濾液以去除過量的THF,產生純的加合物,為白色結晶固體。
圖13係TGA/差熱分析(DTA)圖,展示了溫度升高時的MoO2Cl2.(THF)2的重量損失百分比(TGA-實線)或溫差(DTA-點線)。雖然廣泛用於催化中,但圖13表明MoO2Cl2.(THF)2加合物的熱特性係迄今為止測試的最差的,其中幾個步驟指示不同的分解/相變溫度和高殘餘物。圖13進一步證明該化合物在氣相沈積製程中將是不可行的。儘管其熱特性不令人滿意,但MoO2Cl2.(THF)2加合物具有重大合成效用,因為它可以容易地用作製造其他所考慮的加合物的中間體。THF加合物不與Mo強結合,允許用其他加合物“簡單”替換THF(在兩步一鍋反應中)。
實例3:由MoO2Cl2(THF)2中間體製備的加合物
在手套箱內,在-30℃下13mL玻璃小瓶中裝載有3mL THF,向其中分三份添加1g固體MoO2Cl2。反應立即發生以形成略微混濁的無色溶液。逐滴添加過量的適當加合物(詳見表2)。反應立即發生,產生顏色變化。將粗反應產物通過PTFE過濾器過濾。真空蒸發濾液以除去過量的THF和加合物,產生純的加合物。
Figure 108119025-A0305-02-0061-3
1將過量的莫耳當量的加合物逐滴添加到MoO2Cl2中。
圖14係TGA圖,展示了溫度升高時的MoO2Cl2.Ln的重量損失百分比,其中L係TMEDA且n係1。圖14證明了由於大量的殘餘物,該化合物在氣相沈積製程中將是不可行的。
實例4:庚基氰MoO2Cl2加合物MoO2Cl2[CH3(CH2)6CN]2的製備
在手套箱內,13mL玻璃小瓶中裝載有1g固體MoO2Cl2,向其中逐滴添加3.84mL庚基氰。使混合物在室溫下反應20分鐘。將上清液通過PTFE過濾器過濾。將濾液用環己烷(3×5mL)洗滌以去除過量的庚基氰。真空蒸發所得澄清溶液,以產生純的加合物,為淡黃色油狀物。
圖15係TGA/差熱分析(DTA)圖,展示了溫度升高時的MoO2Cl2.(庚基腈)2的重量損失百分比(TGA-實線)或溫差(DTA-點線)。
該實例與實例1相同,但它包括附加的3次用環己烷洗滌以去除過量的加合物(庚基腈)。該實例提供了去除可能具有特別高沸點(庚基腈大約200℃)的加合物的替代方式。一些加合物不太揮發,因此它們需要在真空下的長蒸發時間(有時過夜才能100%確定),減緩整個合成程序。該實例表明,在環己烷中的兩次洗滌可以去除過量的加合物,消除了對長蒸發時間的需要。
實例5:Mo(=O)2Cl2的ALD
使用Mo(=O)2Cl2進行ALD測試,將其置於加熱最高35℃的容器中並將NH3作為共反應物。使用典型的ALD條件,其中反應器壓力固定在約0.3托。在純矽晶圓上以300℃-475℃的溫度視窗評估在完全表面飽和和反應情況下的ALD行為。圖16係使用Mo(=O)2Cl2的ALD模式中含Mo膜的生長速率隨溫度變化的圖。在425℃與475℃之間評估生長速率為約0.8Å/週期,其中生長在溫度升高的情況下是穩定的。圖17係在400℃下使用Mo(=O)2Cl2的ALD模式中含Mo膜的生長速率隨先質引入時間變化的圖。使用Mo(=O)2Cl2的含Mo膜的平坦生長速率隨先質引入時間的變化證明了該製程的表面自限制特性。圖18係在400℃下使用Mo(=O)2Cl2的ALD模式中含Mo膜的生長速率隨氨引入時間變化的圖。圖19係使用Mo(=O)2Cl2的ALD模式中含Mo膜的生長速率隨ALD循環數變化的圖。生長速率隨ALD循環數的線性增長與ALD模式的表面自限制狀況特徵一致。
圖20至圖23分別是在400℃、425℃、450℃和475℃下產生的膜的俄歇電子能譜(AES)分析的圖。從425℃及更高溫度開始,發現膜係純氮化鉬。熟悉該項技術者將認識到,每次分析可能沒有使用相同的濺射速率。
圖24係在400℃下產生的MoN膜之X射線光譜(XPS)圖,示出膜中殘留量的氯。
圖25係膜電阻率隨溫度變化之圖。
圖26示出了475℃產生的MoN膜的X射線衍射(XRD)分析,示出氮化鉬之特徵信號。
圖27係在475℃下在1:10縱橫比圖案晶圓中沈積的膜之掃描電子顯微鏡(SEM)照片,並且示出幾乎完美的階梯覆蓋性能。
儘管已示出且描述了本發明的實施方式,但熟悉該項技術者可在不脫離本發明的精神或傳授內容的情況下對其進行修改。本文描述的實施方式只是示例性的且是非限制性的。組成物和方法的許多變化和修改係可能的且在本發明的範圍內。因此,保護範圍不限於本文所描述的實施方式,而僅受隨後的申請專利範圍所限定,其範圍應包括該等申請專利範圍的主題的所有等效物。

Claims (16)

  1. 一種形成含第6族過渡金屬的膜之組成物,該組成物包含選自由以下各項組成之群組的先質:MoO2Cl2.(己酸甲酯)2、MoO2Cl2.(乙酸戊酯)2或MoO2Cl2.(nBu-O-CH2-CH2-O-nBu)。
  2. 如申請專利範圍第1項所述之形成含第6族過渡金屬的膜之組成物,進一步包含總濃度範圍為從大約0ppb至大約10,000ppb的金屬雜質。
  3. 如申請專利範圍第1項所述之形成含第6族過渡金屬的膜之組成物,進一步包含在大約0% w/w與5% w/w之間的任何MEE’HXHX’副產物。
  4. 如申請專利範圍第1至3項中任一項所述之形成含第6族過渡金屬的膜之組成物,其中該先質為MoO2Cl2.(己酸甲酯)2或MoO2Cl2.(乙酸戊酯)2
  5. 如申請專利範圍第1至3項中任一項所述之形成含第6族過渡金屬的膜之組成物,其中該先質為MoO2Cl2.(nBu-O-CH2-CH2-O-nBu)。
  6. 一種用於將含第6族過渡金屬的膜沈積在基材上的方法,該方法包括:將一種形成含第6族過渡金屬的膜之組成物的蒸氣引入到含有基材的反應器中,並將該先質的至少一部分沈積到該基材上以形成該含第6族過渡金屬的膜,其中該形成含第6族過渡金屬的膜之組成物包含具有式MEE’XX’.Ln的先質,其中M=Mo或W;E=O或S;E’=O或S;X=Cl、Br或I;X’=Cl、Br或I;L為加合物;並且n=1或2。
  7. 如申請專利範圍第6項所述之方法,進一步包括將反應物引入到該反應器中。
  8. 如申請專利範圍第6或7項中任一項所述之方法,其中將該含第 6族過渡金屬的膜選擇性地沈積到該基材上。
  9. 如申請專利範圍第6項所述之方法,其中L為酯。
  10. 如申請專利範圍第6項所述之方法,其中該先質為MoO2Cl2(nC5H11-CN)2、MoO2Cl2.(己酸甲酯)2、MoO2Cl2.(乙酸戊酯)2或MoO2Cl2.(nBu-O-CH2-CH2-O-nBu)。
  11. 如申請專利範圍第6或7項中任一項所述之方法,其中該形成含第6族過渡金屬的膜之組成物包含總濃度範圍為從大約0ppb至大約10,000ppb的金屬雜質。
  12. 如申請專利範圍第6或7項中任一項所述之方法,其中該形成含第6族過渡金屬的膜之組成物包含在大約0% w/w與5% w/w之間的任何MEE’HXHX’副產物。
  13. 如申請專利範圍第6或7項中任一項所述之方法,其中L選自由以下各項組成之群組:酮(R-C(=O)-R)、醯胺(R-C(=O)-NR2)、二醯胺(R2N-C(O)-CH2-C(O)-NR2)、腈(R-C≡N)、異腈(RN=C)、硫醚(R2S)、亞碸(R2SO)、酯(R-C(=O)-OR)、二酯(R-O-C(=O)-CH2-C(=O)-O-R)、醚(R-O-R)、聚醚、胺(NR3)和酸酐(R-C(=O)-O-C(=O)-R),其中每個R獨立地為H或C1-C10烴。
  14. 如申請專利範圍第6或7項中任一項所述之方法,其中L為腈。
  15. 如申請專利範圍第14項所述之方法,其中該先質為MoO2Cl2(nC5H11-CN)2
  16. 如申請專利範圍第6或7項中任一項所述之方法,其中L為酯。
TW108119025A 2018-05-31 2019-05-31 氣相沈積含第6族過渡金屬膜之含第6族過渡金屬組成物 TWI803641B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/994,961 2018-05-31
US15/994,961 US11021793B2 (en) 2018-05-31 2018-05-31 Group 6 transition metal-containing compositions for vapor deposition of group 6 transition metal-containing films

Publications (2)

Publication Number Publication Date
TW202003534A TW202003534A (zh) 2020-01-16
TWI803641B true TWI803641B (zh) 2023-06-01

Family

ID=68694441

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108119025A TWI803641B (zh) 2018-05-31 2019-05-31 氣相沈積含第6族過渡金屬膜之含第6族過渡金屬組成物

Country Status (5)

Country Link
US (2) US11021793B2 (zh)
JP (1) JP7093853B2 (zh)
KR (1) KR102514672B1 (zh)
TW (1) TWI803641B (zh)
WO (1) WO2019232344A1 (zh)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW202020203A (zh) * 2018-07-26 2020-06-01 美商蘭姆研究公司 純金屬膜的沉積
TWI755689B (zh) * 2019-02-27 2022-02-21 美商恩特葛瑞斯股份有限公司 Vi族前驅化合物
KR20210015076A (ko) * 2019-07-31 2021-02-10 삼성전자주식회사 코발트 화합물, 이를 이용한 코발트 금속층의 선택적 형성 방법 및 반도체 소자의 제조 방법
US11821080B2 (en) 2020-03-05 2023-11-21 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Reagents to remove oxygen from metal oxyhalide precursors in thin film deposition processes
JP2023532983A (ja) * 2020-07-09 2023-08-01 インテグリス・インコーポレーテッド Vi族前駆体化合物

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4138448A (en) * 1977-12-05 1979-02-06 The B. F. Goodrich Company Process for preparing polymers of cyclopentadiene and bicycloheptene mixtures
US4195014A (en) * 1974-07-22 1980-03-25 Hooker Chemicals & Plastics Corp. Unsatured polyester resins having improved fire retardance
US6534431B1 (en) * 1990-01-16 2003-03-18 Fortum Oil And Gas Oy Process and apparatus for preparing heterogeneous catalysts

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3691144A (en) * 1967-05-03 1972-09-12 Ernest A Zuech Olefin polymerization using complexes of mo and w, containing no with organoaluminums
JPS6060121A (ja) 1983-09-12 1985-04-06 Polyplastics Co 改良されたポリアセタ−ル重合体の製造法
FI109770B (fi) 2001-03-16 2002-10-15 Asm Microchemistry Oy Menetelmä metallinitridiohutkalvojen valmistamiseksi
KR101283835B1 (ko) 2005-06-29 2013-07-08 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 3원 막의 증착 방법
WO2014140672A1 (en) 2013-03-15 2014-09-18 L'air Liquide, Societe Anonyme Pour I'etude Et I'exploitation Des Procedes Georges Claude Bis(alkylimido)-bis(alkylamido)molybdenum molecules for deposition of molybdenum-containing films
TW201606115A (zh) 2014-07-07 2016-02-16 液態空氣喬治斯克勞帝方法研究開發股份有限公司 用於薄膜沉積之含鉬及鎢之前驅物
TWI656232B (zh) 2014-08-14 2019-04-11 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 鉬組成物及其用於形成氧化鉬膜之用途
EP3082152B1 (en) * 2015-04-16 2023-07-19 Cornell University Monolayer films of semiconducting metal dichalcogenides, methods of making same, and uses of same
CN109072424A (zh) 2016-02-19 2018-12-21 默克专利股份有限公司 使用羰基钼前驱体沉积钼薄膜
US10062568B2 (en) 2016-05-13 2018-08-28 Nanoco Technologies, Ltd. Chemical vapor deposition method for fabricating two-dimensional materials
CN110088875B (zh) 2016-12-15 2023-09-01 应用材料公司 无成核的间隙填充ald工艺
JP6324609B1 (ja) 2017-06-21 2018-05-16 日本エア・リキード株式会社 固体材料容器およびその固体材料容器に固体材料が充填されている固体材料製品

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4195014A (en) * 1974-07-22 1980-03-25 Hooker Chemicals & Plastics Corp. Unsatured polyester resins having improved fire retardance
US4138448A (en) * 1977-12-05 1979-02-06 The B. F. Goodrich Company Process for preparing polymers of cyclopentadiene and bicycloheptene mixtures
US6534431B1 (en) * 1990-01-16 2003-03-18 Fortum Oil And Gas Oy Process and apparatus for preparing heterogeneous catalysts

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
期刊 Cátia M. Tomé, " Use of MoO2Cl2(DMF)2 as a precursor for molybdate promoted hydrolysis of phosphoester bonds", Dalton Transactions, 42, Royal Society of Chemistry, 17 December 2012, 3901-3907.;期刊 Klaus Dreisch, " Synthesis of MO2Cl2(N,N,N',N'-tetramethylethylenediamine) (M = Mo and W) and crystal structure of WO2Cl2(N,N,N',N'-tetramethylethylenediamine) —an unprecedented coordination geometry in the WO2Cl2 Core" Polehedron, 11, Elsevier, 1992, 2143-2150. *
期刊 Klaus Dreisch, " Synthesis of MO2Cl2(N,N,N',N'-tetramethylethylenediamine) (M = Mo and W) and crystal structure of WO2Cl2(N,N,N',N'-tetramethylethylenediamine) —an unprecedented coordination geometry in the WO2Cl2 Core" Polehedron, 11, Elsevier, 1992, 2143-2150.

Also Published As

Publication number Publication date
US20210246553A1 (en) 2021-08-12
US20190368039A1 (en) 2019-12-05
KR102514672B1 (ko) 2023-03-27
US11021793B2 (en) 2021-06-01
KR20210008854A (ko) 2021-01-25
JP7093853B2 (ja) 2022-06-30
JP2021523983A (ja) 2021-09-09
WO2019232344A1 (en) 2019-12-05
TW202003534A (zh) 2020-01-16

Similar Documents

Publication Publication Date Title
TWI803641B (zh) 氣相沈積含第6族過渡金屬膜之含第6族過渡金屬組成物
US9691771B2 (en) Vanadium-containing film forming compositions and vapor deposition of vanadium-containing films
KR102536435B1 (ko) 6족 전이 금속-함유 막의 증착용 6족 막 형성 조성물
KR102371411B1 (ko) 니오븀-함유 필름 형성 조성물 및 니오븀-함유 필름의 기상 증착
US10584039B2 (en) Titanium-containing film forming compositions for vapor deposition of titanium-containing films
US11168099B2 (en) Titanium-containing film forming compositions for vapor deposition of titanium-containing films
US9786671B2 (en) Niobium-containing film forming compositions and vapor deposition of niobium-containing films
US20160083405A1 (en) Tantalum- or vanadium-containing film forming compositions and vapor deposition of tantalum- or vanadium-containing films
EP3397790B1 (en) Cobalt-containing film forming compositions, their synthesis, and use in film deposition
US9790591B2 (en) Titanium-containing film forming compositions for vapor deposition of titanium-containing films
WO2017116667A1 (en) Iron-containing film forming compositions, their synthesis, and use in film deposition
KR20190042036A (ko) 4족 전이금속 함유막의 기상 증착을 위한 4족 전이금속 함유막 형성 조성물