KR20200010105A - 플라즈마 개질을 이용한 유전체 재료의 선택적 순환 건식 식각 공정 - Google Patents

플라즈마 개질을 이용한 유전체 재료의 선택적 순환 건식 식각 공정 Download PDF

Info

Publication number
KR20200010105A
KR20200010105A KR1020190086843A KR20190086843A KR20200010105A KR 20200010105 A KR20200010105 A KR 20200010105A KR 1020190086843 A KR1020190086843 A KR 1020190086843A KR 20190086843 A KR20190086843 A KR 20190086843A KR 20200010105 A KR20200010105 A KR 20200010105A
Authority
KR
South Korea
Prior art keywords
plasma
etching
carbon
layer
nitride
Prior art date
Application number
KR1020190086843A
Other languages
English (en)
Other versions
KR102503671B1 (ko
Inventor
레너 헨리퀴스 요제프 페르뷔르트
노부요시 고바야시
다카요시 츠츠미
마사루 호리
Original Assignee
에이에스엠 아이피 홀딩 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠 아이피 홀딩 비.브이. filed Critical 에이에스엠 아이피 홀딩 비.브이.
Publication of KR20200010105A publication Critical patent/KR20200010105A/ko
Application granted granted Critical
Publication of KR102503671B1 publication Critical patent/KR102503671B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00436Shaping materials, i.e. techniques for structuring the substrate or the layers on the substrate
    • B81C1/00555Achieving a desired geometry, i.e. controlling etch rates, anisotropy or selectivity
    • B81C1/00595Control etch selectivity
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0245Pretreatment of the material to be coated by cleaning or etching by etching with a plasma
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B31/00Diffusion or doping processes for single crystals or homogeneous polycrystalline material with defined structure; Apparatus therefor
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B33/00After-treatment of single crystals or homogeneous polycrystalline material with defined structure
    • C30B33/08Etching
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B33/00After-treatment of single crystals or homogeneous polycrystalline material with defined structure
    • C30B33/08Etching
    • C30B33/12Etching in gas atmosphere or plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Geometry (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

일부 구현예에서, 반응 챔버 내에서 화학 원자층 식각에 의해 기판의 제2 표면에 상대적인 기판의 제1 표면을 선택적 순환(선택적으로 건식) 식각하는 것은 제1 플라즈마를 사용하여 개질층을 형성하는 단계 및 개질층을 식각하는 단계를 포함한다. 제1 표면은 탄소 및/또는 질화물을 포함하고, 제2 표면은 탄소 및/또는 질화물을 포함하지 않는다.

Description

플라즈마 개질을 이용한 유전체 재료의 선택적 순환 건식 식각 공정{SELECTIVE CYCLIC DRY ETCHING PROCESS OF DIELECTRIC MATERIALS USING PLASMA MODIFICATION}
본 출원은 식각 공정에 관한 것으로, 보다 구체적으로는 탄소 함유(및/또는 질소 함유) 재료 대 탄소 비-함유(또는 질화물 비-함유) 재료의 선택적 식각 공정에 관한 것이다.
SiO2 및 SiN과 같은 유전체 재료는 상호연결, 확산 장벽 및 식각 하드 마스크의 층간 절연물로서 반도체에 사용되어 왔다. 종래의 식각 공정은 반응성 이온 식각(RIE)에 크게 의존한다. RIE는 높은 식각 속도로 인해 두꺼운 유전체(> 100 nm)의 식각에는 유리하지만, 얇은 유전체(< 100 nm)를 식각하는 경우에는 식각 선택도와 균일성의 정밀한 제어가 상대적으로 어렵다.
일부 구현예에서, 반응 챔버 내에서 화학 원자층 식각에 의해 기판의 제2 표면에 대해 상대적인 기판의 제1 표면을 선택적으로 순환 식각하는 것은 제1 플라즈마를 사용하여 변형층을 형성하는 단계 및 변형층을 식각하는 단계를 포함한다. 제1 표면은 탄소 및/또는 질화물을 포함하고, 제2 표면은 탄소 및/또는 질화물을 포함하지 않는다. 일부 구현예에서, 제1 표면은 산화물을 포함하고 제2 표면은 산화물을 포함하지 않는다.
일부 구현예에서, 탄소 비-함유(및/또는 질화물 비-함유) 재료와 비교하여 탄소(및/또는 질화물) 함유 재료를 선택적으로 균일하게 순환 식각하는 공정이 제공된다. 상기 방법은 탄소(및/또는 질화물)를 포함하는 제1 표면을 제공하는 단계; 탄소(및/또는 질화물)가 없는 제2 표면을 제공하는 단계; 및 제1과 제2 표면 모두를 a) 이온 및/또는 라디칼을 사용하는 플라즈마 보조 공정(plasma assisted process), 또는 b) 반응물 가스를 사용하는 열 반응(thermal reaction) 중 적어도 하나로 처리하여 플라즈마 개질층을 형성하는 단계를 포함한다. 상기 방법은, 제1 및 제2 표면 모두를 c) 불소(fluorine) 또는 염소(chlorine) 함유 플라즈마에 의해(또는 일반적으로는 할로겐 공급원에 의해) 개질층을 플라즈마 식각하는 단계, d) 수소 함유 플라즈마에 의해 개질층을 플라즈마 식각하는 단계, 및 e) 불소 또는 염소 함유 플라즈마에 의해 개질층을 열 식각하는 단계를 추가로 포함한다.
도 1은 개시된 기술의 일 구현예에 따라 기판을 가공하는 것을 예시하는 공정 흐름도이다.
도 2a~2c는 SiN, SiC 및 SiO를 H2 플라즈마에 노출시킨 후 SiO를 제외한 SiN 및 SiC 상에서 개질층이 형성되는 것을 예시하는 STEM 사진이다. 도 2의 구조는 SiC, SiN 및 SiO에 대해 H2 플라즈마를 노출시킨 결과이다. 도 2는 SiN 및 SiC의 개질을 도시한다. 도 9와 조합하면, 이는 플라즈마의 변경에 의해 SiN, SiC 및 SiO 간의 식각 선택도를 조정할 수 있다는 것을 나타낸다.
도 3은 H2 플라즈마 및 불소 라디칼을 사용하는 원자층 식각을 사용한 SiO, SiCOH, SiC 및 SiN의 식각 두께를 예시하는 그래프이다.
도 4a는 기판 바이어스의 변경이 사이클 당 식각 두께(EPC)에 미치는 효과를 예시하는 그래프이다.
도 4b는 산화물이 제거되기 전과 후에 표면 SF6 마이크로파 플라즈마 시간이 사이클 당 식각 두께(EPC)에 미치는 영향을 비교하는 그래프이다.
도 5a는 H2 플라즈마 노출 후 SiN 리세스 패턴 상에 개질층이 형성되는 것을 예시하는 STEM 사진이다.
도 5b는 도 5a의 고 대비 STEM 이미지이다.
도 5c는 개질층 두께를 인가된 바이어스의 함수로서 예시하는 그래프이다.
도 6a~6c는 2회의 사이클 후에, 플라즈마 개질 단계 동안 SiN에 인가된 바이어스가 식각 프로파일에 미치는 영향을 예시하는 STEM 사진이다.
도 7a는 EPC를 바이어스의 함수로서 예시하는 그래프이다.
도 7b는 식각 이방성(etch anisotropy)을 바이어스의 함수로서 예시하는 그래프이다.
도 8a는 식각 두께를 N2 플라즈마와 불소 라디칼이 도포되는 기간의 함수로서 예시하는 그래프이다.
도 8b는 SiN 및 SiC 간의 식각 선택도를 도시하는 그래프이다.
도 9a~9c는 N2 플라즈마에 노출시킨 후 SiN과 SiO를 제외한 SiC 상에서 개질층이 형성되는 것을 예시하는 STEM 사진이다. 도 9의 구조는 SiC에 대해 N2 플라즈마를 노출시킨 결과이다. 도 2와 조합하면, 이는 플라즈마의 변경에 의해 SiN, SiC 및 SiO 간의 식각 선택도를 조정할 수 있다는 것을 나타낸다.
도 10a~10i는 본 발명의 일 구현예에 따라 기판을 가공하는 방법을 예시하는 단면도이다.
도 11은 식각 두께에 대한 지침을 SF6마이크로파 플라즈마 노출 시간 및 바이어스의 함수로서 제공하는 그래프이다.
유전체를 반응성 이온 식각(RIE)하는 다양한 방법들이 존재한다. 그러나, 이러한 RIE 방법 중 다수는 식각 선택도와 균일성 제어가 제한적이며, 10 nm를 넘어서 확장될 수 있는 가능한 미래 기술 노드에는 불충분할 것이다. 또한, 전술한 바와 같이, RIE는 두꺼운 유전체의 식각에는 유리하지만, 얇은 유전체(< 100 nm)를 식각하는 경우에는 식각 선택도와 균일성의 정밀한 제어가 상대적으로 어렵다. 이는 SiC, SiCN, SiCO 및 SiCOH와 같은 탄소 함유 재료의 경우에 훨씬 더 어려우며, 현재로서는 이러한 문제를 해결하는 데 이용할 수 있는 적합한 원자층 식각 공정이 없다.
본원에서 제공되는 실시예들 중 일부는 다른 화학물질을 사용하고/하거나 개선된 공정 제어를 제공한다. 본원에서 제공되는 일부 구현예는 탄소(및/또는 질화물) 함유 재료 대 탄소가 없는 재료(또는 산화물 코팅을 포함하고/하거나 질화물이 없는 재료)를 대상으로 하는 원자층 식각을 위한 식각 선택도 방법을 제공한다. 일부 구현예는 산화물 함유 재료 대 산화물이 없는 재료를 대상으로 하는 원자층 식각을 위한 식각 선택도 방법을 제공한다.
일부 구현예에서, 막을 선택적으로 식각하는 방법이 제공된다. 막의 식각은 제2 표면과 비교해 제1 표면이 상대적으로 더 많이 식각되도록 수행된다. 이는 원자층 식각에 의해 반응 챔버 내에서 수행될 수 있다. 일부 구현예에서, 이는 제1 플라즈마를 사용하여 개질층을 형성한 다음 개질층을 식각함으로써 달성된다. 상기 방법은 하나 이상의 순환 건식 식각 공정을 포함할 수 있다. 선택도를 위해, 제1 표면은 탄소(및/또는 질화물)를 포함할 수 있고, 이에 반해 제2 표면은 탄소(및/또는 질화물)를 함유하지 않게 된다(예를 들어, 상대적으로 탄소가 없고/없거나 산화물 코팅을 포함하게 된다).
도 1은 기판을 가공하는 일부 구현예를 예시하는 흐름도이다. 막이 기판 상에 제공된다(100). 플라즈마 개질(102)이 기판 상에서(막 위에서) 수행된다. 기판(및 막)을 불소 플라즈마 식각(106) 처리하기 전에 반응물 가스를 퍼징시킨다(104). 반응물 가스가 퍼징된다(108). 이는, 막 표면의 제1 부분이 탄소(및/또는 질화물)를 함유하고 막 표면의 제2 부분이 탄소(및/또는 질화물)를 함유하지 않는 경우, 선택적 건식 식각(110)이 된다. 플라즈마 개질(102), 반응물 가스의 퍼징(104), 불소 플라즈마 식각(106), 및 반응물 가스의 퍼징(108)은 순환될 수 있다. 즉, 선택적 건식 식각(110)은 적어도 한 번 반복될 수 있고, 선택적으로는 복수의 횟수로 반복될 수 있다. 순환 식각은 원하는 층 두께가 얻어질 때까지 반복될 수 있다.
도 2a~2c는 개질층의 형성을 위해 SiN, SiC 및 SiO를 H2 플라즈마에 노출시킨 후, SiN, SiC 및 SiO 층을 예시하는 STEM 사진이다. 표면 산화물은 H2 플라즈마 이전에 제거되지 않았다. 표면 산화물은 CCP형 반응기에서 생성되는 SF6 플라즈마에 의해 제거된다. 30 nm의 두께를 갖는 이들 SiN, SiC 및 SiO 막은 300℃에서 PECVD에 의해 Si 기판 상에 증착된다. 도 2a는 SiN 상에 개질층이 형성되는 것을 도시한다. 도 2b는 SiC 상에 개질층이 형성되는 것을 도시한다. H2 플라즈마 개질은 2 Pa에서 100 W의 RF 전력을 사용해 수행된다. 표면 산화물이 H2 플라즈마 이전에 제거되지 않았기 때문에, 도 2b에서는 SiC 상단에 2개의 층을 볼 수 있다. 도 2c는 SiO 상에 개질층이 형성되지 않았음을 예시한다. 개질층은 불소 플라즈마 노출(또는 본원에서 제공되는 다른 식각 옵션 중 어느 하나)에 의해 제거될 수 있다.
도 3은 H2 플라즈마 및 불소 라디칼을 사용하는 원자층 식각을 사용한 SiO, SiCOH, SiC 및 SiN의 식각 두께를 예시하는 그래프이다. SiO, SiOCH, SiC, SiN 막은 PECVD 또는 ALD에 의해 Si 기판 상에 증착된다. 식각 두께는 도포된 SF6 마이크로파 플라즈마의 기간의 함수이다. 표면 산화물이 제거된 후, SiN, SiC 및 SiCOH는 상이한 화합물들 간의 선택도로 식각될 수 있다. 식각 두께는 SF6 마이크로파 플라즈마 시간의 함수로서 초기에 빠르게 증가하지만, 오랜 노출 시간에 걸쳐 점진적으로 포화되어 식각 공정의 자기 제한적 거동을 나타내는 것을 관찰할 수 있다.
재료들 간의 선택도는 H2 플라즈마 바이어스 전력, 압력 또는 전처리를 변경시킴으로써 조정 가능하다. SiN의 경우, 식각을 위해서는 표면 산화물의 제거가 필요하다. SiC의 경우, 표면 산화물의 제거는 필요하지 않다. 따라서, SiN과 SiC 간의 선택도는 사전 세척 처리를 하지 않음으로써 증가될 수 있다. 즉, 원자층 식각은 표면 산화물의 존재에 의해 크게 영향을 받는다. 따라서, 산소가 포함되면 식각을 막는 표면 산화물이 쉽게 형성되기 때문에, 개질 플라즈마 및 F 라디칼 식각 공정 중에는 산소 종이 플라즈마에 포함되는 것을 피해야 한다.
도 4a는 기판 바이어스의 변경이 사이클 당 식각 두께("EPC")에 미치는 효과를 예시하는 그래프이다. H2 바이어스 전력이 증가할 때, SiC의 EPC는 SiN의 EPC보다 더 크게 증가한다. 도 4b는 전처리의 변경이 EPC에 미치는 영향을 예시하는 그래프이다. SF6 마이크로파 플라즈마 기간이 증가하면, SiC의 EPC가 증가하고 점진적으로 포화된다. SiC의 경우, 표면 산화물이 제거될 때와 비교해 표면 산화물이 제거되지 않을 때의 EPC가 약간 더 높다. SF6 마이크로파 플라즈마 기간이 증가할 때, 표면 산화물이 존재하면 SiN의 EPC는 증가하지 않는다. SiN의 경우, 표면 산화물이 제거되지 않을 때와 비교해 표면 산화물이 제거될 때의 EPC가 더 높다. 표면 산화물이 제거되지 않는 경우, SiC의 EPC와 SiN의 EPC 간의 차이는 SF6 마이크로파 플라즈마 기간이 증가할 때 더 커지며, 이는 식각에 있어서 SiC와 SiN 간의 선택도에 도움이 될 수 있다. 바이어스 전력이 증가할 때, EPC는 상이한 재료에 대해 상이한 속도로 증가한다. 따라서, 바이어스 전력을 변경하는 것은 제1 표면과 제2 표면 간의 선택도가 더 커지는 것을 추가로 허용할 수 있다. 본원에서는, 플라즈마가 도포되는 시간이 증가할 때 EPC는 상이한 속도로 증가한다는 것이 추가로 고려된다. 일부 구현예에서, 선택적 식각은 단 2개보다 더 많은 표면들 간에 일어날 수 있다. 일부 구현예에서, 2, 3, 4, 5, 6개 또는 그 이상의 상이한 표면 모두가 상이한 선택도로 식각될 수 있다.
도 5a는 H2 플라즈마 개질로부터 SiN 리세스 패턴 상에 형성되는 개질층을 예시하는 STEM 사진이다. 20 nm 두께의 SiN 막이 300℃에서 PEALD에 의해 Si 리세스 패턴 상에 증착된다. 도 5b는 도 5a의 고 대비 이미지를 예시하는 STEM 사진이다. 도 5c는 인가된 바이어스의 함수로서 개질층 두께를 예시하는 그래프이다.
도 6a~6c는 2회의 ALE 사이클 후에, 플라즈마 개질 단계 동안 SiN에 인가된 바이어스가 식각 프로파일에 미치는 영향을 예시하는 STEM 사진이다. 도 6a는 2회의 ALE 사이클 후에 0 W의 바이어스가 인가될 때의 플라즈마 개질을 도시한다. 도 6b는 2회의 ALE 사이클 후에 10 W의 바이어스가 인가될 때의 플라즈마 개질을 도시한다. 도 6c는 2회의 ALE 사이클 후에 20 W의 바이어스가 인가될 때의 플라즈마 개질을 도시한다. 도 6a~6c의 전부에서, 산화물을 제거하는 전-세척 단계는 바이어스를 인가하기 전에 수행되었다. 일부 구현예에서, 원하는 경우, 식각에 앞서 초기 프로파일이 추가될 수 있다. 일부 구현예에서, 상단 표면 상의 두께는 바이어스의 증가와 함께 감소되는 반면, 측면 상의 두께는 영향을 덜 받는다.
도 7a는 리세스 패턴의 상이한 부분에 대한 플라즈마 개질 단계 동안의 바이어스의 함수로서 SiN의 EPC를 예시하는 그래프이다. 리세스 패턴의 평탄부는 사이클 당 가장 많이 식각되고, 리세스 패턴의 상단부는 사이클 당 두 번째로 많이 식각되고, 리세스 패턴의 하단부는 사이클 당 세 번째로 많이 식각되고, 좌측벽은 사이클 당 두 번째로 적게 식각되며, 우측벽은 사이클 당 가장 적게 식각된다. 도 7b는 SiN에 인가된 바이어스의 함수로서 식각 이방성(etch anisotropy)을 예시하는 그래프이다. H2 플라즈마 바이어스가 증가하면, 식각 이방성이 증가된다. 도 7a 및 도 7b 둘 다에서, 기판은 H2 플라즈마 바이어스로 처리되기 전에 표면 산화물을 제거하는 전-세척 단계를 거쳤다. 본원에서는, 바이어스가 인가될 때 EPC는 상이한 재료에 대해 상이하고, 바이어스가 증가할 때 EPC의 증가는 상이한 재료에 대해 상이한 것으로 고려된다.
도 8은 SiC의 식각 두께를 N2 플라즈마 처리 후 SF6 마이크로파 플라즈마의 기간의 함수로서 예시하는 그래프이다. N2 플라즈마 처리는 100 W, 2 Pa의 RF 전력으로 수행되거나, N2 플라즈마는 50 W, 2 Pa의 전력으로 수행되거나, N2 플라즈마는 25 W, 2 Pa의 전력으로 수행된다. N2 플라즈마 처리의 RF 전력이 증가하면, SiC의 식각 두께가 증가한다.
도 9a~9c는 N2 플라즈마에 노출시킨 후 SiN과 SiO를 제외한 SiC 상에서 개질층이 형성되는 것을 예시하는 STEM 사진이다. 도 9a는 SiN 상에 개질층이 형성되지 않았음을 예시한다. 도 9b는 SiC 상에 개질층이 형성되었음을 예시한다. 도 9c는 SiO 상에 개질층이 형성되었음을 예시한다. 표면 산화물은 개질 전에 제거되지 않았다. SiN에 대해서는 개질층이 관찰되지 않았기 때문에, SiC와 SiN 간의 식각 선택도는 N2 플라즈마 처리 후에 관찰될 수 있다. 개질층은 불소 플라즈마에 노출시킴으로써 제거될 수 있다.
본원에서 언급된 바와 같이, SiC가 N2 플라즈마 또는 H2 플라즈마에 노출되면, SiC 상에 개질층이 형성된다. SiO가 N2 및 H2 플라즈마에 노출될 때 SiO 상에는 개질층이 형성되지 않는다. SiN이 H2 플라즈마에 노출되지만, N2 플라즈마에는 노출되지 않을 때 SiN 상에 개질층이 형성된다. 본원에서는, 플라즈마의 공급원을 변경하는 것에 의해 두 재료 간의 식각 선택도가 영향을 받을 수 있는 것으로 고려된다. 또한, 본원에서는, 두 재료 간의 식각 선택도가 산화물층을 제거하는 것과 같은 전-세척 단계를 추가하는 것에 의해서도 영향을 받을 수 있는 것으로 고려된다.
도 10a~10i는 본 발명의 일 구현예에 따라 자기 정렬 스페이서 및 블록 패터닝으로 기판을 가공하는 방법을 예시하는 리세스 패턴의 단면도이다 도 10a에 도시된 바와 같이, Al2O3의 층(202)이 열 ALD에 의해 기판(200) 상에 증착된다. 도 10b에 도시된 바와 같이, SiO2 층(204)이 PEALD에 의해 Al2O3 층(202)에 증착된다. 도 10c에 도시된 바와 같이, SiO2 층(204)은 종래의 ArF 액침 노광(immersion lithography) 및 원자층 식각에 의해 패터닝되어 SiO2 패턴(206)을 형성한다. Al2O3(202)은 SiO2의 원자층 식각에 있어서 식각 정지층(etching stop layer)으로 작용한다.
도 10d에서, 패터닝된 SiO2(206) 상에 SiN 층(208)이 플라즈마 강화 원자층 증착(PEALD)에 의해 균일한 두께로 증착된다. 패터닝된 SiO2(206)은 기판(200)의 상단에 놓인 Al2O3 층(202)의 상단에 놓인다. 도 10e에서, SiN 층(208)에 대해 H2 플라즈마 개질과 F 라디칼 식각을 동반하는 주기적 건식 식각이 스페이서 패터닝을 사용해 수행되어 패터닝된 SiN 층(210)을 남긴다. 패터닝된 SiN 층(210)은 기판(200)의 상단에 놓인 Al2O3 층(202)의 상단에 놓인다. 도 10f에서, 갭-충진을 위한 CVD에 의해 SiC(212)가 SiN 패턴(210) 주위의 증착된다. 편평한 영역에 있는 SiC(212)는 화학적 기계 연마(Chemical Mechanical Polishing: CMP)에 의해 제거되어 SiC 갭 충진부를 형성한다. SiC 갭 충진부(212), 패터닝된 SiN 층 및 패터닝된 SiO2(206)는 기판(200)의 상단에 놓인 Al2O3 층(202)의 상단에 놓인다.
도 10g에서, 종래의 ArF 액침 노광에 의해 블록 패터닝이 이루어지고, H2 플라즈마 개질 및 F 라디칼 식각을 동반한 순환 식각에 의해 SiC가 제거되어 갭(214)이 노출된다. 갭은 기판(200) 상단에 놓인 Al2O3 층(202)의 상단에 놓인다. 도 10h에서, ALD에 의해 ZnO(216)가 증착되며, 평면 영역 내에 있는 ZnO(216)는 CMP에 의해 제거되어 ZnO 갭(214)이 형성된다. ZnO(216)는 기판(200) 상단에 놓인 Al2O3 층(202)의 상단에 놓인다. 도 10i에서, 원자층 식각에 의해 SiO2(206)와 SiC(212)가 제거되는데, SiN(206), ZrO(216), Al203(202)은 높은 선택도로 인해 식각되지 않는다. 그 결과 패터닝된 SiN 층(210)과 ZnO(216)은 기판(200)의 상단에 놓인 Al2O3 층(202)의 상단에 놓인다. 기판은 일반적으로 Si, SiO2, SiCN, SiOC, SiOCH와 같은 Si 함유 기판을 포함한다. 이러한 막을 하드 마스크로서 사용하는 원자층 식각을 사용함으로써, 기판은 SADP(자기-정렬 이중 패턴) 및 SAB(자기-정렬 블록) 공정으로서 패터닝된다. 이러한 구현예에서, H2 플라즈마 개질 및 F 또는 Cl 라디칼을 사용하는 원자층 식각은 높은 식각도로 Al2O3, SiO2, SiN, SiC, 및 ZrO와 같은 다양한 종류의 유전체 중에서 사용된다.
일부 구현예에서, 제1 플라즈마는 수소 함유 플라즈마일 수 있다. 예를 들어, 수소 함유 플라즈마는 H2, NH3, H2/Ar, 또는 H2/N2를 포함한다. 일부 구현예에서, (개질층 생성용) 제1 플라즈마는 수소, 헬륨 및/또는 질화물 함유 플라즈마일 수 있다. 일부 구현예에서, 개질층의 플라즈마 식각은 불소 또는 염소 함유 플라즈마 또는 불소 또는 염소 함유 가스에 의해 달성될 수 있다.
일부 구현예에서, 개질층의 후속 식각은 불소 또는 염소 함유 가스에 의한 열 식각(thermal etch)을 포함할 수 있다. 예를 들어, 불소 함유 가스는 XeF2 또는 HF를 포함할 수 있다. 개질층의 식각은 불소를 함유하는 플라즈마를 사용하는 방법에 의해 일어날 수도 있다. 예를 들어, 불소 함유 가스는 SF6 또는 NF3을 포함할 수 있고, 염소 함유 플라즈마는 BCl3 또는 SOCl2를 포함할 수 있다. 불소 및 염소 함유 플라즈마는 마이크로파 플라즈마 공급원에 의해 생성될 수 있다.
상기 언급된 식각 공정에 의해 제1 표면과 제2 표면 사이에서 높은 선택도가 나타날 수 있다. 예를 들어, 제1 표면이 탄소(및/또는 질화물)를 포함하고 제2 표면이 탄소(및/또는 질화물)를 포함하지 않는 경우, 건식 식각 공정에서는 탄소 함유(및/또는 질화물 함유) 제1 표면과 탄소 비-함유(및/또는 질화물 비-함유) 제2 표면 간에 높은 선택도가 나타날 수 있다.
탄소 함유(및/또는 질화물 함유) 재료는 실리콘을 추가로 포함할 수 있다. 예를 들어, 탄소 및 실리콘 함유 재료는 SiC, SiCN, 또는 SiCOH를 포함할 수 있다. 탄소 함유(및/또는 질화물 함유) 재료는 금속을 추가로 포함할 수 있다. 일부 구현예에서, 식각의 정도 또한 상이한 탄소(및/또는 질화물) 함유 재료들 간에 선택적일 수 있다. 즉, 예를 들어, 제1 표면은 제1 탄소(및/또는 질화물) 함유 재료일 수 있고, 제2 표면은 상이한 탄소(및/또는 질화물) 함유 재료일 수 있다. 일부 구현예에서, 제1 표면은 SiN 또는 SiC이고, 제2 표면은 예를 들어, SiCOH이다. 일부 구현예에서, 제1 표면은 SiCOH 또는 SiC이고, 제2 표면은 SiO이다. 일부 구현예에서, 탄소(및/또는 질화물) 함유 표면은 금속을 포함할 수도 있다. 일부 구현예에서, 탄소(및/또는 질화물) 및 금속 함유 재료는 TiC, HfC, WC, 또는 MoC를 포함할 수 있다. 일부 구현예에서, 탄소(및/또는 질화물) 및 금속 함유 재료는 F 플라즈마와 조합하여 H2 플라즈마를 사용하기 위해 TiN, HfN 등을 포함할 수 있다. 일부 구현예에서, 재료는 금속 불화물이 휘발성인 한 식각될 수 있다. 일부 구현예에서, 제3 반응 단계가 사용될 수 있다. 예를 들어, Ar 이온 충돌에 의해 표면 불화물을 제거하는 것, 또는 휘발성 산물을 생성하는 또 다른 화학물질과의 반응이 사용될 수 있다.
일부 구현예에서, 제2 표면(예를 들어, 탄소 및/또는 질화물 비 함유 표면)은 산화물, 또는 질화물, 또는 산화물과 질화물의 조합을 함유하는 재료를 포함할 수 있다. 예를 들어, 산화물 함유 재료는 산화티타늄, 산화하프늄, 산화텅스텐, 또는 산화몰리브데넘을 포함할 수 있다.
일부 구현예에서, 임의의 바람직한 수준의 선택도는 2개의 표면에 대해 바람직한 상대적 조성물을 선택함으로써 달성될 수 있다. 선택도는 [(제1 표면 상에서의 식각)-(제2 표면 상에서의 식각)]/(제1 표면 상에서의 식각)에 의해 계산되는 백분율로서 표현될 수 있다. 예를 들어, 탄소 비-함유(및/또는 질화물 비-함유) 제2 표면에 대해 상대적인 탄소(및/또는 질화물) 함유 제1 표면의 선택도는 [탄소 함유 제1 표면 상에서의 식각 - 탄소 비-함유(및/또는 질화물 비-함유) 제2 표면 상에서의 식각]/탄소(및/또는 질화물) 함유 제1 표면 상에서의 식각으로 표현될 수 있다. 일부 구현예에서, EPC는 제1 표면 상에서의 식각 또는 제2 표면 상에서의 식각에 대한 척도로서 사용될 수 있다.
제2 표면에 대해 상대적인 제1 표면을 선택적으로 식각하는 것은 선택도가 바람직하게는 50%, 55%, 60%, 65%, 70%, 75%, 80%, 90%를 초과한다는 것을 의미하는 것일 수 있고, 일부 경우에는 선택도가 95%를 초과하거나 심지어 98%를 초과한다는 것을 의미하는 것일 수 있다. 일부 구현예에서, 선택도는 99%, 99.9%, 99.99% 또는 더 높을 수도 있다. 일부 구현예에서, 제1 표면이 식각되는 것을 확인할 수 있지만, 제2 표면의 경우에는 검출 가능하거나 통계학적으로 유의한 식각이 관찰되지 않을 수 있다.
일부 구현예에서, 순환 건식 식각(또는 습식 식각) 공정은 질화물을 함유하는 플라즈마로 기판을 개질시키는 단계를 포함할 수 있다. 예를 들어, 질화물 함유 플라즈마는 N2, 또는 N2/Ar을 포함할 수 있다. 순환 건식 식각 공정은 2회 이상 반복될 수 있다.
일부 구현예에서, 본원에 개시된 원자층 식각("ALE") 방법은, 플라즈마 식각 공정과 대조되는 열 식각 공정이다. 따라서, ALE 식각 사이클에는 플라즈마 반응물을 사용할 필요가 없다. 플라즈마 반응물을 사용하는 공정과 차별화하기 위해 열 ALE 공정으로 지칭하였지만, 일부 구현예에서, ALE 반응은 제로 활성 에너지를 가질 수 있고, 따라서 임의의 추가적인 열 에너지가 필요하지 않을 수 있다. 따라서, 플라즈마 반응물을 사용하지 않는 이러한 반응 또한 본원에서 화학 식각 공정으로 지칭될 수 있다. 열적 ALE 방법은 하부 기판에 손상을 덜 줄 수 있기 때문에, 상황에 따라 열적 ALE 방법이 플라즈마 ALE 방법보다 더 바람직할 수 있다. 또한, 열 ALE 방법은 비가시선(NLOS) 특성의 등방성 식각을 가능하게 한다. 따라서, 본원에서 제공된 모든 플라즈마 방법은 열 ALE 방법으로 교체될 수도 있다.
일부 구현예에서, 각각의 식각 공정 이후에, 과량의 반응물 및 임의의 반응 부산물이 기판 표면의 근방으로부터 제거된다. 과량의 반응물 및 임의의 반응 부산물은 퍼지 가스 및/또는 진공의 도움을 받아 기판 또는 기판 표면의 근방으로부터 제거될 수 있다. 일부 구현예에서, 과량의 반응물 및/또는 반응 부산물은, 예를 들어 불활성 가스로 퍼징함으로써 반응 공간으로부터 제거된다. 일부 구현예에서, 기판의 근방 또는 기판 표면으로부터 반응물 및/또는 반응 부산물의 제거를 용이하게 하기 위해 기판은, 예를 들어, 다른 반응 챔버로 기판을 옮김으로써 이동될 수 있다.
일부 구현예에서, 반응물 가스는 플라즈마 개질 후에 퍼징되고, 순환 건식 식각 공정 동안 불소 플라즈마 식각 후에 퍼징된다. 일부 구현예에서, 반응물 가스는 플라즈마 개질 후에 퍼징된다. 일부 구현예에서, 반응물 가스는 불소 플라즈마 식각 후에 퍼징된다. 일부 구현예에서, 반응물 가스의 퍼징은 순환 건식 식각 공정 동안 복수의 횟수로 반복된다.
일부 구현예에서, 식각 공정의 층 덮힘성(conformality)은 매우 양호할 수 있고, 재료는 삼차원 구조의 모든 표면으로부터 균일하게 제거될 수 있다. 일부 구현예에서, 수직 방향으로 식각의 층 덮힘성은 약 90%보다 크고, 수직 방향으로 식각의 층 덮힘성은 약 92%보다 크다. 일부 구현예에서, 수직 개구에서 식각의 층 덮힘성은 약 50% 또는 그 이상, 약 75% 또는 그 이상, 약 85% 또는 그 이상, 약 90% 또는 그 이상, 약 95% 또는 그 이상, 약 98% 또는 그 이상, 약 99% 또는 그 이상, 및 약 100%까지이다. 일부 구현예에서, (예를 들어, 수직 개구로부터) 수평으로 연장된 개구에서 식각의 층 덮힘성은 약 50% 또는 그 이상, 약 75% 또는 그 이상, 약 85% 또는 그 이상, 약 90% 또는 그 이상, 약 95% 또는 그 이상, 약 98% 또는 그 이상, 약 99% 또는 그 이상, 및 약 100%까지이다. 일부 구현예에서, 수평 또는 측면 캐비티와 같은 3D 구조에 대한 층 덮힘성은 50% 초과, 80% 초과, 또는 심지어 90% 초과, 또는 심지어 99% 초과 및 심지어 약 100%까지일 수 있다. 일부 구현예에서, 층 덮힘성은 약 100%일 수 있다.
일부 구현예에서, 식각될 재료를 포함하는 기판, 예컨대 반도체 작업 대상물 등은 반응 공간 또는 반응기 내에 로딩된다. 일부 구현예에서, 반응기는 집적 회로의 형성에 있어 다양한 상이한 공정이 수행되는 클러스터 툴의 일부일 수 있다. 일부 구현예에서, 유동형 반응기가 사용된다. 일부 구현예에서, 교차-유동 반응기가 사용된다. 일부 구현예에서, 샤워헤드형 반응기가 사용된다. 일부 구현예에서, 공간 분할 반응기가 사용된다. 일부 구현예에서, 대량 생산이 가능한 단일 웨이퍼 원자층 증착 반응기가 사용된다. 일부 구현예에서, 다중 기판을 포함하는 회분식(batch) 반응기가 사용된다. 일부 구현예에서, 웨이퍼 척(chuck)은 편향된다. 일부 구현예에서, 사용된 플라즈마는 인 시튜(in situ) 플라즈마이다. 일부 구현예에서, 식각은 스페이서-식각(spacer-etching)이고 양방향성이다.
사용될 수 있는 적합한 반응기의 예는 상업적으로 이용 가능한 장비들, 예컨대 ASM America Inc.(피닉스, 애리조나) 및 ASM Europe B.V.(알메러, 네덜란드)의 F-120® 반응기, F-450® 반응기, Pulsar® 반응기(예컨대, Pulsar® 2000 및 Pulsar® 3000), EmerALD® 반응기 및 Advance® 400 시리즈를 포함한다. 다른 상업적으로 이용 가능한 반응기는 Eagle® XP 및 XP8의 상표명을 가진 ASM Japan K.K.(일본, 동경)사의 제품들을 포함한다. 일부 구현예에서, 반응기는 식각 반응기이다.
일부 구현예에서, 필요한 경우, 작업 대상물의 노출 표면은 ALE 공정의 제1 단계와 반응하기 위한 반응성 부위를 제공하기 위해 전처리될 수 있다. 일부 구현예에서는 별도의 전처리 단계가 요구되지 않는다. 일부 구현예에서, 기판은 요구되는 표면 말단을 제공하기 위해 전처리된다. 일부 구현예에서, 기판은 플라즈마로 전처리된다.
일부 구현예에서, ALE는 식각될 재료의 증착 온도 아래에서 수행된다. 플라즈마 ALE의 경우, 열 식각을 피해야 하므로 저온이 더 낫다. 일부 구현예에서, ALE 사이클은 약 20℃ 내지 약 1200℃, 약 50℃ 내지 약 800℃, 약 75℃ 내지 약 600℃, 약 300℃ 내지 약 500℃, 또는 약 350℃ 내지 약 450℃ 범위의 온도에서 수행될 수 있다. 일부 구현예에서, 온도는 약 20℃, 50℃ 또는 100℃보다 높되, 약 1000℃, 800℃, 600℃ 또는 500℃ 미만이다. 일부 구현예에서, 사이클은 약 450℃의 온도에서 수행된다.
반응 챔버 내 압력은 일반적으로 약 10E-9 토르(torr) 내지 약 760 토르, 또는 약 0.001 토르 내지 약 100 토르이다. 그러나, 경우에 따라 압력은 주어진 특정 상황에서 당업자에 의해 결정될 수 있는 바와 같이, 이 범위보다 높거나 낮을 수 있다. 일부 구현예에서, 2 토르 미만의 압력이 사용된다. 경우에 따라 반응기는 (열벽과 같은) 등온 조건이나 (냉벽과 같은) 비-등온 조건에서 작동될 수 있다. 일부 구현예에서, 반응기 자체는 식각용 화학약품들과 반응하지 않으며, 기판과도 반응하지 않을 수 있다. 일부 경우에, 반응기는 열벽형, 냉벽형, 또는 온벽형의 반응 챔버를 포함할 수 있다.
일부 구현예에서, ALE 공정은 사이클당 약 0.01 Å 내지 약 5 Å의 평균 식각 속도를 갖는다. 식각 속도는 각각의 사이클 이후 제거되는 재료의 양 또는 막의 두께로서 정의된다. 일부 구현예에서, 식각 속도는 도면에 의해 표시된 바와 같이 조정될 수 있다. 실용상의 이유로, 식각 속도는 1회의 식각 사이클 이후, 2회를 초과하는 식각 사이클 이후, 또는 5회를 초과하는 식각 사이클 이후, 또는 심지어 20회를 초과하거나, 때로는 50회를 초과하는 사이클 이후에 산출될 수 있다. 일부 구현예에서, 사이클 당 제거된 재료의 양이 일정하지 않은 경우에도, 시간의 경과에 따라 동일하다. 일부 구현예에서, 평균 식각 속도는 사이클당 약 0.05 Å 내지 5 Å, 또는 사이클당 0.1 Å 내지 약 3 Å, 또는 경우에 따라 심지어 사이클당 3 Å보다 더 높다.
플라즈마 ALE의 경우, 식각 속도는 이온 에너지에 의해 조정될 수 있다(예를 들어, 도 5c 및 도 7a 참조).
일부 구현예에서, 식각 선택도, 즉 표면이나 재료 또는 원하지 않는 표면이나 재료로부터 제거된 재료에 대한 원하는 표면이나 재료로부터 제거된 재료(두께, 질량 또는 원자량이나 분자량)의 비율은 약 2:1 초과, 약 3:1 초과, 약 5:1 초과, 약 7:1 초과, 약 10:1 초과, 약 15:1 초과, 약 20:1 초과, 약 30:1 초과, 약 50:1 초과, 약 100:1 초과, 약 1000:1 초과, 약 10,000:1 초과이거나, 검출 불가능한 식각량에 대한 검출 가능한 식각량이다. 일부 구현예에서, 실질적인 양의 재료가 원하지 않는 표면이나 재료로부터 제거되지 않는다. 일부 구현예에서, 원하는 제거 대상 표면은 탄소 및/또는 SiN을 포함한다(그의 산화된 표면이 제거되었음). 일부 구현예에서, 원하지 않는 표면은 탄소, SiO, 산화물 또는 SiN이 없다(산화물층을 가짐).
일부 구현예에서, 제1 또는 제2 반응물의 유량은 2 sccm 이상일 수 있거나, 10 sccm 이상일 수 있거나, 때로는 심지어 50 sccm보더 높거나, 100 sccm보다 높거나 500 sccm보다 높을 수 있다. 일부 구현예에서, 제2 반응물은 간헐적으로 흐른 반면, 제1 반응물은 반응 챔버 내로 연속적으로 흐를 수 있다.
일부 구현예에서, 순환 식각 조건은, 100 MHz, 100 W, 100 sccm, 2 Pa에서의 제1 절반 사이클 CCP H2 플라즈마 개질(60초 노출); 2.45 GHz, 50 W, 50 sccm, 2 Pa에서의 제2 절반 사이클 마이크로파 SF6 플라즈마(라디칼 단독)를 포함할 수 있으며, 이들은 펌핑이나 퍼징에 의해 분리될 수 있고, 기판 온도는 25℃이다.
일부 구현예에서, 플라즈마 개질 공정은 30~500초 동안(예를 들어, 30, 60, 90, 100, 200, 300, 400, 500초 또는 그 이상)일 수 있다. 일부 구현예에서, 이는 시스템 의존적 파라미터로서의 이온 에너지와 반응기 설계에 따라 달라질 수 있다. 일부 구현예에서, 플라즈마 개질 공정은 5~30 MHz(예를 들어, 5, 10, 15, 20, 25 또는 30 MHz)에서 이뤄질 수 있다. 일부 구현예에서, 플라즈마 개질 공정은 50~200 W(예를 들어, 50, 60, 70, 80, 90, 100, 110, 120, 130, 140, 150, 160, 170, 180, 190 W 또는 그 이상)에서 이뤄질 수 있다. 일부 구현예에서, 플라즈마 개질 공정은 50~200 sccm(예를 들어, 50, 60, 70, 80, 90, 100, 110, 120, 130, 140, 150, 160, 170, 180, 190, 200 sccm 또는 그 이상)에서 이뤄질 수 있다. 일부 구현예에서, 플라즈마 개질 공정은 0.1 내지 10 Pa(예를 들어, 0.1, 0.2, 0.5, 1, 2, 3, 4, 5, 6 Pa 또는 그 이상)에서 이뤄질 수 있다.
일부 구현예에서, 식각에 의해 개질층이 제거되는 제2 공정은 1~6 GHz(예를 들어, 1, 2, 3, 4, 5, 또는 6 GHz)에서 이뤄질 수 있다. 일부 구현예에서, 식각에 의해 개질층이 제거되는 제2 공정은 10~100 W(예를 들어, 10, 20, 30, 40, 50, 60, 70, 80, 90, 또는 100 W)에서 이뤄질 수 있다. 일부 구현예에서, 식각에 의해 개질층이 제거되는 제2 공정은 10~100 sccm(예를 들어, 10, 20, 30, 40, 50, 60, 70, 80, 90, 또는 100 sccm)에서 이뤄질 수 있다. 일부 구현예에서, 식각에 의해 개질층이 제거되는 제2 공정은 0.1~10 Pa(예를 들어, 0.1, 0.2, 0.5, 1, 2, 3, 4, 5, 6 Pa 또는 그 이상)에서 이뤄질 수 있다.
일부 구현예에서, 탄소(및/또는 질화물) 함유 재료의 고도로 선택적이고 균일한 순환(선택적으로는 건식) 식각 공정이 제공된다. 상기 공정은 a) 이온 및/또는 라디칼을 사용하는 플라즈마 보조 공정, 및/또는 b) 반응물 가스를 사용하는 열 반응 중 적어도 하나로 하나 이상의 표면을 처리하는 단계를 포함한다. 상기 공정은, c) 불소 함유 플라즈마에 의해 개질층을 플라즈마 식각하는 단계(선택적으로는 반복된 사이클로 식각하는 단계), 및/또는 d) 수소 함유 플라즈마에 의해 개질층을 반복된 사이클로 처리하는 단계(선택적으로는 반복된 사이클로 처리하는 단계), 및/또는 e) 불소 함유 가스에 의해 개질층을 열 식각하는 단계(선택적으로는 반복된 사이클로 식각하는 단계) 중 적어도 하나를 포함한다. 일부 구현예에서, 건식 식각 공정은 탄소(및/또는 질화물) 함유 재료(식각 대상)와 탄소(및/또는 질화물) 비 함유 재료(예: 산화물 및 질화물) 간에 높은 선택도를 제공하는 순환 건식 식각 공정을 포함한다.
일부 구현예에서, 본원에 기술된 개질층은 플라즈마 처리에 의해 형성된다. 일부 구현예에서, 개질층은 이온 및/또는 라디칼을 사용하는 플라즈마 보조 공정 및/또는 반응물 가스를 사용하는 열 반응을 통해 형성된다.
상기 설명에서, 특정 구현예를 참조하여 본 발명을 예시하였지만, 본 발명은 이에 의해 한정되지 않는다. 실제로, 본 발명에 도시되고 기재된 것 이외에, 본 발명의 다양한 변형은 상기 설명으로부터 당업자에게 명백해질 것이고, 첨부된 청구범위 내에 포함될 것이다. 본 발명 인용된 모든 간행물, 특허 및 특허 출원은 각각의 개별 간행물, 특허 또는 특허 출원이 구체적 그리고 개별적으로 참고로 인용될 수 있는 것과 동일한 정도로 모든 목적을 위해 그 전체가 본 발명에 인용된다. 본 발명의 더 상세한 내용은 이하의 비제한적인 실시예에서 제공된다.
실시예 1
일부 구현예에서, 제1 SiC 표면 및 제2 SiO 표면을 포함하는 막은 우선 SiC 표면 상에 개질층이 형성되도록 H 또는 N 함유 플라즈마에 표면을 노광시킴으로써 의해 건식 식각된다. 개질층은 SiC 상에 형성되지만 SiO 상에는 형성되지 않는다. 개질층은 XeF2 또는 NbF5 가스에 의한 열 식각에 의해 식각된다. 10 사이클의 원자층 식각 후에는, SiN에 비해 SiC의 식각된 두께에 높은 선택비가 존재한다.
실시예 2
일부 구현예에서, 제1 SiCN 표면 및 제2 SiN 표면을 포함하는 막은 H2 또는 NH3 플라즈마를 사용해 개질층을 형성함으로써 건식 식각된다. 개질층은 XeF2 가스에 의한 열 식각에 의해 식각된다. 개질층은 SiCN 및 SiN 상에 형성된다. 20 사이클의 원자층 식각 후에는, SiN에 비해 SiCN의 식각된 두께에 높은 선택비가 존재한다.
실시예 3
SiN ALD층(두께 30 nm 이하), SiO ALD층(두께 25 nm 이하), SiC PECVD(두께 40 nm 이하), 및 SiCOH PECVD(두께 200 nm 이하)로 이루어진 한 조의 시작층을 사용하여, 다음의 조건 하에서 이들 층을 H2 플라즈마 처리 및 SF6 플라즈마 식각 과정을 거치게 한다: a) 100 MHz, 100 W, 100 sccm, 2 Pa에서 제1 절반 사이클의 CCP H2 플라즈마 개질(60초 노출); b) 2.45 GHz, 50 W, 50 sccm, 2 Pa에서 제2 절반 사이클의 마이크로파 SF6 플라즈마(라디칼 단독)(여기서, a와 b는 펌핑/퍼징 단계에 의해 분리되고, 기판 온도는 25℃임). 층들 간의 선택적 식각을 입증하는 결과는 도 3에 도시되어 있다. 식각 공정의 자기 제한적 거동도 F 라디칼 노출의 함수로서 도 3에서 관찰할 수 있다. SiN층의 경우, 식각을 위해서는 표면 산화물의 제거가 필요했다.
이러한 층으로부터 표면 산화물을 제거하지 않음으로써, SiN 및 다른 탄소(및/또는 질소) 함유 층들 간의 식각의 선택도를 향상시키는 것도 가능하다.
실시예 4
약 30 nm 두께의 SiN(ALD)의 시작층을 식각하였다. 공정 조건은, a) 100 MHz, 100 W, 100 sccm, 2 Pa에서 바이어스가 2 MHz, 0~20 W인 제1 절반 사이클 CCP H2 플라즈마 개질(60초 노출), 및 b) 2.45 GHz, 50 W, 50 sccm, 2 Pa에서의 제2 절반 사이클 마이크로파 SF6 플라즈마(라디칼 단독)이었다. a와 b는 펌핑/퍼징 단계에 의해 분리하였고, 기판 온도는 25℃였다.
결과가 도 4a~5c에 표시되어 있다. 도 4a에 도시된 바와 같이, H2 바이어스 전력을 변경한 결과 SiC 및 SiN 층 간의 상대적 식각이 변경되었다. 도 4b에 도시된 바와 같이, (표면 산화물을 제거하기 위한) 전처리를 사용한 결과 SiN이 식각되었다(전처리가 없는 경우 유의하게 식각되지 않았음). H2 플라즈마 처리에 의해 생성된 개질층은 도 5b에 도시되어 있다(검은색 윤곽선). 또한, 도 5c에 도시된 바와 같이, 개질층의 두께는 인가된 바이어스의 함수이다(도 5c). 바이어스 포화에 대한 추가 데이터는 도 11에도 도시되어 있다. 도 11은 식각된 두께를 SF6 마이크로파 플라즈마 노출 시간의 함수로써 나타낸다. H2 플라즈마 절반 사이클 동안, 0 내지 20 W 범위의 기판 바이어스를 인가하였다. SF6 단독 샘플의 경우 H2 플라즈마를 도포하지 않았는데, 이는 개질층이 형성되지 않으면 SiN의 식각이 제한됨을 보여준다.
실시예 5
약 40 nm 두께의 SiC(PECVD)의 시작층을 식각하였다. 공정 조건은, A) 100 MHz, 25~100 W, 100 sccm, 2~7 Pa에서의 제1 절반 사이클 CCP H2 플라즈마 개질(120초 노출), 및 B) 2.45 GHz, 50 W, 50 sccm, 2 Pa에서의 제2 절반 사이클 마이크로파 SF6 플라즈마(라디칼 단독)이었다. A와 B는 펌핑/퍼징 단계에 의해 분리하였고, 기판 온도는 25℃였다. 결과는 도 8a 및 8b에 도시되어 있다. 도 8a는 사이클당 식각의 두께를 플라즈마 변형 단계 동안 바이어스의 함수로서 도시한다. 도 8b는 N2 플라즈마를 사용할 때 SiC 와 SiN 간의 식각 선택도를 보여주는 그래프이다. 도 8b의 경우, N2 플라즈마(100 W, 100 MHz, 2 Pa)+SF6 MW(50 W, 2 MHz, 2 Pa)를 사용하였다.
본 출원 전체에 거쳐, 단수형을 사용하는 것은 달리 명시적으로 언급되지 않는 한 복수형을 포함한다. 본 출원에서, "또는(or)"을 사용하는 것은 달리 명시적으로 언급되지 않는 한 "및/또는(and/or)"을 포함한다. 또한, 용어 "포함하다(include)", "포함하는(including)" 및 "포함된(included)"은 한정적인 것은 아니다.

Claims (26)

  1. 화학적 원자층 식각에 의해 반응 챔버 내에서 기판의 제2 표면에 대해 상대적인 상기 기판의 제1 표면 상의 막을 선택적으로 식각하는 방법으로서, 상기 방법은 하나 이상의 순환 식각 공정을 포함하고, 상기 순환 식각 공정은:
    제1 플라즈마를 사용하여 개질층을 형성하는 단계; 및
    할로겐 함유 가스로 상기 개질층을 식각하는 단계를 포함하는, 방법.
  2. 제1항에 있어서, 상기 제1 표면은 탄소를 포함하고 상기 제2 표면은 탄소를 포함하지 않는, 방법.
  3. 제1항에 있어서, 상기 제1 표면은 질화물을 포함하고 상기 제2 표면은 질화물을 포함하지 않는, 방법.
  4. 제1항에 있어서, 산소를 포함하지 않는 불소 또는 염소 함유 플라즈마를 포함하는, 방법.
  5. 제1항에 있어서, 상기 제1 플라즈마는 수소 함유 플라즈마인, 방법.
  6. 제1항에 있어서, 상기 개질층을 식각하는 단계는 수소 또는 질소 함유 가스를 포함하는 방법.
  7. 제1항에 있어서, 상기 개질층을 식각하는 단계는 플라즈마를 사용하는, 방법.
  8. 제1항에 있어서, 상기 건식 식각 공정에 의해 탄소를 함유하는 제1 표면과 탄소를 함유하지 않는 제2 표면 간에 높은 선택도가 나타나는, 방법.
  9. 제1항에 있어서, 상기 순환 건식 식각 공정은 헬륨을 포함하는 플라즈마로 상기 기판을 개질시키는 단계를 포함하는, 방법.
  10. 제1항에 있어서, 상기 순환 건식 식각 공정은 질소를 포함하는 플라즈마로 상기 기판을 개질시키는 단계를 포함하는, 방법.
  11. 제1항에 있어서, 상기 순환 건식 식각 공정은 2회 이상 반복되는, 방법.
  12. 제2항에 있어서, 상기 탄소 함유 재료는 실리콘을 포함하는, 방법.
  13. 제11항에 있어서, 상기 탄소 및 실리콘 함유 재료는 SiC, SiCN, SiCO 또는 SiCOH를 포함하는, 방법.
  14. 제2항에 있어서, 상기 탄소 함유 재료는 금속을 포함하는, 방법.
  15. 제13항에 있어서, 상기 탄소 및 금속 함유 재료는 TiC, HfC, WC, MoC, AlC, 또는 ZrC를 포함하는, 방법.
  16. 제3항에 있어서, 상기 질화물 함유 재료는 금속을 포함하는, 방법.
  17. 제16항에 있어서, 상기 질화물 및 금속 함유 재료는 TiN, HfN, WN, MoN, AlN, 또는 ZrN을 포함하는, 방법.
  18. 제4항에 있어서, 상기 불소 함유 가스는 XeF2 또는 HF를 포함하는, 방법.
  19. 제2항에 있어서, 상기 제2 표면은 산화물 및 질화물을 함유하는 재료를 포함하는, 방법.
  20. 제19항에 있어서, 상기 산화물 함유 재료는 산화티타늄, 산화하프늄, 산화텅스텐, 산화몰리브데넘, 산화알루미늄, 또는 산화지르코늄 중 적어도 하나를 포함하는, 방법.
  21. 제5항에 있어서, 상기 수소 함유 플라즈마는 H2, NH3, H2/Ar, 또는 H2/N2를 포함하는, 방법.
  22. 제10항에 있어서, 상기 질소 함유 플라즈마는 N2, 또는 N2/Ar을 포함하는, 방법.
  23. 제4항에 있어서, 상기 불소 플라즈마는 SF6 또는 NF3을 포함하는, 방법.
  24. 제4항에 있어서, 상기 불소 함유 플라즈마는 마이크로파 플라즈마 공급원에 의해 생성되는, 방법.
  25. 제6항에 있어서, 식각은 반응물 가스와의 열 반응을 통해 달성되는, 방법.
  26. 탄소 함유 재료를 선택적으로 및 균일하게 순환 건식 식각하는 공정으로서, 상기 공정은:
    탄소 및/또는 질화물을 포함하는 제1 표면을 제공하는 단계;
    탄소가 없고/없거나 질화물이 없는 제2 표면을 제공하는 단계;
    상기 제1 및 제2 표면 모두를
    a) 이온 및/또는 라디칼을 사용해 플라즈마 개질층을 형성하는 플라즈마 보조 공정이나
    b) 반응물 가스를 사용해 플라즈마 개질층을 형성하는 열 반응 중 적어도 하나를 사용해 처리하는 단계; 및
    상기 제1 및 제2 표면 모두를
    c) 불소 함유 플라즈마에 의해 상기 개질층을 플라즈마 식각하는 것,
    d) 불소 함유 가스에 의해 상기 개질층을 열 식각하는 것 중 적어도 하나를 사용해 처리하는 단계를 포함하는, 공정.
KR1020190086843A 2018-07-20 2019-07-18 플라즈마 개질을 이용한 유전체 재료의 선택적 순환 건식 식각 공정 KR102503671B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/041,044 US10720334B2 (en) 2018-07-20 2018-07-20 Selective cyclic dry etching process of dielectric materials using plasma modification
US16/041,044 2018-07-20

Publications (2)

Publication Number Publication Date
KR20200010105A true KR20200010105A (ko) 2020-01-30
KR102503671B1 KR102503671B1 (ko) 2023-02-24

Family

ID=69161974

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020190086843A KR102503671B1 (ko) 2018-07-20 2019-07-18 플라즈마 개질을 이용한 유전체 재료의 선택적 순환 건식 식각 공정

Country Status (4)

Country Link
US (1) US10720334B2 (ko)
KR (1) KR102503671B1 (ko)
CN (1) CN110739211B (ko)
TW (1) TWI780345B (ko)

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10566212B2 (en) 2016-12-19 2020-02-18 Lam Research Corporation Designer atomic layer etching
US10937659B2 (en) * 2019-04-09 2021-03-02 Tokyo Electron Limited Method of anisotropically etching adjacent lines with multi-color selectivity
KR20210014577A (ko) * 2019-07-29 2021-02-09 에이에스엠 아이피 홀딩 비.브이. 불소 제거를 이용해서 구조물을 형성하는 방법
US20210313185A1 (en) * 2020-04-06 2021-10-07 California Institute Of Technology Atomic layer etching for smoothing of arbitrary surfaces
CN113808931A (zh) * 2020-06-11 2021-12-17 中国科学院微电子研究所 圆弧形鳍顶形成方法及鳍式场效应晶体管
KR20230048396A (ko) * 2020-08-13 2023-04-11 엔테그리스, 아이엔씨. 니트라이드 에천트 조성물 및 방법
US20220293430A1 (en) * 2021-03-12 2022-09-15 Applied Materials, Inc. Isotropic silicon nitride removal
JP2024510255A (ja) * 2021-03-18 2024-03-06 ラム リサーチ コーポレーション インジウムガリウム亜鉛酸化物のエッチング
CN114395797B (zh) * 2021-11-26 2023-05-16 华灿光电(苏州)有限公司 高阻硅外延片生长方法及生长设备
US20230386830A1 (en) * 2022-05-27 2023-11-30 Applied Materials, Inc. Highly conformal metal etch in high aspect ratio semiconductor features
US20240128089A1 (en) * 2022-10-18 2024-04-18 Tokyo Electron Limited Method to selectively etch silicon nitride to silicon oxide using water crystallization

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2015109429A (ja) * 2013-10-22 2015-06-11 株式会社半導体エネルギー研究所 半導体装置
KR20150128582A (ko) * 2014-05-09 2015-11-18 도쿄엘렉트론가부시키가이샤 에칭 방법
US20160035581A1 (en) * 2012-12-28 2016-02-04 Commissariat A L'energie Atomique Et Aux Energies Alternatives Microelectronic method for etching a layer
KR20160076471A (ko) * 2014-12-22 2016-06-30 램 리써치 코포레이션 유전체 에칭 적용들을 위한 통합된 에칭/세정
KR20160100847A (ko) * 2015-02-16 2016-08-24 도쿄엘렉트론가부시키가이샤 기판 처리 방법 및 기판 처리 장치
US20170345673A1 (en) * 2016-05-29 2017-11-30 Tokyo Electron Limited Method of selective silicon oxide etching
JP2018500767A (ja) * 2014-12-18 2018-01-11 ザ リージェンツ オブ ザ ユニバーシティ オブ コロラド,ア ボディー コーポレイトTHE REGENTS OF THE UNIVERSITY OF COLORADO,a body corporate 逐次的な自己制御熱反応を使用する原子層エッチング(ale)の新規の方法

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070080141A1 (en) 2005-10-07 2007-04-12 Applied Materials, Inc. Low-voltage inductively coupled source for plasma processing
US7658802B2 (en) 2005-11-22 2010-02-09 Applied Materials, Inc. Apparatus and a method for cleaning a dielectric film
US20070161214A1 (en) 2006-01-06 2007-07-12 International Business Machines Corporation High k gate stack on III-V compound semiconductors
JP6242095B2 (ja) * 2013-06-28 2017-12-06 株式会社日立国際電気 クリーニング方法、半導体装置の製造方法、基板処理装置及びプログラム
FR3017241B1 (fr) 2014-01-31 2017-08-25 Commissariat Energie Atomique Procede de gravure plasma
US9773683B2 (en) 2014-06-09 2017-09-26 American Air Liquide, Inc. Atomic layer or cyclic plasma etching chemistries and processes
KR102227128B1 (ko) * 2014-09-03 2021-03-12 삼성전자주식회사 반도체 장치 및 이의 제조 방법
JP6498022B2 (ja) 2015-04-22 2019-04-10 東京エレクトロン株式会社 エッチング処理方法
TWI782220B (zh) 2015-09-22 2022-11-01 美商應用材料股份有限公司 清洗方法
US9997374B2 (en) 2015-12-18 2018-06-12 Tokyo Electron Limited Etching method
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9972575B2 (en) 2016-03-03 2018-05-15 Applied Materials, Inc. Hybrid wafer dicing approach using a split beam laser scribing process and plasma etch process
US10381235B2 (en) 2016-05-29 2019-08-13 Tokyo Electron Limited Method of selective silicon nitride etching
US10276504B2 (en) 2017-05-17 2019-04-30 Northrop Grumman Systems Corporation Preclean and deposition methodology for superconductor interconnects
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10720337B2 (en) 2018-07-20 2020-07-21 Asm Ip Holding B.V. Pre-cleaning for etching of dielectric materials

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20160035581A1 (en) * 2012-12-28 2016-02-04 Commissariat A L'energie Atomique Et Aux Energies Alternatives Microelectronic method for etching a layer
JP2015109429A (ja) * 2013-10-22 2015-06-11 株式会社半導体エネルギー研究所 半導体装置
KR20150128582A (ko) * 2014-05-09 2015-11-18 도쿄엘렉트론가부시키가이샤 에칭 방법
JP2018500767A (ja) * 2014-12-18 2018-01-11 ザ リージェンツ オブ ザ ユニバーシティ オブ コロラド,ア ボディー コーポレイトTHE REGENTS OF THE UNIVERSITY OF COLORADO,a body corporate 逐次的な自己制御熱反応を使用する原子層エッチング(ale)の新規の方法
KR20160076471A (ko) * 2014-12-22 2016-06-30 램 리써치 코포레이션 유전체 에칭 적용들을 위한 통합된 에칭/세정
KR20160100847A (ko) * 2015-02-16 2016-08-24 도쿄엘렉트론가부시키가이샤 기판 처리 방법 및 기판 처리 장치
US20170345673A1 (en) * 2016-05-29 2017-11-30 Tokyo Electron Limited Method of selective silicon oxide etching

Also Published As

Publication number Publication date
TW202011480A (zh) 2020-03-16
US10720334B2 (en) 2020-07-21
US20200027740A1 (en) 2020-01-23
KR102503671B1 (ko) 2023-02-24
TWI780345B (zh) 2022-10-11
CN110739211B (zh) 2021-07-23
CN110739211A (zh) 2020-01-31

Similar Documents

Publication Publication Date Title
KR102503671B1 (ko) 플라즈마 개질을 이용한 유전체 재료의 선택적 순환 건식 식각 공정
KR102550244B1 (ko) 유전체 재료의 식각을 위한 사전 세척
US10199230B2 (en) Methods for selective deposition of metal silicides via atomic layer deposition cycles
CN109003880A (zh) 使用顺序沉积-蚀刻-处理加工的氧化硅和氮化硅的自底向上生长
TW200924059A (en) Methods of modifying oxide spacers
TW201611096A (zh) 利用共形碳薄膜減低臨界尺寸之方法
JP7401593B2 (ja) 空隙を形成するためのシステム及び方法
TWI766866B (zh) 蝕刻方法
CN115485821A (zh) 用于移除含铝膜的系统和方法
JP6946463B2 (ja) ワードライン抵抗を低下させる方法
JP7483933B2 (ja) 窒化物含有膜除去のためのシステム及び方法
JP2024504165A (ja) パルス高周波無線周波数(hfrf)プラズマを使用した間隙充填処理
JP2023531127A (ja) 選択的な金属化合物除去のためのシステム及び方法
CN113454763A (zh) 使用牺牲性掩模的选择性蚀刻
US11804380B2 (en) High-throughput dry etching of films containing silicon-oxygen components or silicon-nitrogen components by proton-mediated catalyst formation
US10790157B1 (en) Achieving etching selectivity for atomic layer etching processes by utilizing material-selective deposition phenomena

Legal Events

Date Code Title Description
A201 Request for examination
A302 Request for accelerated examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant