JP2017053024A - タングステン堆積充填の強化のためのタングステンの原子層エッチング - Google Patents

タングステン堆積充填の強化のためのタングステンの原子層エッチング Download PDF

Info

Publication number
JP2017053024A
JP2017053024A JP2016151661A JP2016151661A JP2017053024A JP 2017053024 A JP2017053024 A JP 2017053024A JP 2016151661 A JP2016151661 A JP 2016151661A JP 2016151661 A JP2016151661 A JP 2016151661A JP 2017053024 A JP2017053024 A JP 2017053024A
Authority
JP
Japan
Prior art keywords
tungsten
feature
substrate
bias
features
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2016151661A
Other languages
English (en)
Inventor
チウキン・スティーブン・ライ
Steven Lai Chiukin
ケレン・ジェイコブス・カナリク
Jacobs Kanarik Keren
サマンサ・タン
Tan Samantha
アナンド・チャンドラシェカー
Chandrashekar Anand
テ−チェン・スー
The-Tien Su
ウェンビン・ヤン
Wenbing Yang
マイケル・ウッド
Wood Michael
ミハル・ダネク
Danek Michal
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2017053024A publication Critical patent/JP2017053024A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Crystallography & Structural Chemistry (AREA)

Abstract

【課題】基板の特徴(加工・構造)内へタングステンを完全に充填する方法の提供。【解決手段】タングステンで特徴を充填する堆積・原子層エッチング(ALE)プロセスにおいて、反応層を形成するために改質動作515A,Bと、その後に続く、この改質された層のみを除去又はエッチングするための除去動作535A,Bとを含むALEサイクル520A,B,505A,Bにより特徴内へタングステンを充填する方法。エッチング時に表面改質のためのパルスと除去のためのパルスとを交互させることと統合させることで高アスペクト比の特徴内へタングステンが堆積する方法。尚、場合により、前記タングステンの代りにチタン、タンタル、ニッケル、コバル又はモリブデンの内の一つであっても良い、方法。【選択図】図5

Description

半導体製造プロセスは、多くの場合、コンタクトまたは相互接続を形成するために、ビアまたはトレンチなどの特徴内へタングステンなどの金属を堆積させることを伴う。しかしながら、デバイスの縮小にともなって、高度な論理回路やメモリの用途では特に、特徴の小型化が進み、充填の困難さが増している。
本明細書で提供されるのは、基板上の特徴(加工、構造)を充填する方法である。一態様は、基板上の特徴を、(a)第1の量の金属を特徴内に堆積させること、(b)(i)堆積された金属の表面を、その金属をハロゲン含有ガスに曝すことによって改質し、(ii)金属を選択的にエッチングするために、改質された表面を活性化ガスに曝すことによって、特徴の開口におけるまたは特徴の開口の近くにおける金属を特徴の内部領域に対して指向的にエッチングすること、によって充填する方法である。この方法は、更に、(a)および(b)を繰り返すことを含んでいてよい。
様々な実施形態において、金属は、チタン、タンタル、ニッケル、コバルト、またはモリブデンのうちの1つを含有する。一部の実施形態では、金属は、タングステンを含有する。
一部の実施形態では、塩素、臭素、ヨウ素、六フッ化硫黄、四フッ化ケイ素、三塩化ホウ素、またはこれらを組み合わせたものである。一部の実施形態では、活性化ガスは、ネオン、クリプトン、アルゴン、またはこれらを組み合わせたものなどの、不活性ガスである。
方法は、更に、少なくとも(i)および(ii)のいずれかの間にバイアスを印加することを含んでいてよい。バイアス電力は、閾値バイアス電力未満であってよい。バイアス電力は、約80Vb未満であってよい。
様々な実施形態において、(b)は、自己制限反応を含む。一部の実施形態では、基板は、様々なサイズの開口を有する特徴を含む。特徴は、少なくとも3:1のアスペクト比であってよい。一部の実施形態では、開口は、幅が20nm未満である。
一部の実施形態では、(a)および(b)は、真空を破壊することなく実施される。一部の実施形態では、(a)および(b)は、同じチャンバ内で実施される。一部の実施形態では、(a)および(b)は、同じツール内の異なるチャンバ内で実施される。
方法は、更に、少なくとも(i)および(ii)のいずれかの間にプラズマを発生(点火)させることを含んでいてよい。プラズマ電力は、約0Wから約1000Wの間であってよい。
別の態様は、(a)特徴をタングステンで部分的に充填することと、(b)基板をハロゲン含有ガスおよび活性化ガスの交互パルスに曝すことによって、特徴の開口におけるまたは特徴の開口の近くにおけるタングステンを指向的にエッチングすることと、(c)特徴をタングステンで充填することと、を含む方法を伴っていてよい。
一部の実施形態では、バイアスが、(b)の間に印加される。一部の実施形態では、バイアスは、(b)の間に閾値バイアス電力で印加される。
様々な実施形態において、(a)および(b)は、真空を破壊することなく実施される。一部の実施形態では、(a)および(b)は、同じチャンバ内で実施される。方法は、更に、(a)および(b)を繰り返すことを含んでいてよい。特徴を充填することは、(a)および(b)を繰り返すことを含んでいてよい。
タングステンは、CVDによって堆積されてよい。一部の実施形態では、タングステンは、ALDによって堆積される。タングステンは、基板をタングステン含有前駆体および還元剤の交互パルスに曝すことによって堆積されてよい。タングステンは、塩素含有タングステン前駆体を使用して堆積されてよい。一部の実施形態では、タングステンは、フッ素を含まないタングステンである。
別の態様は、半導体基板を処理するための装置を伴っており、この装置は、シャワーヘッドおよび基板サポートを含むプロセスチャンバと、プラズマ生成器と、少なくとも1つのプロセッサおよびメモリを有するコントローラとを含み、少なくとも1つのプロセッサおよびメモリは、通信可能に互いに接続され、少なくとも1つのプロセッサは、少なくとも操作可能に流量制御ハードウェアに接続され、メモリは、基板上にタングステンを堆積させるために、タングステン含有前駆体および還元剤をチャンバに導入するための機械読み取り可能命令と、タングステンの表面を改質するために、ハロゲン含有ガスを導入するための機械読み取り可能命令と、改質されたタングステン表面の少なくとも一部をエッチングするために、活性化ガスを導入するおよびプラズマを発生させるための機械読み取り可能命令とを格納している。
基板サポートは、バイアスを含んでいてよく、メモリは、更に、(iii)の間にバイアス電力を約80Vb未満に設定するための機械読み取り可能命令を格納していてよい。一部の実施形態では、メモリは、更に、(ii)の間にプラズマを発生させるための機械読み取り可能命令を格納している。
一部の実施形態では、メモリは、更に、(ii)および(iii)を周期的に繰り返すための機械読み取り可能命令を格納している。一部の実施形態では、メモリは、更に、(ii)および(iii)を実施した後に(i)を繰り返すための機械読み取り可能命令を格納している。
これらのおよびその他の態様が、図面を参照にして以下で更に説明される。
基板上の膜の原子層エッチングの一例を示す概略図である。
開示された特定の実施形態の動作を経ている特徴を示す概略図である。
開示された特定の実施形態にしたがって実施される動作を示すプロセスフローチャートである。
アルゴンイオンを使用したタングステンの垂直入射スパッタリング歩留まりの計算値を示すグラフである。
開示された特定の実施形態にしたがって実施される動作の一例を示すタイミング概略図である。
開示された特定の実施形態を実施するためのプロセスチャンバの一例を示す概略図である。
開示された特定の実施形態を実施するためのプロセス装置の一例を示す概略図である。
タングステンのエッチング速度について収集された実験データを塩素化バイアス電力に対して示すグラフである。
タングステンを伴う特徴の画像である。
開示された特定の実施形態にしたがってタングステンを堆積された特徴の画像である。
以下の説明では、提示された実施形態の完全な理解を可能にするために、数々の具体的詳細が特定されている。開示された実施形態は、これらの具体的詳細の一部または全部を伴わずとも実施されえる。また、開示された実施形態を不必要に不明瞭にしないために、周知のプロセス動作の詳細な説明は省略される。開示された実施形態は、具体的な実施形態との関連のもとで説明されるが、これは、開示された実施形態を制限することを意図していないことが理解される。
半導体製造プロセスは、多くの場合、コンタクトまたは相互接続を形成するために、ビアまたはトレンチなどの特徴内へ金属を堆積させることを伴う。タングステンは、多くの場合、化学気相成長(CVD)を使用してこのような特徴内へ堆積され、この場合は、充填対象とされる特徴内へタングステンを堆積させるために、そのような特徴を含む基板がタングステン含有前駆体および還元剤に曝される。しかしながら、デバイスの縮小にともなって、高度な論理回路やメモリの用途では特に、特徴の小型化が進み、CVDによる充填の困難さが増している。例えば、特徴は、少なくとも約3:1などの高いアスペクト比を有することがある。一部の特徴は、約20nm未満の小さい開口を有することがある。一部の特徴は、凹角な(リエントラントな)特徴プロフィールを含むこともあり、これについては、図2に関連して後述される。先進技術ノードの特徴の場合は、特徴の開口におけるまたは特徴の開口の近くにおける堆積速度が特徴の底部における堆積よりも速いことがあり、これは、特徴全体が充填される前に特徴を閉じさせて、特徴内に空隙または隙間を残らせる。このような隙間の存在は、半導体デバイスの性能および信頼性に害を及ぼすかもしれず、最終的には半導体製品にも悪影響を及ぼす恐れがある。
また、基板によっては、様々なサイズの特徴を含んでいることがある。したがって、小さい特徴は、大きい特徴よりも、特徴または特徴の開口が速く充填され、大きい特徴は、完全に充填されないことがある。また、開口の小ささおよび特徴のアスペクト比の高さは、特徴内にタングステンの非共形的な(非コンフォーマルな)堆積をもたらす。また、従来の堆積技術、および特徴を覆う非共形的な被覆が原因で生じる可能性がある下位の障壁層または接着層の張り出しによって形成される、凹角な特徴プロフィールでは、特徴は、全体的に凹角な側壁プロフィールを有することがあり、これは、特徴を完全に充填することを困難にする。
小さい開口を有する特徴内へタングステンを堆積させる一方法は、部分的に充填された特徴の開口における事前に堆積されたタングステンを除去し、それによって特徴を開かせて、特徴内へのタングステンの更なる堆積を可能にするために、上記部分的に充填された特徴を、遠隔プラズマ生成器内で生成されて質量移動制限プロセス体制で動作しているフッ素含有種などの反応種に曝し、それによって完全な無空隙充填を促すことを含む。しかしながら、このような方法は、小さい特徴内へ無空隙のタングステンを堆積させるには効果的かもしれないが、大きめの特徴を充填する場合は、使用される堆積量およびエッチングプロセスが変わる恐れがある。また、フッ素含有反応種は、非常に反応性が高く、したがって、タングステンを速くエッチングするので、タングステンがエッチングされすぎないように、エッチング条件が調整される。堆積されたタングステンが全て除去されると、その後に、特徴を充填するために露出表面を再びタングステンで核形成することが難しくなる。例えば、タングステンの堆積に先立って、特徴は、窒化チタン障壁層などの障壁層で覆われることが多く、特徴は、原子層堆積(ALD)などの方法によってタングステン核形成層を堆積させるために、前駆体に曝されてよい。しかしながら、フッ素含有反応種が、その反応性の高さおよびエッチング速度の速さゆえに、核形成層を全て除去してしまうと、窒化チタン障壁層が露出され、その表面上で二度目のタングステン核形成がなされ、これは、スループットの低下を招く。実施形態によっては、フッ素含有反応種が、障壁層の少なくとも一部または全部をエッチングすることがあり、これは、基板上のタングステン核形成層の一部が喪失するだろうゆえに、二度目のタングステン堆積を不完全にする恐れがある。
本明細書で提供されるのは、統合された堆積・原子層エッチング(ALE)プロセスを使用してタングステンで特徴を充填する方法である。ALEは、順次的な自己制限反応を使用して薄い材料層を除去する技術である。総じて、ALEは、任意の適切な技術を使用して実施されてよい。原子層エッチング技術の例が、2014年11月11日付けで発行された米国特許第8,883,028号および2014年8月19日付けで発行された米国特許第8,808,561号に記載されており、これらの特許は、原子層エッチング技術の例を説明する目的で、参照によって本明細書に組み込まれる。様々な実施形態において、ALEは、プラズマによって実施されてよい、または熱的に実施されてよい。「ALEサイクル」という概念は、本明細書における様々な実施形態の議論に関わっている。総じて、ALEサイクルは、単原子層をエッチングするなどの一度のエッチングプロセスを実施するために使用される最小の動作集合である。一サイクルの結果、基板表面上の膜層の少なくとも一部がエッチングされる。通常、ALEサイクルは、反応層を形成するために改質動作と、その後に続く、この改質された層のみを除去またはエッチングするための除去動作とを含む。サイクルは、反応物または副生成物のいずれかを一掃するなどの何らかの補助的動作を含んでいてよい。総じて、一サイクルは、固有な一連の動作一式を含む。一例として、ALEサイクルは、(i)反応物ガスを供給する動作であって、この反応物ガスは、プラズマ中に含まれていてよい、動作と、(ii)反応物ガスをチャンバからパージする動作と、(iii)除去ガスをおよび随意としてプラズマを供給する動作と、(iv)チャンバをパージする動作とを含んでいてよい。一部の実施形態では、エッチングは、トポグラフィおよび/または特徴を伴う基板などの基板上で、非共形的に実施されてよい。
図1は、ALEサイクルの2つの例を概略的に示している。図171a〜171eは、一般的なALEサイクルを示している。171aでは、基板が提供される。171bでは、基板の表面が改質される。171cでは、基板を改質するために使用された化学剤がパージされる。171dでは、改質された層がエッチングされている。171eでは、改質された層が除去されている。同様に、図172a〜172eは、タングステン膜をエッチングするためのALEサイクルの一例を示している。172aでは、タングステン基板が提供され、これは、多数のタングステン原子を含む。172bでは、反応物ガスである塩素が基板に導入され、このガスが基板の表面を改質する。一部の実施形態では、塩素反応物は、プラズマ中に含まれる原子塩素として供給されてもよく、これは、タングステン基板の直接的なエッチングを引き起こさないだろう。172bの概略図は、一部の塩素が基板の表面上に吸着される様子を一例として示している。図1では塩素(Cl2)が示されているが、任意の塩素含有化合物またはその他の適切な反応物が使用されてよい。172cでは、反応物ガスである塩素がチャンバからパージされる。172dでは、改質された基板表面を除去するために、Ar+プラズマ種と矢印とで記されるように、除去ガスであるアルゴンが指向性のプラズマとともに導入される。この活性化されたエッチングは、吸着種(例えば、Cl種)にエネルギを付与して基板を一度に単分子層1枚ずつエッチングして除去するために、スパッタリング閾値未満のエネルギで動作している不活性イオン(例えば、Ar+)を使用することを伴う。この動作時には、イオンを基板に引き付けるために、バイアスが基板に印加される。172eでは、チャンバはパージされ、副生成物は除去される。
ALEプロセスにおけるエッチング速度は、フッ素をベースにした遠隔プラズマエッチングにおけるエッチング速度よりも低く、ただし、ALEは、その表面反応の自己制限性ゆえに、より均一にエッチングを行う。したがって、ALEプロセスは、より大きい特徴では特に、エッチング動作に対する制御力が強く、したがって、各サイクルで除去される材料の量が制限され、速くエッチングされすぎず、特徴の表面から材料が完全にエッチングされる事態が阻止される。本明細書で説明される堆積プロセスは、チャンバの切り替え圧力および基板の温度によって制御されてよく、これらは、ともに、ALE時における改質化学剤の吸着に影響を及ぼす。プロセスは、ALEで実施される1つ以上の動作時における基板のバイアスを調整すること、並びに改質化学剤の流量および化学的性質を調整することによって制御されてもよい。堆積プロセスは、特徴内へ堆積される金属の化学的性質によって決まってもよい。
開示された実施形態は、ALD、CVD、プラズマ支援ALD(PEALD)、プラズマ支援CVD(PECVD)、または物理蒸着(PVD)などの任意の適切な方法によって、タングステンなどの金属を特徴内へ堆積させることと、堆積された金属の表面を改質するために、ハロゲン含有ガスを吸着させ、随意としてプラズマに曝すことと、改質された表面を除去するために、活性化ガスに曝すことと、特徴を満たすために、金属を更に堆積させることとを伴っていてよい。図2は、開示された実施形態にしたがった様々な動作を経ている特徴の一例の概略を提供している。201では、特徴212を伴う基板210が示されており、特徴212内にTiN障壁層214が共形的に堆積され、そのTiN障壁層214上にALDによってタングステン216が共形的に堆積されている。203では、特徴212は、堆積されたタングステン216の表面を改質するために、ハロゲン含有ガスに曝された後、アルゴンイオン、またはネオン、またはクリプトンを含むガスなどの活性化ガスに曝され、これは、特徴212の開口218aにおけるまたは特徴212の開口218aの近くにおけるタングステン216を、低バイアスを使用するなどによって指向的にエッチングするだろう。205では、特徴212は、開かれており、特徴開口218bが残されている。207では、特徴212は、無空隙タングステン充填特徴を得るために、引き続きCVDによってタングステンで充填される。
図3は、開示された実施形態にしたがった方法の動作を示すプロセスフローチャートを提供している。以下の説明は、タングステンで特徴を充填することに焦点を当てているが、本開示の態様は、その他の材料で特徴を充填する際に実施されてもよい。例えば、本明細書で説明される1つ以上の技術を使用した特徴充填は、その他のタングステン含有材料(例えば、窒化タングステン(WN)および炭化タングステン(WC))、チタン含有材料(例えば、チタン(Ti)、窒化チタン(TiN)、ケイ化チタン(TiSi)、炭化チタン(TiC)、およびチタンアルミナイド(TiAl))、タンタル含有材料(例えば、タンタル(Ta)および窒化タンタル(TaN))、モリブデン含有材料、コバルト含有材料、並びにニッケル含有材料(例えば、ニッケル(Ni)およびケイ化ニッケル(NiSi))などの、その他の材料で特徴を充填するために使用されてよい。様々な実施形態において、特徴は、タングステンの代わりに、またはタングステンと組み合わせて、別の金属で充填されてよい。例えば、コバルトまたはモリブデンが、特徴を充填するために使用されてよい。
図3の動作301では、チャンバに基板が提供される。基板は、例えば、その上に誘電体材料、導体材料、または半導体材料などの材料の層を1枚以上堆積されて有するウエハを含む、例えば200mmウエハ、300mmウエハ、または450mmウエハなどのシリコンウエハであってよい。パターン形成された基板は、ビアまたはコンタクトホールなどの「特徴」を有していてよく、これらは、1つ以上の狭いおよび/または凹角な開口、特徴内の狭窄部、並びに高いアスペクト比によって特徴付けられるだろう。特徴は、上述された層の1枚以上の中に形成されてよい。特徴の一例は、半導体基板内のまたは半導体基板上の層内の、ホールまたはビアである。別の一例は、基板内または基板上の層内の、トレンチである。様々な実施形態において、特徴は、障壁層または接着層などの下位層を有していてよい。下位層の非限定的な例として、例えば、酸化ケイ素、窒化ケイ素、炭化ケイ素、金属酸化物、金属窒化物、金属炭化物、および金属の層などの、誘電体層並びに導電層が挙げられる。
用途の例として、論理回路およびメモリのコンタクトの充填、DRAMに埋め込まれたワード線の充填、垂直に統合されたメモリゲート/ワード線の充填、並びにシリコン貫通ビア(TSV)との3D統合が挙げられる。本明細書で説明される方法は、タングステンビア内などで垂直特徴を充填するために、および垂直NAND(VNAND)ワード線などの水平特徴を充填するために使用することができる。
様々な実施形態において、開示された実施形態を実施することで製作される基板のタイプは、開示された実施形態を実施する前における、基板上の特徴のアスペクト比によって決まってよい。一部の実施形態では、動作301で提供される基板上の特徴は、少なくとも約2:1の、少なくとも約3:1の、少なくとも約4:1の、少なくとも約6:1の、少なくとも約10:1の、またはそれよりも高いアスペクト比を有していてよい。特徴は、また、開口の近くに、例えば約25nmから約300nmの間のように約5nmから500nmの間の、例えば開口直径または線幅などの寸法も有していてよい。開示された方法は、約20nm未満の開口を有する特徴を伴う基板に対して実施されてよい。「小さい」特徴は、「大きい」特徴と比べて小さい開口直径または線幅を有するものとして相対的に定義されてよい。大きい特徴は、小さい特徴の臨界寸法の少なくとも1.5倍の、または少なくとも2倍の、または少なくとも5倍の、または少なくとも10倍の、または10倍を超える、開口直径または臨界寸法を有していてよい。「小さい」特徴の例として、約1nmから約2nmの間の開口直径を有する特徴が挙げられる。「大きい」特徴の例として、数百ナノメートルから約1ミクロンの規模の開口直径を有する特徴が挙げられる。
ビア、トレンチ、またはその他の陥凹特徴が、未充填特徴または特徴として言及されてよい。様々な実施形態にしたがうと、特徴プロフィールは、徐々に狭まってよい、および/または特徴開口に張り出しを含んでいてよい。凹角なプロフィールは、閉じられた底端または特徴の内部から特徴の開口に向かって狭まるプロフィールである。凹角なプロフィールは、パターン形成時における非対称的なエッチング動力学によって、および/または拡散障壁の堆積などの先行する膜堆積における非共形的な膜の回り込みに起因する張り出しによって形成されるだろう。様々な例において、特徴は、特徴の頂部における開口の幅が、特徴の中ほどおよび/または特徴の底の幅よりも狭いだろう。
動作303では、基板上の特徴を部分的に充填するために、タングステン含有前駆体および還元剤に基板を曝すなどによって、基板の上にタングステンが堆積される。タングステン含有前駆体の例には、タングステン含有ハロゲン化物前駆体があり、WF6などのフッ化タングステン、並びにWCl6、W(CO)6、およびWCl5などの塩化タングステンが挙げられる。一部の実施形態では、有機金属タングステン含有前駆体が使用されてよい。還元剤の例には、水素、ボラン(B26など)、シラン(SiH4など)、およびゲルマン(GeH4など)がある。
一部の実施形態では、タングステンは、共形的に堆積される。一部の実現形態では、動作303は、タングステン核形成層の堆積と、その後に続くバルク堆積とを伴う。
ALD、CVD、PECVD、PEALD、またはPVDなどの、タングステンの堆積に適した任意の方法が使用されてよい。本明細書で提供される例の場合、タングステンは、ALDによって特徴内に共形的に堆積されてよい。例えば、一部の実施形態では、ALDプロセスまたはパルス核形成層(PNL)プロセスによってタングステン核形成層を形成するために、タングステン含有前駆体および1つ以上の還元剤のパルスを順次浴びせることによって、タングステン核形成層が堆積される。一部の実現形態では、動作303は、例えば、タングステン堆積を支える下位層を特徴が含む場合、核形成層堆積を伴わずにバルク堆積のみを伴ってよい。バルク堆積は、化学気相成長によって堆積されてよく、以下で更に説明される。
狭窄部を含む特徴、またはそうでなくてもくびれを生じやすい特徴では、動作303は、少なくとも特徴がくびれるまで実施することができる。サイズが異なる特徴は、くびれを生じる時間も異なるだろう。共形的な堆積では、堆積は、各表面から開始し、表面に概ね直交する成長を伴って進行する。特徴内におけるタングステンの成長は、各側壁から開始し、成長によって特徴がくびれるまで進行する。一部の実現形態では、動作303で堆積されるタングステンの量は、最も狭い特徴の寸法に基づいて決定することができる。
様々な実施形態において、動作303は、特徴の開口が閉じられるように実施されてよい。一部の実施形態では、特徴の開口にまたは特徴の開口の近くに継ぎ目が形成されてよい。この説明を目的として、「開口の近く」は、特徴内で(即ち、特徴の側壁に沿って)、フィールド領域から測定して特徴深さの約0〜10%に相当するおおよその位置または領域として定義される。特定の実施形態では、開口の近くの領域が、開口における領域に相当する。更に、「特徴の内側」または「特徴の内部」は、特徴内で、特徴頂部のフィールド領域から測定して特徴深さの約20〜60%に相当するおおよその位置または領域として定義される。通常、何らかのパラメータ(例えば、厚さ)が「開口の近く」または「開口の内側」であると指定されるとき、これらの値は、これらの位置/領域内で測定された1つの測定値、または複数の測定値の平均を表す。
動作305では、基板は、原子層エッチングによって指向的にまたは優先的にエッチングされる。本明細書で使用される「指向的」または「優先的」という用語は、特徴の頂部におけるまたは特徴の頂部の近くにおける材料を、特徴の内側または内部などのその他の部分よりも多くエッチングすることとして定義されてよい。原子層エッチングは、表面改質または活性化の動作を伴う。一部の実施形態では、動作305の間に、N2、Ar、Ne、He、またはこれらの組み合わせなどが挙げられるキャリアガスが継続的に流される。一部の実施形態では、キャリアガスは、動作305における除去プロセス時にのみ使用される。キャリアガスは、後述のように、一部の動作では、改質された層を除去するために使用される。一部の実施形態では、改質された層を除去するために、動作305の間に、酸素などの別の反応物ガスが使用される。一部の実施形態では、キャリアガスは、除去中には流されない。
動作315では、基板は、基板の表面を改質するために、改質化学剤に曝される。改質化学剤は、ガス、またはプラズマ、または反応種であってよい。改質動作は、改質されていない材料よりも厚さが容易に除去可能である薄い反応性表面層を形成する。改質動作は、自然発生的な基板のエッチングが阻止されるように実施されてよい。
改質動作では、ハロゲン含有化学剤を使用して基板が改質されてよい。例えば、基板は、チャンバに塩素を導入することによって塩素化されてよい。開示された実施形態では、改質化学剤の一例として塩素が使用されるが、実施形態によっては異なる改質化学剤がチャンバに導入されることが、理解される。例として、臭素、ヨウ素、六フッ化硫黄、四フッ化ケイ素、および三塩化ホウ素(BCl3)が挙げられる。ALEによる金属エッチングの更なる例が、参照によって本明細書に全体を組み込まれた、2015年8月19日に出願された名称を「ATOMIC LAYER ETCHING OF TUNGSTEN AND OTHER METALS(タングステンおよびその他の金属の原子層エッチング)」とする米国特許出願第62/207,250(代理人整理番号第LAMRP209P/3706−1US)において更に説明されている。
様々な実施形態では、単分子層ずつのエッチングを行えないような化学エッチングを防ぐために、フッ素化学剤は使用されない。例えば、三フッ化窒素(NF3)は、プラズマ中での反応性が高く、基板を層内へ共形的にエッチングするのではなく自然発生的にエッチングする恐れがある。しかしながら、一部の実施形態では、自然発生的なエッチングを受けにくい材料などのその他の材料をエッチングするために、ClF3などの高反応性のハロゲン含有化学剤が使用されてよい。
改質化学剤は、エッチング対象とされる基板のタイプおよび化学的性質に応じて選択されてよい。一部の実施形態では、塩素が、基板と反応してよいまたは基板の表面上へ吸着されてよい。様々な実施形態において、塩素は、ガスの形態でチャンバ内へ導入され、随意としてキャリアガスを伴ってよく、このキャリアガスは、上述されたうちの任意であってよい。
一部の実施形態では、この動作中に、塩素をベースにしたプラズマが生成されてよい。塩素をベースにしたプラズマから生成される種は、基板を収容しているプロセスチャンバ内でプラズマを形成することによってin−situで生成することができる、または基板を収容していない遠隔プラズマ生成器などのプロセスチャンバ内で遠隔生成されて、基板を収容しているプロセスチャンバ内へ供給することができる。様々な実施形態において、プラズマは、誘導結合プラズマ、または容量結合プラズマ、またはマイクロ波プラズマであってよい。誘導結合プラズマのための電力は、約900Wなど約50Wから約2000Wの間に設定されてよい。電力は、基板の直接的なプラズマエッチングを生じないように、十分に低いレベルに設定されてよい。
一部の実施形態では、プラズマは使用されず、塩素は熱的にチャンバ内に導入されてよい。Cl2をClに解離させるエネルギは、2.51eVである。一部の実施形態では、このエネルギは、この動作中に熱エネルギ源またはその他の放射エネルギ源を使用して適用されてよい。一部の実施形態では、塩素は、基板の表面上に吸着可能な塩素原子に塩素を分解するために、十分に高い温度に加熱されてよい。
様々な実施形態において、動作315では、バイアスが印加される。堆積された金属の表面上に改質化学剤が吸着し、特徴の開口にまたは特徴の開口の近くに形成されるだろう継ぎ目に進入することを許容しつつ、改質化学剤によって、基板の表面に対して自然発生的なエッチングが生じるのを防ぐために、低バイアス電力が使用されてよい。例えば、約0Vから約200Vの間のバイアスが印加されてよい。本明細書で言う「バイアス電力」および「バイアス電圧」は、台座にバイアスが印加されるときに台座が設定される電圧を説明するために区別なく使用される。閾値バイアス電力または閾値バイアス電圧は、台座上の基板の表面上の材料がスパッタリングされる前に台座に印加されるバイアスの最大電圧を言う。閾値バイアス電力は、したがって、一部には、エッチング対象とされる材料、プラズマを生成するために使用されるガス、プラズマを生成するためのプラズマ電力、およびプラズマ周波数によって決まる。本明細書で説明されるバイアス電力またはバイアス電圧は、ボルトを単位として測定され、「V」または「Vb」で示され、bは、バイアスを意味する。電子ボルト(eV)は、1つの電子が1ボルトの電位差を経て加速することによって獲得するエネルギの量である。図4に関連して説明される電子ボルトは、1つの電子が1ボルトの電位差を経て加速することによって獲得するエネルギの量を言う。スパッタリング歩留まり(例えば、タングステンに向かって1つのアルゴンイオンが加速されるごとに、スパッタリングによって幾つのタングステン原子が表面から弾き出されるか)を決定するための計算は、図4の場合、電子ボルトを単位としたアルゴンイオンエネルギによって決まる。
バイアスは、特徴の深さ全体にわたって改質化学剤の勾配を確立するために使用されてよい。バイアスはもちろん、圧力などの他のパラメータも適切に制御することによって、特徴の深さ全体にわたって改質の(およびALEの)程度を制御することができる。一例では、特徴の頂部に若しくは特徴の頂部の近くに、または特徴の開口に若しくは特徴の開口の近くに、底部および側壁よりも多くの塩素が吸着されるだろう。バイアスは、基板の物理的スパッタリングを引き起こさないようなやり方で印加される。一部の実施形態では、バイアスは使用されなくてよい。一部の実施形態では、バイアスは、特徴の開口が十分に大きい場合は使用されなくてよい。動作315における圧力範囲の一例は、約30ミリトールから約80ミリトールの間であってよい。
一部の実施形態では、改質動作後にパージが実施されてよい。パージ動作では、表面に結合されていない活性塩素種がプロセスチャンバから除去されてよい。これは、吸着されていない改質化学剤を、吸着層を除去することなく除去するために、プロセスチャンバをパージするおよび/または排気することによって行うことができる。塩素をベースにしたプラズマ内で生成される種は、プラズマを停止して残りの種を崩壊させること、並びに随意としてチャンバのパージおよび/または排気を組み合わせることによって、除去することができる。パージは、N2、Ar、Ne、He、およびこれらの組み合わせなどの任意の不活性ガスを使用して行うことができる。
動作335では、改質された層は、活性化ガス、イオン衝撃ガス、または化学反応性ガスなどの、活性化された除去ガスを使用して基板から除去される。活性化された除去ガスは、不活性ガスであってよい。例えば、アルゴンが使用されてよい。一部の実施形態では、ネオンまたはクリプトンが使用されてよい。除去動作では、基板は、指向的なイオン衝撃によって基板をエッチングするために、アルゴンまたはヘリウムなどのエネルギ源(例えば、除去を誘発する活性化ガスまたはイオン衝撃ガスまたは化学反応種)に曝されてよい。一部の実施形態では、除去動作は、低エネルギイオン衝撃によって実施されてよい。一部の実施形態では、除去は、等方的であってよい。
除去ガスの量は、目標とされる量の材料のみをエッチングするように制御されてよい。様々な実施形態において、チャンバの圧力は、改質動作と除去動作との間で変動してよい。除去ガスの圧力は、チャンバのサイズ、除去ガスの流量、リアクタの温度、基板のタイプ、任意のキャリアガスの流量、およびエッチングされるタングステンの量によって決まってよい。動作335における圧力範囲の一例として、約1ミリトールから約15ミリトールの間が挙げられる。
除去中は、指向的なイオン衝撃を促すために、バイアスが随意に印加されてよい。バイアス電力は、スパッタリングは阻止するが、除去ガスが特徴に進入して特徴の開口におけるまたは特徴の開口の近くにおけるタングステンをエッチングしそれによって開口を開くことを可能にするように、選択される。バイアス電力は、基板上に堆積された金属に対する活性化された除去ガスのスパッタリング歩留まり閾値に応じて選択されてよい。本明細書で言うスパッタリングは、基板の表面の少なくとも一部を物理的に除去することを意味していてよい。イオン衝撃は、基板の表面上への種の物理的衝撃を意味していてよい。
図4は、“Energy Dependence of the Yields of Ion-Induced Sputtering of Monatomic Solids” by N. Matsunami, Y. Yamamura, Y. Itikawa, N. Itoh, Y. Kazumata, S. Miyagawa, K. Morita, R. Shimizu, and H. Tawara, IPPJ-AM-32 (Institute of Plasma Physics, Nagoya University, Japan, 1983)に基づいて計算されたスパッタリング歩留まりの一例を示している。
この図は、アルゴン原子によるタングステンの垂直入射スパッタリング歩留まりの計算値を、アルゴンイオンエネルギ(または閾値バイアス電力)に対して示している。この計算は、スパッタリング閾値として、32eVという値を使用した。閾値を僅かに上回るところ、即ち40eVアルゴンイオンエネルギでは、スパッタリング歩留まりは、約0.001原子/イオンであるように見える。しかしながら、80eVでは、スパッタリング歩留まりは、30倍に増加した。この曲線の例は、基板上におけるアルゴンのスパッタリングを阻止しつつも金属をエッチングするのに十分である最大アルゴンイオンエネルギを示している。図4は、スパッタリング閾値曲線の定性的表現を提供しているが、スパッタリング閾値は、特定のシステムおよび最大許容スパッタリング歩留まりについて実験的に決定されてよい。或るシステムでは、タングステンのスパッタリングは、アルゴンイオンの場合に80Vbで観察された。ここでは、「Vb」または「Vb」または「Vbias」は、単位がボルトであること、および「b」または「bias」は、電力がバイアス電力であることを特徴付けるために使用されることが、理解される。このように、アルゴンイオンを使用したタングステンの除去時におけるバイアス電力は、約80Vb未満、または約50Vb未満、または約50Vbと80Vbとの間に設定されてよい。一部の実施形態では、動作335は、幾らかの少量のスパッタリングが許容される場合、閾値バイアス電力よりも上で実施されてよい。また、特定のプロセスによっては、それよりも下では除去が起きない除去閾値電圧もあってよい。留意すべきは、スパッタリング閾値が、エッチング対象とされる金属、金属化合物、または他の材料にしたがって変わることである。
一部の実施形態では、チャンバは、除去動作後にパージされてよい。パージプロセスは、動作315後のパージに使用されるパージプロセスのうちの任意であってよい。
図3に戻り、動作315および動作335は、特徴を充填するための必要に応じて随意に繰り返されてよい。動作307では、特徴が十分に充填されたかどうかが判定される。十分でない場合、動作303および動作305が繰り返されてよい。一部の実施形態では、動作303が繰り返されて、特徴が十分に充填されることがあり、したがって、動作305は再び実施されなくてよい。一部の実施形態では、特徴が十分に充填されるまで、動作303および動作305が実施される。一部の実施形態では、特徴は、動作の繰り返しのいずれかで動作303を実施した後に十分に充填されることがあり、したがって、特徴が充填された後に、動作305は実施されない。一部の実施形態では、動作303および動作305は、同じチャンバ内で実施される。一部の実施形態では、動作303および動作305は、同じツール内で実施される。一部の実施形態では、動作303および動作305は、真空を破壊することなく実施される。一部の実施形態では、繰り返されるサイクルの動作303は、前のサイクルの動作303とは異なる堆積方法および前駆体を伴っていてよい。例えば、或るプロセスでは、ALDによってタングステンが特徴内に堆積されてよく、堆積されたタングステンをエッチングして特徴を開くために、ALEが実施されてよく、特徴を完全に充填するために、今度はタングステン含有前駆体および還元剤を使用してタングステンのCVDを実施することによって、タングステンの堆積が繰り返されてよい。別の例では、WF6およびBH4の交互パルスによって、タングステンが堆積され、プラズマの存在下でCl2およびArの交互パルスによっておよびバイアスを印加することによって、特徴の開口におけるまたは特徴の開口の近くにおけるタングステンがエッチングされてよく、同時に、WCl5およびH2に曝すことによって、タングステンが堆積されてよい。
図5は、開示された実施形態にしたがって実施されえるタイミング方式の図の一例を提供している。プロセス500は、堆積サイクル520Aと、エッチングサイクル505Aと、繰り返し堆積サイクル520Bおよびエッチングサイクル505Bとを含む。堆積サイクル520Aは、W CVD段階Aを含み、これは、図3の動作503に相当していてよい。図5では、CVD堆積が提供されているが、一部の実施形態では、この動作は、ALDによるなどの金属の周期的堆積を伴っていてよい。W CVD段階503Aでは、キャリアガスが流されてよく、その間、改質化学剤の流れはオフにされ、除去ガスはオフにされる。タングステンを堆積させるために、CVD前駆体が継続的に流されてよく、バイアスはオフにされる。エッチングサイクル505Aは、図3の動作315および動作335に相当していてよい。エッチングサイクル505Aは、表面改質515Aを含み、これは、図3の動作315に相当していてよい。表面改質515A中は、改質化学剤がキャリアガスとともに流され、その間、除去ガスおよびCVD前駆体の流れはオフにされる。図5に示されるように、バイアスはオンであってよい。表面改質515Aに続くのは、パージ段階525Aであり、これは、上述のように、随意の動作である。パージ段階525A中は、基板上に吸着されなかったあらゆる改質化学剤を除去するために、キャリアガスが継続的に流される。したがって、改質化学剤、除去ガス、およびCVD前駆体の流れはオフにされ、バイアスもオフにされる。除去段階535Aでは、除去ガスが流される一方でキャリアガスも継続的に流され、その間、改質化学剤およびCVD前駆体の流れはオフにされる。除去段階535A中は、バイアスもオンにされてよい。除去段階535Aは、図3の動作335に相当していてよい。様々な実施形態において、プラズマは、この段階中に発生する。パージ段階545Aは、改質化学剤、除去ガス、およびCVD前駆体の流れがオフにされ、バイアスもオフにされている間に、キャリアガスを流すことを伴ってよい。
図3の動作307にしたがうと、これらの動作は、図5に示されるように繰り返されてよい。堆積サイクル520Bは、W CVD段階503Bを伴い、これは、この例では、W CVD段階503Aと同じ流れを含む。ここでは、タングステンを堆積させるために、キャリアガスがCVD前駆体とともに流され、その間、除去ガスおよび改質化学剤の流れはオフにされ、バイアスもオフにされる。一部の実施形態では、これは、特徴を更に部分的に充填させるだろう。W CVD段階503Bでは、W CVD段階503Aと同じ前駆体が使用されてよいが、実施形態によっては、上述のように、繰り返される図3の動作303が、異なる堆積技術または前駆体を伴っていてよい。エッチングサイクル505Bは、繰り返しサイクルにおける図3の動作305に相当していてよい。エッチングサイクル505Bは、表面改質515Bを伴っており、ここでは、除去ガスおよびCVD前駆体の流れがオフにされている間に、キャリアガスおよび改質化学剤が流され、バイアスはオンにされる。パージ段階525Bは、キャリアガスが、その他の全ての流れがオフにされた状態で流され、バイアスもオフにされる。除去段階535Bは、キャリアガスを除去ガスとともに流すことを伴い、その間、改質化学剤およびCVD前駆体の流れはオフにされる。様々な実施形態において、プラズマは、この段階中に発生する。バイアスは、基板を指向的にエッチングするためにオンにされる。パージ段階545Bは、改質化学剤、除去ガス、またはCVD前駆体を流すことなくキャリアガスを流すことを伴い、その間、バイアスはオフにされている。
本明細書で説明された実施形態は、その他のプロセスと統合されてよい。例えば、ALEエッチングは、MSSD(マルチステーション順次堆積)チャンバ構造に統合することができる。ここでは、同様な化学剤を使用する統合された堆積/エッチング/堆積を可能にし、より優れた充填およびより速いスループット能力を実現するために、堆積ステーションの1つをALEステーションに置き換えることができる。開示された実施形態は、実施形態によっては、真空を破壊することなく実施されてよい。例えば、一部の実施形態では、開示された実施形態は、同じチャンバ内でまたは同じツール内で実施されてよい。開示された実施形態の実施に適した装置の更なる例が、以下で更に説明される。
装置
次に、誘導結合プラズマ(ICP)リアクタが説明される。このようなリアクタは、特定の実施形態では、原子層エッチング(ALE)動作および原子層堆積(ALD)動作に適しているだろう。このようなICPリアクタは、2013年10月12日に出願された名称を「IMAGE REVERSAL WITH AHM GAP FILL FOR MULTIPLE PATTERNING(複数パターン形成のためのAHMギャップ充填を伴う画像反転)」とする米国特許出願公開第2014/0170853号でも説明されており、この出願公開は、あらゆる目的のために、参照によって全体を本明細書に組み込まれる。本明細書ではICPリアクタが説明されているが、実施形態によっては容量結合プラズマリアクタが使用されてもよいことが、理解されるべきである。
図6は、本明細書における特定の実施形態を実行するのに適した誘導結合プラズマ統合型エッチング・堆積装置600の断面を概略的に示している。このような装置の一例が、カリフォルニア州フリーモントのLam Research Corp.によって製作されたKiyo(登録商標)リアクタである。誘導結合プラズマ装置600は、チャンバ壁601と窓611とによって構造的に画定された全体プロセスチャンバ624を含む。チャンバ壁601は、ステンレス鋼またはアルミニウムで作成されてよい。窓611は、石英またはその他の誘電体材料で作成されてよい。随意の内部プラズマ格子650が、全体プロセスチャンバ624を上方サブチャンバ602と下方サブチャンバ603とに分ける。大半の実施形態では、プラズマ格子650が取り外され、それによってサブチャンバ602と603とで形成されたチャンバ空間が利用されてよい。下方サブチャンバ603内の底部の内表面の近くには、チャック617が配置される。チャック617は、エッチングプロセスおよび堆積プロセスを実施される半導体基板またはウエハ619を受けて保持するように構成される。チャック617は、ウエハ619が存在するときにそのウエハを支えるための静電チャックであってよい。一部の実施形態では、エッジリング(不図示)がチャック617を取り囲み、ウエハ619がチャック617の上に存在するときにそのウエハの上面とおおよそ同一面上にくる上面を有する。チャック617は、また、ウエハ619を把持するおよび解放するための静電電極も含む。この目的のために、フィルタおよびDCクランプ電源(不図示)が提供されてよい。ウエハ619をチャック617から持ち上げるためのその他の制御システムが提供されてもよい。チャック617は、RF電源623を使用して電気的に充填することができる。RF電源623は、接続627を通じて整合回路網621に接続される。整合回路網621は、接続625を通じてチャック617に接続される。このようにして、RF電源623は、チャック617に接続される。
プラズマ生成のための構成要素には、窓611の上方に配置されたコイル633がある。一部の実施形態では、コイルは、開示された実施形態では使用されない。コイル633は、電導性材料で作成され、少なくとも1回の完全な巻きを含む。図6に示されたコイル633の例は、3回の巻きを含む。コイル633の断面は、記号で示され、「X」を有するコイルは、ページに進入する方向に巻いており、「●」を有するコイルは、ページから突き出る方向に巻いている。プラズマ生成のための構成要素は、また、コイル633にRF電力を供給するように構成されたRF電源641も含む。総じて、RF電源641は、接続645を通じて整合回路網639に接続される。整合回路網639は、接続643を通じてコイル633に接続される。このようにして、RF電源641は、コイル633に接続される。コイル633と、窓611との間には、随意のファラデーシールド649が配置される。ファラデーシールド649は、コイル633に対して相隔てられた関係に維持される。ファラデーシールド649は、窓611の真上に設置される。コイル633、ファラデーシールド649、および窓611は、それぞれ、互いに平行であるように構成される。ファラデーシールド649は、プロセスチャンバ624の窓611に金属またはその他の種が堆積するのを防ぐだろう。
上方サブチャンバ602内に配置された1つ以上の主要ガス流入口660を通しておよび/または1つ以上の脇ガス流入口670を通して、プロセスガス(例えば、タングステン含有前駆体などの金属前駆体、還元剤、キャリアガス、ハロゲン含有ガス、塩素、アルゴン等)がプロセスチャンバに流し込まれてよい。同様に、図には明示されていないが、プロセスガスを容量結合プラズマ処理チャンバに供給するために、同様のガス流入口が使用されてよい。プロセスガスをプロセスチャンバ624から引き出すためにおよびプロセスチャンバ624内の圧力を維持するために、例えば1段階若しくは2段階の機械式ドライポンプ、および/またはターボ分子ポンプなどの、真空ポンプ640が使用されてよい。例えば、真空ポンプ640は、ALEのパージ動作中に下方サブチャンバ603を排気するために使用されてよい。真空ポンプをプロセスチャンバ624に流体的に接続し、真空ポンプによって提供される真空環境の適用を選択的に制御するために、弁制御式の導管が使用されてよい。これは、操作可能なプラズマ処理中に、絞り弁(不図示)または振り子弁(不図示)などの閉ループ制御式流量制限機器を用いてなされてよい。同様に、真空ポンプおよび弁によって制御される、容量結合プラズマ処理チャンバへの流体接続が用いられてもよい。
装置600の動作中は、ガス流入口660および/または670を通じて1種以上のプロセスガスが供給されてよい。特定の実施形態では、プロセスガスは、主要ガス流入口660を通じてのみ、または脇ガス流入口670を通じてのみ供給されてよい。場合によっては、図に示されているガス流入口は、例えば、より複雑なガス流入口や1つ以上のシャワーヘッドなどで置き換えられてよい。ファラデーシールド649および/または随意の格子650は、プロセスチャンバ624へのプロセスガスの供給を可能にする内部の通路および穴を含んでいてよい。ファラデーシールド649および随意の格子650は、そのいずれかまたは両方が、プロセスガスの供給のためのシャワーヘッドとして機能してよい。一部の実施形態では、液状の反応剤または前駆体がひとたび気化されるとガス流入口660および/または670を通ってプロセスチャンバ624へ導入されるように、プロセスチャンバ624の上流に液体気化・供給システムが配されてよい。
RF電源641からコイル633には、RF電流をコイル633に流れさせるための高周波電力が供給される。コイル633を流れるRF電流は、コイル633の周囲に電磁場を生成する。この電磁場は、上方サブチャンバ602内に誘導電流を生成する。生成された様々なイオンおよびラジカルとウエハ619との物理的および化学的相互作用は、ウエハ619の特徴をエッチングするとともに、ウエハ619上に層を堆積させる。
下方サブチャンバ603からポート622を通して、揮発性のエッチングおよび/または堆積副生成物が除去されてよい。本明細書で開示されるチャック617は、約10℃から約250℃の範囲にわたる高温で動作してよい。温度は、プロセスの動作および具体的なレシピに依存する。
装置600は、洗浄室内または製造施設内に設置されるときに、設備(不図示)に接続されてよい。設備は、処理ガス、真空、温度制御、および環境粒子制御を提供する配管系統を含む。これらの設備は、対象の製造施設内に設置されるときに、装置600に接続される。また、装置600は、代表的な自動化を使用して装置600に対してロボットが半導体ウエハを出し入れすることを可能にする移送チャンバに接続されてよい。
一部の実施形態では、プロセスチャンバ624の動作の一部または全部を、システムコントローラ630(1つ以上の物理的または論理的コントローラを含んでいてよい)が制御する。システムコントローラ630は、1つ以上のメモリデバイスと、1つ以上のプロセッサとを含んでいてよい。例えば、メモリは、塩素を含有する改質化学剤などの改質化学剤と、アルゴンなどの除去ガスとの間で流れを切り替えるための命令、またはプラズマを発生させる若しくはバイアスを印加するための命令を含んでいてよい。例えば、メモリは、一部の動作中にバイアスを約0Vから約200Vの電力に設定するための命令を含んでいてよい。一部の実施形態では、装置600は、開示された実施形態が実施されるときに流量および持続時間を制御するための切り替えシステムを含む。一部の実施形態では、装置600は、最長で約500msの、または最長で約750msの切り替え時間を有していてよい。切り替え時間は、流れの化学的性質、選択されるレシピ、リアクタの構造、およびその他の要素によって決まってよい。
一部の実施形態では、開示された実施形態は、MSSD(マルチステーション順次堆積)チャンバ構造に統合することができる。ここでは、同様な化学剤を使用する統合された堆積/エッチング/堆積プロセスを可能にし、より優れた充填およびより速いスループット能力を実現するために、堆積ステーションの1つをALEステーションに置き換えることができる。
一部の実装形態では、コントローラ630は、システムの一部であり、このシステムは、上述された例の一部であってよい。このようなシステムは、1つ若しくは複数の処理ツール、1つ若しくは複数のチャンバ、処理のための1つ若しくは複数のプラットフォーム、および/または特定の処理コンポーネント(ウエハ台座やガス流システムなど)などの、半導体処理機器を含むことができる。これらのシステムは、半導体ウエハまたは基板の処理の前、間、および後におけるそれらの動作を制御するための電子機器と一体化されてよい。電子機器は、システムコントローラ630に一体化されてよく、1つまたは複数のシステムの様々なコンポーネントまたは副部品を制御してよい。システムコントローラ630は、処理パラメータおよび/またはシステムタイプに応じ、処理ガスの供給、温度の設定(例えば、加熱および/若しくは冷却)、圧力の設定、真空の設定、電力の設定、高周波(RF)発生器の設定、RF整合回路の設定、周波数の設定、流量の設定、流体供給の設定、位置および動作の設定、特定のシステムに接続された若しくはインターフェース接続されたツールおよびその他の移送ツールおよび/若しくはロードロックに対してウエハを出入りさせるウエハ移送などの、本明細書で開示される任意のプロセスを制御するようにプログラムされてよい。
概して、システムコントローラ630は、命令を受信する、命令を発行する、動作を制御する、洗浄動作を可能にする、終点測定を可能にするなどを行う様々な集積回路、ロジック、メモリ、および/またはソフトウェアを有する電子機器として定義されてよい。集積回路は、プログラム命令を記憶するファームウェアの形態をとるチップ、デジタル信号プロセッサ(DSP)、特殊用途向け集積回路(ASIC)として定められたチップ、および/またはプログラム命令(例えばソフトウェア)を実行する1つ以上のマイクロプロセッサ若しくはマイクロコントローラを含んでいてよい。プログラム命令は、様々な個別設定(またはプログラムファイル)の形でコントローラに伝えられて、半導体ウエハに対して若しくは半導体ウエハのためにまたはシステムに対して特定のプロセスを実行に移すための動作パラメータを定義する、命令であってよい。動作パラメータは、一部の実施形態では、1枚以上の層、材料、金属、酸化物、ケイ素、二酸化ケイ素、表面、回路、および/またはウエハダイの製作における1つ以上の処理工程を実現するためにプロセスエンジニアによって定義されるレシピの一部であってよい。
コントローラ630は、一部の実装形態では、システムと一体化された、システムにつながれた、それ以外の形でシステムにネットワーク接続された、若しくはこれらの組み合わせである、コンピュータの一部であってよい、またはそのようなコンピュータにつながれてよい。例えば、コントローラは、「クラウド」、即ちファブホストコンピュータシステムの全体若しくは一部の中にあってよく、これは、ウエハ処理の遠隔アクセスを可能にすることができる。コンピュータは、製造作業の現進行状況を監視するために、または過去の製造作業の履歴を調査するために、または複数の製造作業から傾向若しくは性能基準を調査するために、または現処理のパラメータを変更するために、または処理工程を設定して現処理を追跡するために、または新しいプロセスを開始させるために、システムへの遠隔アクセスを可能にしてよい。一部の例では、遠隔コンピュータ(例えば、サーバ)が、ネットワークを通じてシステムにプロセスレシピを提供することができ、このとき、ネットワークは、ローカルネットワークまたはインターネットなどであってよい。遠隔コンピュータは、パラメータおよび/若しくは設定の入力またはプログラミングを可能にするユーザインターフェースを含んでいてよく、これらのパラメータおよび/または設定は、次いで、遠隔コンピュータからシステムに伝えられる。一部の例では、システムコントローラ630は、1つ以上の動作中に実施される各処理工程のためのパラメータを指定するデータの形式で命令を受信する。なお、パラメータは、実施されるプロセスのタイプに、およびコントローラがインターフェース接続されるようにまたは制御するように構成されたツールのタイプに特有であってよいことが理解されるべきである。したがって、上述のように、システムコントローラ630は、ネットワークによって結ばれて本明細書で説明されるプロセスおよび制御などの共通の目的に向かって作業する1つ以上の個別のコントローラを含むなどによって分散されてよい。このような目的のための分散コントローラの一例として、(プラットフォームレベルにまたは遠隔コンピュータの一部として)遠隔設置されてチャンバにおけるプロセスを協同で制御する1つ以上の集積回路に通じるチャンバ上の1つ以上の集積回路が挙げられるだろう。
代表的なシステムとしては、制限なく、プラズマエッチングチャンバ若しくはプラズマエッチングモジュール、堆積チャンバ若しくは堆積モジュール、スピン・リンスチャンバ若しくはスピン・リンスモジュール、金属めっきチャンバ若しくは金属めっきモジュール、洗浄チャンバ若しくは洗浄モジュール、ベベルエッジエッチングチャンバ若しくはベベルエッジエッチングモジュール、物理蒸着(PVD)チャンバ若しくはPVDモジュール、化学気相成長(CVD)チャンバ若しくはCVDモジュール、ALDチャンバ若しくはALDモジュール、ALEチャンバ若しくはALEモジュール、イオン注入チャンバ若しくはイオン注入モジュール、追跡チャンバ若しくは追跡モジュール、並びに半導体ウエハの製作および/若しくは製造に関係付けられた若しくは使用されるその他の任意の半導体処理システムが挙げられる。
上記のように、ツールによって実施される1つ以上のプロセス工程に応じ、コントローラは、その他のツール回路若しくはツールモジュール、その他のツールコンポーネント、クラスタツール、その他のツールインターフェース、隣接するツール、近隣のツール、工場の随所にあるツール、メインコンピュータ、別のコントローラ、または半導体製造工場におけるツール場所および/若しくは装填ポートに対してウエハが入った容器を出し入れする材料輸送に使用されるツールのうちの、1つ以上とやり取りするだろう。
図7は、真空移送モジュール738(VTM)と境界を接する様々なモジュールを伴う半導体プロセスクラスタ構造を示している。複数の貯蔵設備と処理モジュールとの間でウエハを「移送する」ための様々なモジュールの配置構成は、「クラスタツール構造」システムと呼ばれてよい。ロードロックまたは移送モジュールとしても知られるエアロック730が、VTM738と境界を接し、VTM738は、様々な製造プロセスを実施するように個別に最適化されえる4つの処理モジュール720a〜720dと境界を接している。例えば、処理モジュール720a〜720dは、基板エッチング、堆積、イオン注入、ウエハ洗浄、スパッタリング、および/またはその他の半導体プロセスを実施するように実装されてよい。一部の実施形態では、ALDとALEは、同じモジュール内で実施される。一部の実施形態では、ALDとALEは、同じツールの異なるモジュール内で実施される。基板エッチング処理モジュールは、その1つ以上(720a〜720dの任意)が、本明細書で開示されるように実装されてよい、即ち、共形的な膜を堆積させるために、およびALDによって膜を選択的にエッチングするために、およびパターンをエッチングするために、および開示された実施形態にしたがったその他の適切な機能を実現するために実装されてよい。エアロック730、および処理モジュール720a〜720dは、「ステーション」と呼ばれてよい。各ステーションは、それをVTM738につなぎ合わせるファセット736を有する。各ファセットの内部では、ウエハ726がそれぞれのステーション間で移動されるときの通過を検出するために、センサ1〜18が使用される。
ロボット722が、ステーション間でウエハ726を移送する。一実施形態では、ロボット722は、1本のアームを有し、別の一実施形態では、ロボット722は、2本のアームを有し、各アームは、搬送のためにウエハ726などのウエハを拾い上げるためのエンドエフェクタ724を有する。ウエハ726をカセット、即ちロードポートモジュール(LPM)742内の前面開閉式一体化ポッド(FOUP)からエアロック730に移送するために、大気圧移送モジュール(ATM)740内のフロントエンドロボット732が使用される。プロセスモジュール720a〜720d内のモジュールセンタ728は、ウエハ726を置くための1つの場所である。ウエハを位置合わせするために、ATM740内のアライナ744が使用される。
代表的な処理方法では、LPM742内のFOUP734の1つにウエハが入れられる。フロントエンドロボット732が、ウエハをFOUP734からアライナ744に移送し、アライナ744は、ウエハ726がエッチング前または処理前に正しく中心合わせされることを可能にする。位置を揃えられた後、ウエハ726は、フロントエンドロボット732によってエアロック730内へ移動される。エアロック730は、ATM740とVTM738との間で環境を一致させる機能を有するので、ウエハ726は、損傷されることなく2つの圧力環境間で移動することができる。エアロック730からは、ウエハ726は、ロボット722によってVTM738を経てプロセスモジュール720a〜720dの1つに移動される。このウエハ移動を実現するために、ロボット722は、その各アーム上のエンドエフェクタ724を使用する。ウエハ726は、ひとたび処理されると、ロボット722によってプロセスモジュール720a〜720dからエアロック730へ移動される。ここから、ウエハ726は、フロントエンドロボット732によってFOUP734の1つまたはアライナ744へ移動されてよい。
なお、ウエハ移動を制御しているコンピュータは、クラスタ構造の内部にあること、またはクラスタ構造の外の製造現場内にあること、または遠隔地にあってネットワークを通じてクラスタ構造に接続されることが可能である。図6に関連して上述されたコントローラは、図7のツールに実装されてよい。
実験
実験1
塩素の吸着を伴うがアルゴンによるスパッタリングを伴わない場合と、アルゴンスによるパッタリングおよび塩素の吸着を伴う原子層エッチング(ALE)の場合とについて、タングステンのエッチング速度が塩素化バイアス電力に対して記された。結果は、図8にグラフ化されている。破線は、塩素の吸着および900Wでのプラズマの発生を伴うが、アルゴンスパッタリングを伴わないプロセスについて、タングステンのエッチング速度を塩素化バイアス(塩素の吸着時におけるバイアス電力)に対して示している。実線は、塩素の吸着および900Wでのプラズマの発生と、その後に続く60Vのバイアス電力によるアルゴン衝撃とを伴うプロセスについて、タングステンのエッチング速度を塩素化バイアスに対して示している。図8に示されている塩素化バイアス閾値電圧は、約60Vである。なお、着目すべきは、塩素化バイアスが60V未満であるところでは、アルゴンのイオン衝撃を使用しなければタングステンはエッチングされないことである。塩素化バイアスが60Vを超えるところでは、アルゴンイオン衝撃を伴わない場合のタングステンのエッチング速度が、アルゴンイオン衝撃を伴うプロセスの場合よりも大幅に低くなる。これらの結果は、様々な実施形態において、ALE方法によるタングステンのエッチングの速度を調整するために、アルゴンイオン衝撃が使用されてよいことを示唆している。このような調整では、1)塩素化の間は、エッチングを伴うことなくタングステン基板上に塩素が吸着され、2)アルゴンイオン衝撃の間は、バイアス電力をスパッタリング閾値よりも低く設定することによって物理的除去(即ちスパッタリング)を低減または阻止するために、バイアス電力が制御される。
実験2
タングステンを充填される特徴を伴う基板に対し、実験が行われた。特徴は、窒化チタン(TiN)障壁層で覆われた。タングステンは、特徴の表面上で核形成され、原子層堆積(WF6およびB26の交互パルス)によって堆積された。図9Aは、TiN障壁層914と、共形的なタングステン層906とで覆われた基板910内の20nmの特徴912を示している。特徴の頂部には、開口918aが示されている。
図9Aにおける基板は、(1)in−situ誘導結合プラズマ電力が900Wであり、バイアスをかけられていない、60℃のCl2/BCl3のパルスと、(2)プラズマが300Wであり、60Vbのバイアスをかけられており、圧力が(1)よりも低い、60℃のアルゴンガスのパルスとを交互させることを伴うALEに、10サイクルにわたって曝された。結果として得られた基板が、図9Bに示されている。なお、着目すべきは、開口918bが開かれ、そうすることによって、特徴を完全に充填するために特徴内へ引き続きタングステンが堆積することが可能にされていることである。下の表1は、基板の様々な部分に堆積されたタングステンの厚さの測定値はもちろんのこと、トレンチの開きおよびTiN障壁の平均厚さの測定値も示している。測定値は、ナノメートル単位で示されている。
基板は、更に、(1)in−situ誘導結合プラズマ電力が900Wであり、バイアスをかけられていない、60℃のCl2/BCl3のパルスと、(2)プラズマが300Wであり、60Vbのバイアスをかけられており、圧力が(1)よりも低い、60℃のアルゴンガスのパルスとを交互させることを伴うALEに、更に5サイクルにわたって曝された。結果として得られた測定値が、下の表2に示されている。
これらの結果は、開示された実施形態が、サイクル数、パラメータ、およびその他の要素に応じてタングステン膜のエッチング量を精密に制御することを可能にすることを示唆している。例えば、より多くのタングステンをエッチングするためには、より多くのサイクルが実施されてよい。表2の結果は、ALEプロセスが原因で、タングステンの一部が凹むが、その後に続くタングステン堆積のサイクルが、ALEでエッチングされたタングステンを回復させられることを示唆している。TiN障壁は、基板上に残り、ALEのエッチングサイクルは、TiN障壁層を露出させないように特徴の表面上にタングステンが十分に残ることを保証するように調整されてよい。
結論
以上の実施形態は、理解を明確にする目的で幾分詳細に説明されてきたが、添付の特許請求の範囲内で特定の変更および修正がなされてよいことが明らかである。本実施形態のプロセス、システム、および装置を実現するには多くの代替のやり方があることが、留意されるべきである。したがって、本実施形態は、例示的であって限定的ではないと見なされ、これらの実施形態は、本明細書で与えられた詳細に限定されない。
一部の実施形態では、ハロゲン含有ガスは、塩素、臭素、ヨウ素、六フッ化硫黄、四フッ化ケイ素、三塩化ホウ素、またはこれらを組み合わせからなる群から選択される。一部の実施形態では、活性化ガスは、ネオン、クリプトン、アルゴン、またはこれらを組み合わせたものなどの、不活性ガスである。
別の態様は、(a)特徴をタングステンで部分的に充填することと、(b)特徴をハロゲン含有ガスおよび活性化ガスの交互パルスに曝すことによって、特徴の開口におけるまたは特徴の開口の近くにおけるタングステンを指向的にエッチングすることと、(c)特徴をタングステンで充填することと、を含む方法を伴っていてよい。
タングステンは、CVDによって堆積されてよい。一部の実施形態では、タングステンは、ALDによって堆積される。タングステンは、特徴をタングステン含有前駆体および還元剤の交互パルスに曝すことによって堆積されてよい。タングステンは、塩素含有タングステン前駆体を使用して堆積されてよい。一部の実施形態では、タングステンは、フッ素を含まないタングステンである。
この図は、アルゴンイオンによるタングステンの垂直入射スパッタリング歩留まりの計算値を、アルゴンイオンエネルギ(または閾値バイアス電力)に対して示している。この計算は、スパッタリング閾値として、32eVという値を使用した。閾値を僅かに上回るところ、即ち40eVアルゴンイオンエネルギでは、スパッタリング歩留まりは、約0.001原子/イオンであるように見える。しかしながら、80eVでは、スパッタリング歩留まりは、30倍に増加した。この曲線の例は、基板上におけるタングステンのスパッタリングを阻止しつつも金属をエッチングするのに十分である最大アルゴンイオンエネルギを示している。図4は、スパッタリング閾値曲線の定性的表現を提供しているが、スパッタリング閾値は、特定のシステムおよび最大許容スパッタリング歩留まりについて実験的に決定されてよい。或るシステムでは、タングステンのスパッタリングは、アルゴンイオンの場合に80Vbで観察された。ここでは、「Vb」または「Vb」または「Vbias」は、単位がボルトであること、および「b」または「bias」は、電力がバイアス電力であることを特徴付けるために使用されることが、理解される。このように、アルゴンイオンを使用したタングステンの除去時におけるバイアス電力は、約80Vb未満、または約50Vb未満、または約50Vbと80Vbとの間に設定されてよい。一部の実施形態では、動作335は、幾らかの少量のスパッタリングが許容される場合、閾値バイアス電力よりも上で実施されてよい。また、特定のプロセスによっては、それよりも下では除去が起きない除去閾値電圧もあってよい。留意すべきは、スパッタリング閾値が、エッチング対象とされる金属、金属化合物、または他の材料にしたがって変わることである。
図3に戻り、動作315および動作335は、特徴を充填するための必要に応じて随意に繰り返されてよい。動作307では、特徴が十分に充填されたかどうかが判定される。十分でない場合、動作303および動作305が繰り返されてよい。一部の実施形態では、動作303が繰り返されて、特徴が十分に充填されることがあり、したがって、動作305は再び実施されなくてよい。一部の実施形態では、特徴が十分に充填されるまで、動作303および動作305が実施される。一部の実施形態では、特徴は、動作の繰り返しのいずれかで動作303を実施した後に十分に充填されることがあり、したがって、特徴が充填された後に、動作305は実施されない。一部の実施形態では、動作303および動作305は、同じチャンバ内で実施される。一部の実施形態では、動作303および動作305は、同じツール内で実施される。一部の実施形態では、動作303および動作305は、真空を破壊することなく実施される。一部の実施形態では、繰り返されるサイクルの動作303は、前のサイクルの動作303とは異なる堆積方法および前駆体を伴っていてよい。例えば、或るプロセスでは、ALDによってタングステンが特徴内に堆積されてよく、堆積されたタングステンをエッチングして特徴を開くために、ALEが実施されてよく、特徴を完全に充填するために、今度はタングステン含有前駆体および還元剤を使用してタングステンのCVDを実施することによって、タングステンの堆積が繰り返されてよい。別の例では、WFおよびB の交互パルスによって、タングステンが堆積され、プラズマの存在下でClおよびArの交互パルスによっておよびバイアスを印加することによって、特徴の開口におけるまたは特徴の開口の近くにおけるタングステンがエッチングされてよく、同時に、WClおよびHに曝すことによって、タングステンが堆積されてよい。
図5は、開示された実施形態にしたがって実施されえるタイミング方式の図の一例を提供している。プロセス500は、堆積サイクル520Aと、エッチングサイクル505Aと、繰り返し堆積サイクル520Bおよびエッチングサイクル505Bとを含む。堆積サイクル520Aは、W CVD段階Aを含み、これは、図3の動作03に相当していてよい。図5では、CVD堆積が提供されているが、一部の実施形態では、この動作は、ALDによるなどの金属の周期的堆積を伴っていてよい。W CVD段階503Aでは、キャリアガスが流されてよく、その間、改質化学剤の流れはオフにされ、除去ガスはオフにされる。タングステンを堆積させるために、CVD前駆体が継続的に流されてよく、バイアスはオフにされる。エッチングサイクル505Aは、図3の動作315および動作335に相当していてよい。エッチングサイクル505Aは、表面改質515Aを含み、これは、図3の動作315に相当していてよい。表面改質515A中は、改質化学剤がキャリアガスとともに流され、その間、除去ガスおよびCVD前駆体の流れはオフにされる。図5に示されるように、バイアスはオンであってよい。表面改質515Aに続くのは、パージ段階525Aであり、これは、上述のように、随意の動作である。パージ段階525A中は、基板上に吸着されなかったあらゆる改質化学剤を除去するために、キャリアガスが継続的に流される。したがって、改質化学剤、除去ガス、およびCVD前駆体の流れはオフにされ、バイアスもオフにされる。除去段階535Aでは、除去ガスが流される一方でキャリアガスも継続的に流され、その間、改質化学剤およびCVD前駆体の流れはオフにされる。除去段階535A中は、バイアスもオンにされてよい。除去段階535Aは、図3の動作335に相当していてよい。様々な実施形態において、プラズマは、この段階中に発生する。パージ段階545Aは、改質化学剤、除去ガス、およびCVD前駆体の流れがオフにされ、バイアスもオフにされている間に、キャリアガスを流すことを伴ってよい。
実験
実験1
塩素の吸着を伴うがアルゴンイオン衝撃を伴わない場合と、アルゴンスイオン衝撃および塩素の吸着を伴う原子層エッチング(ALE)の場合とについて、タングステンのエッチング速度が塩素化バイアス電力に対して記された。結果は、図8にグラフ化されている。破線は、塩素の吸着および900Wでのプラズマの発生を伴うが、アルゴンイオン衝撃を伴わないプロセスについて、タングステンのエッチング速度を塩素化バイアス(塩素の吸着時におけるバイアス電力)に対して示している。実線は、塩素の吸着および900Wでのプラズマの発生と、その後に続く60Vのバイアス電力によるアルゴン衝撃とを伴うプロセスについて、タングステンのエッチング速度を塩素化バイアスに対して示している。図8に示されている塩素化バイアス閾値電圧は、約60Vである。なお、着目すべきは、塩素化バイアスが60V未満であるところでは、アルゴンのイオン衝撃を使用しなければタングステンはエッチングされないことである。塩素化バイアスが60Vを超えるところでは、アルゴンイオン衝撃を伴わない場合のタングステンのエッチング速度が、アルゴンイオン衝撃を伴うプロセスの場合よりも大幅に低くなる。これらの結果は、様々な実施形態において、ALE方法によるタングステンのエッチングの速度を調整するために、アルゴンイオン衝撃が使用されてよいことを示唆している。このような調整では、1)塩素化の間は、エッチングを伴うことなくタングステン基板上に塩素が吸着され、2)アルゴンイオン衝撃の間は、バイアス電力をスパッタリング閾値よりも低く設定することによって物理的除去(即ちスパッタリング)を低減または阻止するために、バイアス電力が制御される。
結論
以上の実施形態は、理解を明確にする目的で幾分詳細に説明されてきたが、添付の特許請求の範囲内で特定の変更および修正がなされてよいことが明らかである。本実施形態のプロセス、システム、および装置を実現するには多くの代替のやり方があることが、留意されるべきである。したがって、本実施形態は、例示的であって限定的ではないと見なされ、これらの実施形態は、本明細書で与えられた詳細に限定されない。
適用例1:基板上の特徴を充填する方法であって、
(a)第1の量の金属を特徴内に堆積させ、
(b)
(i)前記堆積された金属の表面を、前記金属をハロゲン含有ガスに曝すことによって改質し、
(ii)前記金属を選択的にエッチングするために、前記改質された表面を活性化ガスに曝すこと、
によって、前記特徴の開口におけるまたは前記特徴の前記開口の近くにおける前記金属を前記特徴の内部領域に対して指向的にエッチングすることと、
を備える方法。
適用例2:適用例1に記載の方法であって、
前記金属は、チタン、タンタル、ニッケル、コバルト、またはモリブデンのうちの1つを含有する、方法。
適用例3:適用例1に記載の方法であって、
前記金属は、タングステンを含有する、方法。
適用例4:適用例1に記載の方法であって、更に、
(i)および(ii)の少なくともいずれかの間にバイアスを印加することを備える方法。
適用例5:適用例4に記載の方法であって、
前記バイアス電力は、閾値バイアス電力未満である、方法。
適用例6:適用例1に記載の方法であって、
(b)は、自己制限反応を含む、方法。
適用例7:適用例1に記載の方法であって、
前記基板は、様々なサイズの開口を有する特徴を含む、方法。
適用例8:適用例1に記載の方法であって、
(a)および(b)は、真空を破壊することなく実施される、方法。
適用例9:適用例1に記載の方法であって、
(a)および(b)は、同じチャンバ内で実施される、方法。
適用例10:適用例8に記載の方法であって、
(a)および(b)は、同じツールの異なるチャンバ内で実施される、方法。
適用例11:適用例1に記載の方法であって、
前記活性化ガスは、ネオン、クリプトン、およびアルゴンからなる群より選択される、方法。
適用例12:適用例1ないし11のいずれか一項に記載の方法であって、更に、
(i)および(ii)の少なくともいずれかの間にプラズマを発生させることを備える方法。
適用例13:適用例1ないし11のいずれか一項に記載の方法であって、
前記特徴は、少なくとも3:1のアスペクト比を有する、方法。
適用例14:適用例1ないし11のいずれか一項に記載の方法であって、
前記開口は、幅が20nm未満である、方法。
適用例15:適用例1ないし11のいずれか一項に記載の方法であって、更に、
(a)および(b)を繰り返すことを備える方法。
適用例16:適用例1ないし11のいずれか一項に記載の方法であって、
前記ハロゲン含有ガスは、塩素、臭素、ヨウ素、六フッ化硫黄、四フッ化ケイ素、三塩化ホウ素、およびこれらの組み合わせからなる群より選択される、方法。
適用例17:適用例4に記載の方法であって、
前記バイアス電力は、約80Vb未満である、方法。
適用例18:適用例12に記載の方法であって、
前記プラズマ電力は、約0Wから約1000Wの間である、方法。
適用例19:方法であって、
(a)特徴をタングステンで部分的に充填し、
(b)前記基板をハロゲン含有ガスおよび活性化ガスの交互パルスに曝すことによって、前記特徴の開口におけるまたは前記特徴の前記開口の近くにおけるタングステンを指向的にエッチングし、
(c)前記特徴をタングステンで充填すること、
を備える方法。
適用例20:適用例19に記載の方法であって、
(b)の間にバイアスが印加される方法。
適用例21:適用例19に記載の方法であって、
(b)の間に閾値バイアス電力でバイアスが印加される方法。
適用例22:適用例19に記載の方法であって、
(a)および(b)は、真空を破壊することなく実施される、方法。
適用例23:適用例19に記載の方法であって、
(a)および(b)は、同じチャンバ内で実施される、方法。
適用例24:適用例19ないし23のいずれか一項に記載の方法であって、更に、
(a)および(b)を繰り返すことを備える方法。
適用例25:適用例19ないし23のいずれか一項に記載の方法であって、
前記特徴を充填することは、(a)および(b)を繰り返すことを含む、方法。
適用例26:適用例19ないし23のいずれか一項に記載の方法であって、
前記タングステンは、CVDによって堆積される、方法。
適用例27:適用例19ないし23のいずれか一項に記載の方法であって、
前記タングステンは、ALDによって堆積される、方法。
適用例28:適用例19ないし23のいずれか一項に記載の方法であって、
前記タングステンは、前記基板をタングステン含有前駆体および還元剤の交互パルスに曝すことによって堆積される、方法。
適用例29:適用例19ないし23のいずれか一項に記載の方法であって、
前記タングステンは、塩素含有タングステン含有前駆体を使用して堆積される、方法。
適用例30:適用例19ないし23のいずれか一項に記載の方法であって、
前記タングステンは、フッ素を含まないタングステンである、方法。
適用例31:半導体基板を処理するための装置であって、
シャワーヘッドおよび基板サポートを含むプロセスチャンバと、
プラズマ生成器と、
少なくとも1つのプロセッサおよびメモリを有するコントローラであって、
前記少なくとも1つのプロセッサおよび前記メモリは、通信可能に互いに接続され、
前記少なくとも1つのプロセッサは、少なくとも操作可能にで流量制御ハードウェアに接続され、
前記メモリは、
(i)基板上にタングステンを堆積させるために、タングステン含有前駆体および還元剤を前記チャンバに導入するための機械読み取り可能命令と、
(ii)前記タングステンの表面を改質するために、ハロゲン含有ガスを導入するための機械読み取り可能命令と、
(iii)前記改質されたタングステン表面の少なくとも一部をエッチングするために、活性化ガスを導入するおよびプラズマを発生させるための機械読み取り可能命令と、
を格納している、
コントローラと、
を備える装置。
適用例32:適用例31に記載の装置であって、
前記メモリは、更に、(ii)の間にプラズマを発生させるための機械読み取り可能命令を格納している、装置。
適用例33:適用例31に記載の装置であって、
前記基板サポートは、バイアスを含み、前記メモリは、更に、(iii)の間に前記バイアス電力を約80Vb未満に設定するための機械読み取り可能命令を格納している、装置。
適用例34:適用例31ないし33のいずれか一項に記載の装置であって、
前記メモリは、更に、(ii)および(iii)を周期的に繰り返すための機械読み取り可能命令を格納している、装置。
適用例35:適用例31ないし33のいずれか一項に記載の装置であって、
前記メモリは、更に、(ii)および(iii)を実施した後に(i)を繰り返すための機械読み取り可能命令を格納している、装置。

Claims (35)

  1. 基板上の特徴を充填する方法であって、
    (a)第1の量の金属を特徴内に堆積させ、
    (b)
    (i)前記堆積された金属の表面を、前記金属をハロゲン含有ガスに曝すことによって改質し、
    (ii)前記金属を選択的にエッチングするために、前記改質された表面を活性化ガスに曝すこと、
    によって、前記特徴の開口におけるまたは前記特徴の前記開口の近くにおける前記金属を前記特徴の内部領域に対して指向的にエッチングすることと、
    を備える方法。
  2. 請求項1に記載の方法であって、
    前記金属は、チタン、タンタル、ニッケル、コバルト、またはモリブデンのうちの1つを含有する、方法。
  3. 請求項1に記載の方法であって、
    前記金属は、タングステンを含有する、方法。
  4. 請求項1に記載の方法であって、更に、
    (i)および(ii)の少なくともいずれかの間にバイアスを印加することを備える方法。
  5. 請求項4に記載の方法であって、
    前記バイアス電力は、閾値バイアス電力未満である、方法。
  6. 請求項1に記載の方法であって、
    (b)は、自己制限反応を含む、方法。
  7. 請求項1に記載の方法であって、
    前記基板は、様々なサイズの開口を有する特徴を含む、方法。
  8. 請求項1に記載の方法であって、
    (a)および(b)は、真空を破壊することなく実施される、方法。
  9. 請求項1に記載の方法であって、
    (a)および(b)は、同じチャンバ内で実施される、方法。
  10. 請求項8に記載の方法であって、
    (a)および(b)は、同じツールの異なるチャンバ内で実施される、方法。
  11. 請求項1に記載の方法であって、
    前記活性化ガスは、ネオン、クリプトン、およびアルゴンからなる群より選択される、方法。
  12. 請求項1ないし11のいずれか一項に記載の方法であって、更に、
    (i)および(ii)の少なくともいずれかの間にプラズマを発生させることを備える方法。
  13. 請求項1ないし11のいずれか一項に記載の方法であって、
    前記特徴は、少なくとも3:1のアスペクト比を有する、方法。
  14. 請求項1ないし11のいずれか一項に記載の方法であって、
    前記開口は、幅が20nm未満である、方法。
  15. 請求項1ないし11のいずれか一項に記載の方法であって、更に、
    (a)および(b)を繰り返すことを備える方法。
  16. 請求項1ないし11のいずれか一項に記載の方法であって、
    前記ハロゲン含有ガスは、塩素、臭素、ヨウ素、六フッ化硫黄、四フッ化ケイ素、三塩化ホウ素、およびこれらの組み合わせからなる群より選択される、方法。
  17. 請求項4に記載の方法であって、
    前記バイアス電力は、約80Vb未満である、方法。
  18. 請求項12に記載の方法であって、
    前記プラズマ電力は、約0Wから約1000Wの間である、方法。
  19. 方法であって、
    (a)特徴をタングステンで部分的に充填し、
    (b)前記基板をハロゲン含有ガスおよび活性化ガスの交互パルスに曝すことによって、前記特徴の開口におけるまたは前記特徴の前記開口の近くにおけるタングステンを指向的にエッチングし、
    (c)前記特徴をタングステンで充填すること、
    を備える方法。
  20. 請求項19に記載の方法であって、
    (b)の間にバイアスが印加される方法。
  21. 請求項19に記載の方法であって、
    (b)の間に閾値バイアス電力でバイアスが印加される方法。
  22. 請求項19に記載の方法であって、
    (a)および(b)は、真空を破壊することなく実施される、方法。
  23. 請求項19に記載の方法であって、
    (a)および(b)は、同じチャンバ内で実施される、方法。
  24. 請求項19ないし23のいずれか一項に記載の方法であって、更に、
    (a)および(b)を繰り返すことを備える方法。
  25. 請求項19ないし23のいずれか一項に記載の方法であって、
    前記特徴を充填することは、(a)および(b)を繰り返すことを含む、方法。
  26. 請求項19ないし23のいずれか一項に記載の方法であって、
    前記タングステンは、CVDによって堆積される、方法。
  27. 請求項19ないし23のいずれか一項に記載の方法であって、
    前記タングステンは、ALDによって堆積される、方法。
  28. 請求項19ないし23のいずれか一項に記載の方法であって、
    前記タングステンは、前記基板をタングステン含有前駆体および還元剤の交互パルスに曝すことによって堆積される、方法。
  29. 請求項19ないし23のいずれか一項に記載の方法であって、
    前記タングステンは、塩素含有タングステン含有前駆体を使用して堆積される、方法。
  30. 請求項19ないし23のいずれか一項に記載の方法であって、
    前記タングステンは、フッ素を含まないタングステンである、方法。
  31. 半導体基板を処理するための装置であって、
    シャワーヘッドおよび基板サポートを含むプロセスチャンバと、
    プラズマ生成器と、
    少なくとも1つのプロセッサおよびメモリを有するコントローラであって、
    前記少なくとも1つのプロセッサおよび前記メモリは、通信可能に互いに接続され、
    前記少なくとも1つのプロセッサは、少なくとも操作可能にで流量制御ハードウェアに接続され、
    前記メモリは、
    (i)基板上にタングステンを堆積させるために、タングステン含有前駆体および還元剤を前記チャンバに導入するための機械読み取り可能命令と、
    (ii)前記タングステンの表面を改質するために、ハロゲン含有ガスを導入するための機械読み取り可能命令と、
    (iii)前記改質されたタングステン表面の少なくとも一部をエッチングするために、活性化ガスを導入するおよびプラズマを発生させるための機械読み取り可能命令と、
    を格納している、
    コントローラと、
    を備える装置。
  32. 請求項31に記載の装置であって、
    前記メモリは、更に、(ii)の間にプラズマを発生させるための機械読み取り可能命令を格納している、装置。
  33. 請求項31に記載の装置であって、
    前記基板サポートは、バイアスを含み、前記メモリは、更に、(iii)の間に前記バイアス電力を約80Vb未満に設定するための機械読み取り可能命令を格納している、装置。
  34. 請求項31ないし33のいずれか一項に記載の装置であって、
    前記メモリは、更に、(ii)および(iii)を周期的に繰り返すための機械読み取り可能命令を格納している、装置。
  35. 請求項31ないし33のいずれか一項に記載の装置であって、
    前記メモリは、更に、(ii)および(iii)を実施した後に(i)を繰り返すための機械読み取り可能命令を格納している、装置。
JP2016151661A 2015-08-07 2016-08-02 タングステン堆積充填の強化のためのタングステンの原子層エッチング Pending JP2017053024A (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201562202670P 2015-08-07 2015-08-07
US62/202,670 2015-08-07
US14/830,683 US9972504B2 (en) 2015-08-07 2015-08-19 Atomic layer etching of tungsten for enhanced tungsten deposition fill
US14/830,683 2015-08-19

Publications (1)

Publication Number Publication Date
JP2017053024A true JP2017053024A (ja) 2017-03-16

Family

ID=58053530

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2016151661A Pending JP2017053024A (ja) 2015-08-07 2016-08-02 タングステン堆積充填の強化のためのタングステンの原子層エッチング

Country Status (6)

Country Link
US (5) US9972504B2 (ja)
JP (1) JP2017053024A (ja)
KR (5) KR102312951B1 (ja)
CN (2) CN113380695A (ja)
SG (1) SG10201606450VA (ja)
TW (2) TWI814696B (ja)

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2018179354A1 (ja) * 2017-03-31 2018-10-04 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
KR20190142971A (ko) * 2018-06-19 2019-12-30 주식회사 원익아이피에스 박막 형성 방법
JP2020029617A (ja) * 2018-08-20 2020-02-27 アーエスエム・イーぺー・ホールディング・ベスローテン・フェンノートシャップ 基材表面および関連する半導体デバイス構造上のギャップ特徴を充填するための方法
WO2020054299A1 (ja) * 2018-09-14 2020-03-19 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置及び記録媒体
WO2020230522A1 (ja) * 2019-05-15 2020-11-19 昭和電工株式会社 金属除去方法、ドライエッチング方法、及び半導体素子の製造方法
JP2021523292A (ja) * 2018-05-03 2021-09-02 ラム リサーチ コーポレーションLam Research Corporation 3d nand構造内にタングステンおよび他の金属を堆積させる方法
JP2023517605A (ja) * 2020-03-13 2023-04-26 アプライド マテリアルズ インコーポレイテッド リフレクタ膜の成長方法
WO2023127137A1 (ja) * 2021-12-28 2023-07-06 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム
WO2023189667A1 (ja) * 2022-03-29 2023-10-05 東京エレクトロン株式会社 埋込方法及び基板処理システム
US11821071B2 (en) 2019-03-11 2023-11-21 Lam Research Corporation Precursors for deposition of molybdenum-containing films
US11970776B2 (en) 2019-01-28 2024-04-30 Lam Research Corporation Atomic layer deposition of metal films
US11972952B2 (en) 2018-12-14 2024-04-30 Lam Research Corporation Atomic layer deposition on 3D NAND structures
US12002679B2 (en) 2020-04-07 2024-06-04 Lam Research Corporation High step coverage tungsten deposition

Families Citing this family (148)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
CN104272441A (zh) 2012-03-27 2015-01-07 诺发系统公司 钨特征填充
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9576811B2 (en) 2015-01-12 2017-02-21 Lam Research Corporation Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch)
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9806252B2 (en) 2015-04-20 2017-10-31 Lam Research Corporation Dry plasma etch method to pattern MRAM stack
US9870899B2 (en) 2015-04-24 2018-01-16 Lam Research Corporation Cobalt etch back
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US9972504B2 (en) * 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US10096487B2 (en) 2015-08-19 2018-10-09 Lam Research Corporation Atomic layer etching of tungsten and other metals
US9978610B2 (en) 2015-08-21 2018-05-22 Lam Research Corporation Pulsing RF power in etch process to enhance tungsten gapfill performance
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9984858B2 (en) 2015-09-04 2018-05-29 Lam Research Corporation ALE smoothness: in and outside semiconductor industry
CN107026113B (zh) * 2016-02-02 2020-03-31 中芯国际集成电路制造(上海)有限公司 半导体装置的制造方法和系统
US10115601B2 (en) * 2016-02-03 2018-10-30 Tokyo Electron Limited Selective film formation for raised and recessed features using deposition and etching processes
US10229837B2 (en) * 2016-02-04 2019-03-12 Lam Research Corporation Control of directionality in atomic layer etching
US10727073B2 (en) 2016-02-04 2020-07-28 Lam Research Corporation Atomic layer etching 3D structures: Si and SiGe and Ge smoothness on horizontal and vertical surfaces
US9991128B2 (en) 2016-02-05 2018-06-05 Lam Research Corporation Atomic layer etching in continuous plasma
US10269566B2 (en) 2016-04-29 2019-04-23 Lam Research Corporation Etching substrates using ale and selective deposition
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9837312B1 (en) * 2016-07-22 2017-12-05 Lam Research Corporation Atomic layer etching for enhanced bottom-up feature fill
US10269926B2 (en) * 2016-08-24 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Purging deposition tools to reduce oxygen and moisture in wafers
JP6759004B2 (ja) * 2016-08-29 2020-09-23 東京エレクトロン株式会社 被処理体を処理する方法
US10566211B2 (en) 2016-08-30 2020-02-18 Lam Research Corporation Continuous and pulsed RF plasma for etching metals
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566212B2 (en) 2016-12-19 2020-02-18 Lam Research Corporation Designer atomic layer etching
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US10559461B2 (en) 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
US10832909B2 (en) 2017-04-24 2020-11-10 Lam Research Corporation Atomic layer etch, reactive precursors and energetic sources for patterning applications
US9997371B1 (en) 2017-04-24 2018-06-12 Lam Research Corporation Atomic layer etch methods and hardware for patterning applications
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10950498B2 (en) 2017-05-31 2021-03-16 Applied Materials, Inc. Selective and self-limiting tungsten etch process
CN116546817A (zh) 2017-05-31 2023-08-04 应用材料公司 3d-nand器件中用于字线分离的方法
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
KR102370620B1 (ko) 2017-07-10 2022-03-04 삼성전자주식회사 반도체 메모리 장치 및 도전체 구조물
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
WO2019036157A1 (en) 2017-08-18 2019-02-21 Applied Materials, Inc. HIGH PRESSURE AND HIGH TEMPERATURE RECOVERY CHAMBER
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
TWI778118B (zh) * 2017-09-05 2022-09-21 美商應用材料股份有限公司 來自次氧化物的自對準結構
US10269559B2 (en) * 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10763083B2 (en) 2017-10-06 2020-09-01 Lam Research Corporation High energy atomic layer etching
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
SG11202003355QA (en) 2017-11-11 2020-05-28 Micromaterials Llc Gas delivery system for high pressure processing chamber
WO2019099255A2 (en) 2017-11-17 2019-05-23 Applied Materials, Inc. Condenser system for high pressure processing system
JP7018748B2 (ja) * 2017-11-28 2022-02-14 東京エレクトロン株式会社 成膜方法及び成膜条件の算出方法
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
JP7239598B2 (ja) 2018-03-09 2023-03-14 アプライド マテリアルズ インコーポレイテッド 金属含有材料の高圧アニーリングプロセス
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
WO2019190453A1 (en) * 2018-03-26 2019-10-03 Intel Corporation Selective etching and controlled atomic layer etching of transition metal oxide films for device fabrication
CN111937122A (zh) 2018-03-30 2020-11-13 朗姆研究公司 难熔金属和其他高表面结合能材料的原子层蚀刻和平滑化
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10572697B2 (en) 2018-04-06 2020-02-25 Lam Research Corporation Method of etch model calibration using optical scatterometry
CN111971551A (zh) 2018-04-10 2020-11-20 朗姆研究公司 机器学习中的光学计量以表征特征
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
KR20200131342A (ko) 2018-04-10 2020-11-23 램 리써치 코포레이션 레지스트 및 에칭 모델링
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
TW202203305A (zh) * 2018-05-04 2022-01-16 美商應用材料股份有限公司 金屬膜沉積
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
JP7126381B2 (ja) * 2018-05-21 2022-08-26 東京エレクトロン株式会社 成膜装置および成膜方法
TWI740046B (zh) 2018-05-28 2021-09-21 國立清華大學 原子層沉積方法及鈷金屬膜
US10727046B2 (en) * 2018-07-06 2020-07-28 Lam Research Corporation Surface modified depth controlled deposition for plasma based deposition
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US10535523B1 (en) 2018-08-30 2020-01-14 Taiwan Semiconductor Manufacturing Co., Ltd. Formation and in-situ etching processes for metal layers
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
JP7195106B2 (ja) * 2018-10-12 2022-12-23 東京エレクトロン株式会社 成膜方法及び基板処理システム
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
WO2020091961A1 (en) * 2018-10-29 2020-05-07 Applied Materials, Inc. Linerless continuous amorphous metal films
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
JP2022516772A (ja) * 2019-01-15 2022-03-02 ラム リサーチ コーポレーション 金属原子層のエッチング堆積装置および金属フリー配位子による処理
US10977405B2 (en) * 2019-01-29 2021-04-13 Lam Research Corporation Fill process optimization using feature scale modeling
KR20210151229A (ko) * 2019-04-29 2021-12-13 램 리써치 코포레이션 서브트랙티브 (subtractive) 금속 에칭을 위한 원자 층 에칭
JP2022542089A (ja) * 2019-07-31 2022-09-29 ラム リサーチ コーポレーション Mramパターニングのための不揮発性材料の化学エッチング
US11024537B2 (en) 2019-08-09 2021-06-01 Applied Materials, Inc. Methods and apparatus for hybrid feature metallization
US11101174B2 (en) * 2019-10-15 2021-08-24 Applied Materials, Inc. Gap fill deposition process
JP7486588B2 (ja) * 2020-01-16 2024-05-17 インテグリス・インコーポレーテッド エッチングまたは堆積のための方法
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
WO2021178399A1 (en) * 2020-03-06 2021-09-10 Lam Research Corporation Atomic layer etching of molybdenum
KR102428642B1 (ko) * 2020-06-01 2022-08-02 인하대학교 산학협력단 구리 박막의 건식 식각방법
WO2022025644A1 (ko) * 2020-07-30 2022-02-03 주성엔지니어링(주) 박막 형성 방법
KR20220030455A (ko) * 2020-09-01 2022-03-11 삼성전자주식회사 반도체 장치
KR20220030456A (ko) * 2020-09-01 2022-03-11 삼성전자주식회사 반도체 장치
WO2022051045A1 (en) 2020-09-03 2022-03-10 Applied Materials, Inc. Selective anisotropic metal etch
US20230187355A1 (en) * 2021-12-15 2023-06-15 International Business Machines Corporation Method to Produce Buried Nb Lines Surrounded by Ti
US20230343643A1 (en) * 2022-04-25 2023-10-26 Applied Materials, Inc. Gradient oxidation and etch for pvd metal as bottom liner in bottom up gap fill
WO2023249683A1 (en) * 2022-06-22 2023-12-28 Applied Materials, Inc. Treatment of tungsten surface for tungsten gap-fill
US20240006236A1 (en) * 2022-06-30 2024-01-04 Applied Materials, Inc. Plasma enhanced tungsten nucleation for low resistivity
US20240055270A1 (en) * 2022-08-11 2024-02-15 Tokyo Electron Limited Substrate processing with material modification and removal

Family Cites Families (257)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5416280B2 (ja) 1971-12-30 1979-06-21
JPH061769B2 (ja) 1983-08-10 1994-01-05 株式会社日立製作所 アルミナ膜のパターニング方法
US4714520A (en) 1985-07-25 1987-12-22 Advanced Micro Devices, Inc. Method for filling a trench in an integrated circuit structure without producing voids
JPS62216224A (ja) 1986-03-17 1987-09-22 Fujitsu Ltd タングステンの選択成長方法
US4713141A (en) 1986-09-22 1987-12-15 Intel Corporation Anisotropic plasma etching of tungsten
US4874723A (en) 1987-07-16 1989-10-17 Texas Instruments Incorporated Selective etching of tungsten by remote and in situ plasma generation
US5147500A (en) 1987-07-31 1992-09-15 Hitachi, Ltd. Dry etching method
US4891550A (en) 1987-10-15 1990-01-02 Duro-Test Corporation Phosphor blend for broad spectrum fluorescent lamp
US4997520A (en) 1988-06-10 1991-03-05 Texas Instruments Incorporated Method for etching tungsten
US5037775A (en) 1988-11-30 1991-08-06 Mcnc Method for selectively depositing single elemental semiconductor material on substrates
JPH02187031A (ja) 1989-01-14 1990-07-23 Sharp Corp 半導体装置
US4988644A (en) 1989-05-23 1991-01-29 Texas Instruments Incorporated Method for etching semiconductor materials using a remote plasma generator
JP3019367B2 (ja) 1990-06-21 2000-03-13 日本電気株式会社 半導体装置の製造方法
JPH04142061A (ja) 1990-10-02 1992-05-15 Sony Corp タングステンプラグの形成方法
US5164330A (en) 1991-04-17 1992-11-17 Intel Corporation Etchback process for tungsten utilizing a NF3/AR chemistry
JPH05226280A (ja) 1992-02-14 1993-09-03 Matsushita Electric Ind Co Ltd 半導体装置の製造方法
CA2067565C (en) 1992-04-29 1999-02-16 Ismail T. Emesh Deposition of tungsten
JPH06151382A (ja) 1992-11-11 1994-05-31 Toshiba Corp ドライエッチング方法
DE4241045C1 (de) 1992-12-05 1994-05-26 Bosch Gmbh Robert Verfahren zum anisotropen Ätzen von Silicium
JPH06326060A (ja) 1993-05-12 1994-11-25 Hitachi Ltd 固体表面加工方法
JP2881371B2 (ja) 1993-09-20 1999-04-12 東京エレクトロン株式会社 真空処理装置及び真空処理装置集合体のクリーニング方法
US5616208A (en) 1993-09-17 1997-04-01 Tokyo Electron Limited Vacuum processing apparatus, vacuum processing method, and method for cleaning the vacuum processing apparatus
JP3014019B2 (ja) 1993-11-26 2000-02-28 日本電気株式会社 半導体装置の製造方法
US5431774A (en) 1993-11-30 1995-07-11 Texas Instruments Incorporated Copper etching
KR0179677B1 (ko) 1993-12-28 1999-04-15 사토 후미오 반도체장치 및 그 제조방법
JP3291889B2 (ja) 1994-02-15 2002-06-17 ソニー株式会社 ドライエッチング方法
US6022806A (en) 1994-03-15 2000-02-08 Kabushiki Kaisha Toshiba Method of forming a film in recess by vapor phase growth
JPH0831935A (ja) 1994-07-13 1996-02-02 Nkk Corp 半導体装置の製造方法
US5489552A (en) 1994-12-30 1996-02-06 At&T Corp. Multiple layer tungsten deposition process
JP2737764B2 (ja) 1995-03-03 1998-04-08 日本電気株式会社 半導体装置及びその製造方法
JP3538970B2 (ja) 1995-05-24 2004-06-14 ヤマハ株式会社 配線形成法
JPH0922896A (ja) 1995-07-07 1997-01-21 Toshiba Corp 金属膜の選択的形成方法
JPH11513846A (ja) 1995-10-19 1999-11-24 マサチューセッツ インスティテュート オブ テクノロジー 金属除去方法
US5747379A (en) 1996-01-11 1998-05-05 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating seamless tungsten plug employing tungsten redeposition and etch back
US5833817A (en) 1996-04-22 1998-11-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method for improving conformity and contact bottom coverage of sputtered titanium nitride barrier layers
JP3511802B2 (ja) 1996-05-27 2004-03-29 ソニー株式会社 金属配線の形成方法
JPH09326436A (ja) 1996-06-06 1997-12-16 Sony Corp 配線形成方法
US5677237A (en) 1996-06-21 1997-10-14 Taiwan Semiconductor Manufacturing Company Ltd. Process for removing seams in tungsten plugs
US5893758A (en) 1996-06-26 1999-04-13 Micron Technology, Inc. Etching method for reducing cusping at openings
US5963833A (en) 1996-07-03 1999-10-05 Micron Technology, Inc. Method for cleaning semiconductor wafers and
KR100214852B1 (ko) 1996-11-02 1999-08-02 김영환 반도체 디바이스의 금속 배선 형성 방법
JP3869089B2 (ja) 1996-11-14 2007-01-17 株式会社日立製作所 半導体集積回路装置の製造方法
JP2891952B2 (ja) 1996-12-17 1999-05-17 芝浦メカトロニクス株式会社 半導体装置の製造方法
US6184158B1 (en) 1996-12-23 2001-02-06 Lam Research Corporation Inductively coupled plasma CVD
KR100239442B1 (ko) 1996-12-26 2000-01-15 김영환 콘택홀 내의 전도성 플로그 형성방법
EP0856877A1 (en) 1997-01-31 1998-08-05 Texas Instruments Incorporated Process for forming integrated circuits using multistep plasma etching
JPH10256187A (ja) 1997-03-14 1998-09-25 Nippon Steel Corp 半導体装置及びその製造方法
US5866483A (en) 1997-04-04 1999-02-02 Applied Materials, Inc. Method for anisotropically etching tungsten using SF6, CHF3, and N2
US5807786A (en) 1997-07-30 1998-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making a barrier layer to protect programmable antifuse structure from damage during fabrication sequence
TW359884B (en) 1998-01-07 1999-06-01 Nanya Technology Co Ltd Multi-level interconnects with I-plug and production process therefor
US6323132B1 (en) 1998-01-13 2001-11-27 Applied Materials, Inc. Etching methods for anisotropic platinum profile
EP1048064A1 (en) 1998-01-13 2000-11-02 Applied Materials, Inc. Etching methods for anisotropic platinum profile
US6110822A (en) 1998-03-25 2000-08-29 Taiwan Semiconductor Manufacturing Company Method for forming a polysilicon-interconnect contact in a TFT-SRAM
US6030881A (en) 1998-05-05 2000-02-29 Novellus Systems, Inc. High throughput chemical vapor deposition process capable of filling high aspect ratio structures
US6432830B1 (en) 1998-05-15 2002-08-13 Applied Materials, Inc. Semiconductor fabrication process
WO1999067056A1 (en) 1998-06-23 1999-12-29 Arch Specialty Chemicals, Inc. Composition for the chemical mechanical polishing of metal layers
US6140233A (en) 1998-06-25 2000-10-31 Samsung Electronics Co., Ltd. Method of manufacturing semiconductor devices, etching compositions for manufacturing semiconductor devices, and semiconductor devices thereby
TW436366B (en) 1998-08-21 2001-05-28 United Microelectronics Corp Method of fabricating a plug
US6177353B1 (en) 1998-09-15 2001-01-23 Infineon Technologies North America Corp. Metallization etching techniques for reducing post-etch corrosion of metal lines
US6245654B1 (en) 1999-03-31 2001-06-12 Taiwan Semiconductor Manufacturing Company, Ltd Method for preventing tungsten contact/via plug loss after a backside pressure fault
US6294468B1 (en) 1999-05-24 2001-09-25 Agere Systems Guardian Corp. Method of chemical vapor depositing tungsten films
US6503843B1 (en) 1999-09-21 2003-01-07 Applied Materials, Inc. Multistep chamber cleaning and film deposition process using a remote plasma that also enhances film gap fill
US8696875B2 (en) * 1999-10-08 2014-04-15 Applied Materials, Inc. Self-ionized and inductively-coupled plasma for sputtering and resputtering
EP1120820A3 (en) 2000-01-24 2008-01-09 Ebara Corporation Method and apparatus for forming interconnect
JP2001274114A (ja) 2000-03-28 2001-10-05 Toshiba Corp 半導体装置の製造方法
JP3662472B2 (ja) 2000-05-09 2005-06-22 エム・エフエスアイ株式会社 基板表面の処理方法
JP2002009017A (ja) 2000-06-22 2002-01-11 Mitsubishi Electric Corp 半導体装置の製造方法
JP2002016066A (ja) 2000-06-27 2002-01-18 Mitsubishi Electric Corp 半導体装置およびその製造方法
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
JP2002043201A (ja) 2000-07-28 2002-02-08 Mitsubishi Electric Corp 半導体装置の製造方法及び半導体装置
US6527855B2 (en) 2000-10-10 2003-03-04 Rensselaer Polytechnic Institute Atomic layer deposition of cobalt from cobalt metallorganic compounds
US20020058409A1 (en) 2000-11-16 2002-05-16 Ching-Te Lin Elimination of overhang in liner/barrier/seed layers using post-deposition sputter etch
KR100399417B1 (ko) 2001-01-08 2003-09-26 삼성전자주식회사 반도체 집적 회로의 제조 방법
US6376376B1 (en) 2001-01-16 2002-04-23 Chartered Semiconductor Manufacturing Ltd. Method to prevent CU dishing during damascene formation
KR20020072996A (ko) 2001-03-14 2002-09-19 주성엔지니어링(주) 금속 플러그 형성방법
US6448192B1 (en) 2001-04-16 2002-09-10 Motorola, Inc. Method for forming a high dielectric constant material
CN100355058C (zh) 2001-05-04 2007-12-12 东京毅力科创株式会社 具有连续沉积和蚀刻的电离pvd
US7005372B2 (en) 2003-01-21 2006-02-28 Novellus Systems, Inc. Deposition of tungsten nitride
US7589017B2 (en) 2001-05-22 2009-09-15 Novellus Systems, Inc. Methods for growing low-resistivity tungsten film
US6635965B1 (en) 2001-05-22 2003-10-21 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
US7141494B2 (en) 2001-05-22 2006-11-28 Novellus Systems, Inc. Method for reducing tungsten film roughness and improving step coverage
US7955972B2 (en) 2001-05-22 2011-06-07 Novellus Systems, Inc. Methods for growing low-resistivity tungsten for high aspect ratio and small features
JP2002353161A (ja) 2001-05-25 2002-12-06 Mitsubishi Electric Corp 半導体装置の製造方法及び半導体装置
JP3822804B2 (ja) 2001-06-18 2006-09-20 株式会社日立製作所 半導体装置の製造方法
US6686278B2 (en) 2001-06-19 2004-02-03 United Microelectronics Corp. Method for forming a plug metal layer
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
US7115516B2 (en) 2001-10-09 2006-10-03 Applied Materials, Inc. Method of depositing a material layer
JP2003142484A (ja) 2001-10-31 2003-05-16 Mitsubishi Electric Corp 半導体装置の製造方法
US6872323B1 (en) 2001-11-01 2005-03-29 Novellus Systems, Inc. In situ plasma process to remove fluorine residues from the interior surfaces of a CVD reactor
KR100437455B1 (ko) 2001-12-10 2004-06-23 삼성전자주식회사 반도체 장치 형성 방법
KR20030058853A (ko) 2002-01-02 2003-07-07 주식회사 하이닉스반도체 반도체 소자의 플러그 형성 방법
US6828226B1 (en) 2002-01-09 2004-12-07 Taiwan Semiconductor Manufacturing Company, Limited Removal of SiON residue after CMP
JP3971192B2 (ja) 2002-01-11 2007-09-05 株式会社アルバック Cvd装置
US6998014B2 (en) 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6797620B2 (en) 2002-04-16 2004-09-28 Applied Materials, Inc. Method and apparatus for improved electroplating fill of an aperture
WO2003098662A2 (en) 2002-05-14 2003-11-27 Tokyo Electron Limited PLASMA ETCHING OF Cu-CONTAINING LAYERS
KR100446300B1 (ko) 2002-05-30 2004-08-30 삼성전자주식회사 반도체 소자의 금속 배선 형성 방법
DE10224160A1 (de) * 2002-05-31 2003-12-18 Advanced Micro Devices Inc Eine Diffusionsbarrierenschicht in Halbleitersubstraten zur Reduzierung der Kupferkontamination von der Rückseite her
US20030235995A1 (en) 2002-06-21 2003-12-25 Oluseyi Hakeem M. Method of increasing selectivity to mask when etching tungsten or tungsten nitride
US6884730B2 (en) 2002-07-02 2005-04-26 Headway Technologies, Inc. Method of etching a film of magnetic material and method of manufacturing a thin-film magnetic head
US7240564B2 (en) 2002-07-30 2007-07-10 Alliant Techsystems Inc. Method and apparatus for detecting and determining event characteristics with reduced data collection
US6802944B2 (en) 2002-10-23 2004-10-12 Applied Materials, Inc. High density plasma CVD process for gapfill into high aspect ratio features
KR100542740B1 (ko) 2002-11-11 2006-01-11 삼성전자주식회사 가스 플라즈마 생성 방법 및 장치, 플라즈마 생성용 가스조성물 및 이를 이용한 반도체 장치의 제조 방법
US6933239B2 (en) 2003-01-13 2005-08-23 Applied Materials, Inc. Method for removing conductive residue
KR100528073B1 (ko) 2003-04-07 2005-11-15 동부아남반도체 주식회사 반도체소자 제조방법
US6841484B2 (en) 2003-04-17 2005-01-11 Chentsau Ying Method of fabricating a magneto-resistive random access memory (MRAM) device
JP2004332045A (ja) 2003-05-07 2004-11-25 Renesas Technology Corp 多層膜材料のドライエッチング方法
US6844258B1 (en) 2003-05-09 2005-01-18 Novellus Systems, Inc. Selective refractory metal and nitride capping
TW200428532A (en) * 2003-06-03 2004-12-16 Silicon Integrated Sys Corp Method of modifying conductive wiring
US7205240B2 (en) 2003-06-04 2007-04-17 Applied Materials, Inc. HDP-CVD multistep gapfill process
US20040266174A1 (en) 2003-06-27 2004-12-30 Chin-Tien Yang Method and apparatus of preventing tungsten pullout during tungsten chemical mill processing
US7993460B2 (en) 2003-06-30 2011-08-09 Lam Research Corporation Substrate support having dynamic temperature control
KR20050011479A (ko) 2003-07-23 2005-01-29 주식회사 하이닉스반도체 반도체 소자의 텅스텐 콘택플러그 형성방법
KR100555514B1 (ko) 2003-08-22 2006-03-03 삼성전자주식회사 저 저항 텅스텐 배선을 갖는 반도체 메모리 소자 및 그제조방법
US7005387B2 (en) 2003-11-08 2006-02-28 Advanced Micro Devices, Inc. Method for preventing an increase in contact hole width during contact formation
US7341946B2 (en) 2003-11-10 2008-03-11 Novellus Systems, Inc. Methods for the electrochemical deposition of copper onto a barrier layer of a work piece
US7223693B2 (en) 2003-12-12 2007-05-29 Samsung Electronics Co., Ltd. Methods for fabricating memory devices using sacrificial layers and memory devices fabricated by same
US20050233555A1 (en) 2004-04-19 2005-10-20 Nagarajan Rajagopalan Adhesion improvement for low k dielectrics to conductive materials
US7199045B2 (en) 2004-05-26 2007-04-03 Taiwan Semiconductor Manufacturing Company, Ltd. Metal-filled openings for submicron devices and methods of manufacture thereof
US7582127B2 (en) 2004-06-16 2009-09-01 Cabot Microelectronics Corporation Polishing composition for a tungsten-containing substrate
US7115522B2 (en) 2004-07-09 2006-10-03 Kabushiki Kaisha Toshiba Method for manufacturing semiconductor device
CN100576474C (zh) 2004-07-20 2009-12-30 应用材料股份有限公司 以钽前驱物taimata进行含钽材料的原子层沉积
KR20050013187A (ko) 2004-12-28 2005-02-03 삼성전자주식회사 가스 플라즈마 생성 방법 및 장치, 플라즈마 생성용 가스조성물 및 이를 이용한 반도체 장치의 제조 방법
US7196955B2 (en) 2005-01-12 2007-03-27 Hewlett-Packard Development Company, L.P. Hardmasks for providing thermally assisted switching of magnetic memory elements
US7235492B2 (en) 2005-01-31 2007-06-26 Applied Materials, Inc. Low temperature etchant for treatment of silicon-containing surfaces
KR100642750B1 (ko) 2005-01-31 2006-11-10 삼성전자주식회사 반도체 소자 및 그 제조 방법
JP4860219B2 (ja) 2005-02-14 2012-01-25 東京エレクトロン株式会社 基板の処理方法、電子デバイスの製造方法及びプログラム
JP4671729B2 (ja) 2005-03-28 2011-04-20 富士通セミコンダクター株式会社 半導体装置及びその製造方法
JP4945937B2 (ja) 2005-07-01 2012-06-06 東京エレクトロン株式会社 タングステン膜の形成方法、成膜装置及び記憶媒体
US20070006893A1 (en) 2005-07-08 2007-01-11 Bing Ji Free radical initiator in remote plasma chamber clean
US7214626B2 (en) 2005-08-24 2007-05-08 United Microelectronics Corp. Etching process for decreasing mask defect
WO2007023950A1 (ja) 2005-08-26 2007-03-01 Hitachi, Ltd. 半導体装置の製造方法
US8747960B2 (en) 2005-08-31 2014-06-10 Lam Research Corporation Processes and systems for engineering a silicon-type surface for selective metal deposition to form a metal silicide
US20070087581A1 (en) 2005-09-09 2007-04-19 Varian Semiconductor Equipment Associates, Inc. Technique for atomic layer deposition
US20070117396A1 (en) 2005-11-22 2007-05-24 Dingjun Wu Selective etching of titanium nitride with xenon difluoride
DE102006001253B4 (de) 2005-12-30 2013-02-07 Advanced Micro Devices, Inc. Verfahren zur Herstellung einer Metallschicht über einem strukturierten Dielektrikum mittels einer nasschemischen Abscheidung mit einer stromlosen und einer leistungsgesteuerten Phase
JP4783169B2 (ja) 2006-02-13 2011-09-28 パナソニック株式会社 ドライエッチング方法、微細構造形成方法、モールド及びその製造方法
WO2007094087A1 (ja) 2006-02-13 2007-08-23 Matsushita Electric Industrial Co., Ltd. ドライエッチング方法、微細構造形成方法、モールド及びその製造方法
US7276796B1 (en) 2006-03-15 2007-10-02 International Business Machines Corporation Formation of oxidation-resistant seed layer for interconnect applications
US7795148B2 (en) 2006-03-28 2010-09-14 Tokyo Electron Limited Method for removing damaged dielectric material
US20070238301A1 (en) 2006-03-28 2007-10-11 Cabral Stephen H Batch processing system and method for performing chemical oxide removal
US7368393B2 (en) 2006-04-20 2008-05-06 International Business Machines Corporation Chemical oxide removal of plasma damaged SiCOH low k dielectrics
US7828504B2 (en) 2006-05-12 2010-11-09 Axcellis Technologies, Inc. Combination load lock for handling workpieces
KR101254275B1 (ko) 2006-06-20 2013-04-23 가부시키가이샤 아루박 폴리이미드막 도포 장치 및 방법
US8232176B2 (en) 2006-06-22 2012-07-31 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
US7416989B1 (en) 2006-06-30 2008-08-26 Novellus Systems, Inc. Adsorption based material removal process
US7435484B2 (en) 2006-09-01 2008-10-14 Asm Japan K.K. Ruthenium thin film-formed structure
KR100757418B1 (ko) 2006-09-05 2007-09-10 삼성전자주식회사 반도체 소자 및 그 형성 방법
KR100881391B1 (ko) 2006-09-29 2009-02-05 주식회사 하이닉스반도체 반도체 소자의 게이트 형성방법
US20080174021A1 (en) 2007-01-18 2008-07-24 Samsung Electronics Co., Ltd. Semiconductor devices having metal interconnections, semiconductor cluster tools used in fabrication thereof and methods of fabricating the same
JP2009024252A (ja) 2007-05-15 2009-02-05 Applied Materials Inc タングステン材料の原子層堆積法
KR101330707B1 (ko) 2007-07-19 2013-11-19 삼성전자주식회사 반도체 장치의 형성 방법
US7655567B1 (en) 2007-07-24 2010-02-02 Novellus Systems, Inc. Methods for improving uniformity and resistivity of thin tungsten films
US8518282B2 (en) 2007-11-21 2013-08-27 Lam Research Corporation Method of controlling etch microloading for a tungsten-containing layer
KR100939777B1 (ko) 2007-11-30 2010-01-29 주식회사 하이닉스반도체 텅스텐막 형성방법 및 이를 이용한 반도체 소자의 배선형성방법
US7772114B2 (en) 2007-12-05 2010-08-10 Novellus Systems, Inc. Method for improving uniformity and adhesion of low resistivity tungsten film
US8053365B2 (en) 2007-12-21 2011-11-08 Novellus Systems, Inc. Methods for forming all tungsten contacts and lines
US8262800B1 (en) 2008-02-12 2012-09-11 Novellus Systems, Inc. Methods and apparatus for cleaning deposition reactors
US7964504B1 (en) 2008-02-29 2011-06-21 Novellus Systems, Inc. PVD-based metallization methods for fabrication of interconnections in semiconductor devices
US8247030B2 (en) 2008-03-07 2012-08-21 Tokyo Electron Limited Void-free copper filling of recessed features using a smooth non-agglomerated copper seed layer
US7948044B2 (en) 2008-04-09 2011-05-24 Magic Technologies, Inc. Low switching current MTJ element for ultra-high STT-RAM and a method for making the same
US8252194B2 (en) 2008-05-02 2012-08-28 Micron Technology, Inc. Methods of removing silicon oxide
US8133797B2 (en) 2008-05-16 2012-03-13 Novellus Systems, Inc. Protective layer to enable damage free gap fill
US8058170B2 (en) 2008-06-12 2011-11-15 Novellus Systems, Inc. Method for depositing thin tungsten film with low resistivity and robust micro-adhesion characteristics
US8551885B2 (en) 2008-08-29 2013-10-08 Novellus Systems, Inc. Method for reducing tungsten roughness and improving reflectivity
US20100072623A1 (en) 2008-09-19 2010-03-25 Advanced Micro Devices, Inc. Semiconductor device with improved contact plugs, and related fabrication methods
US7964502B2 (en) 2008-11-25 2011-06-21 Freescale Semiconductor, Inc. Multilayered through via
US20100144140A1 (en) 2008-12-10 2010-06-10 Novellus Systems, Inc. Methods for depositing tungsten films having low resistivity for gapfill applications
US8129270B1 (en) 2008-12-10 2012-03-06 Novellus Systems, Inc. Method for depositing tungsten film having low resistivity, low roughness and high reflectivity
CN102265383B (zh) 2008-12-31 2014-06-11 应用材料公司 用于沉积具有降低电阻率及改良表面形态的钨膜的方法
US8236691B2 (en) 2008-12-31 2012-08-07 Micron Technology, Inc. Method of high aspect ratio plug fill
JP5550843B2 (ja) 2009-03-19 2014-07-16 ラピスセミコンダクタ株式会社 半導体装置の製造方法
US20100267230A1 (en) 2009-04-16 2010-10-21 Anand Chandrashekar Method for forming tungsten contacts and interconnects with small critical dimensions
TWI473726B (zh) * 2009-07-15 2015-02-21 Nat Univ Tsing Hua 形成圖案化金屬改質層之方法
US8153520B1 (en) 2009-08-03 2012-04-10 Novellus Systems, Inc. Thinning tungsten layer after through silicon via filling
US8124531B2 (en) 2009-08-04 2012-02-28 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US9034768B2 (en) * 2010-07-09 2015-05-19 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US8119527B1 (en) 2009-08-04 2012-02-21 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US10256142B2 (en) 2009-08-04 2019-04-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US9548228B2 (en) 2009-08-04 2017-01-17 Lam Research Corporation Void free tungsten fill in different sized features
KR101604054B1 (ko) 2009-09-03 2016-03-16 삼성전자주식회사 반도체 소자 및 그 형성방법
US20110139748A1 (en) 2009-12-15 2011-06-16 University Of Houston Atomic layer etching with pulsed plasmas
US8501629B2 (en) 2009-12-23 2013-08-06 Applied Materials, Inc. Smooth SiConi etch for silicon-containing films
US8227344B2 (en) * 2010-02-26 2012-07-24 Tokyo Electron Limited Hybrid in-situ dry cleaning of oxidized surface layers
US9129945B2 (en) 2010-03-24 2015-09-08 Applied Materials, Inc. Formation of liner and barrier for tungsten as gate electrode and as contact plug to reduce resistance and enhance device performance
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
KR101340793B1 (ko) * 2010-07-09 2013-12-11 노벨러스 시스템즈, 인코포레이티드 고 종횡비 특징부 내부로 텅스텐 증착하기
JP5416280B2 (ja) 2010-08-19 2014-02-12 株式会社アルバック ドライエッチング方法及び半導体装置の製造方法
US8778797B2 (en) 2010-09-27 2014-07-15 Novellus Systems, Inc. Systems and methods for selective tungsten deposition in vias
KR101739987B1 (ko) 2010-12-28 2017-05-26 에스케이 텔레콤주식회사 주변블록의 특징벡터를 이용한 영상 부호화/복호화 방법 및 장치
JP2012151187A (ja) 2011-01-17 2012-08-09 Toshiba Corp 半導体記憶装置の製造方法
US9064815B2 (en) * 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8546263B2 (en) 2011-04-27 2013-10-01 Applied Materials, Inc. Method of patterning of magnetic tunnel junctions
US8883637B2 (en) 2011-06-30 2014-11-11 Novellus Systems, Inc. Systems and methods for controlling etch selectivity of various materials
JP5829926B2 (ja) 2011-07-06 2015-12-09 東京エレクトロン株式会社 タングステン膜の成膜方法
US8617411B2 (en) 2011-07-20 2013-12-31 Lam Research Corporation Methods and apparatus for atomic layer etching
US8916435B2 (en) 2011-09-09 2014-12-23 International Business Machines Corporation Self-aligned bottom plate for metal high-K dielectric metal insulator metal (MIM) embedded dynamic random access memory
US9666414B2 (en) * 2011-10-27 2017-05-30 Applied Materials, Inc. Process chamber for etching low k and other dielectric films
US8808561B2 (en) 2011-11-15 2014-08-19 Lam Research Coporation Inert-dominant pulsing in plasma processing systems
US20130149852A1 (en) * 2011-12-08 2013-06-13 Tokyo Electron Limited Method for forming a semiconductor device
US8883028B2 (en) * 2011-12-28 2014-11-11 Lam Research Corporation Mixed mode pulsing etching in plasma processing systems
US9190323B2 (en) * 2012-01-19 2015-11-17 GlobalFoundries, Inc. Semiconductor devices with copper interconnects and methods for fabricating same
US8785310B2 (en) * 2012-01-27 2014-07-22 Tokyo Electron Limited Method of forming conformal metal silicide films
CN104272441A (zh) 2012-03-27 2015-01-07 诺发系统公司 钨特征填充
WO2013148444A1 (en) 2012-03-27 2013-10-03 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US9330939B2 (en) 2012-03-28 2016-05-03 Applied Materials, Inc. Method of enabling seamless cobalt gap-fill
JP2014049466A (ja) 2012-08-29 2014-03-17 Tokyo Electron Ltd エッチング処理方法及び基板処理装置
US9230825B2 (en) 2012-10-29 2016-01-05 Lam Research Corporation Method of tungsten etching
US9165783B2 (en) * 2012-11-01 2015-10-20 Applied Materials, Inc. Method of patterning a low-k dielectric film
JP5918108B2 (ja) 2012-11-16 2016-05-18 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US9362133B2 (en) * 2012-12-14 2016-06-07 Lam Research Corporation Method for forming a mask by etching conformal film on patterned ashable hardmask
JP2014160757A (ja) 2013-02-20 2014-09-04 Toshiba Corp 不揮発性半導体記憶装置およびその製造方法
US20140273451A1 (en) 2013-03-13 2014-09-18 Applied Materials, Inc. Tungsten deposition sequence
JP6049527B2 (ja) * 2013-04-05 2016-12-21 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US9082826B2 (en) 2013-05-24 2015-07-14 Lam Research Corporation Methods and apparatuses for void-free tungsten fill in three-dimensional semiconductor features
CN105308723B (zh) 2013-06-17 2019-01-01 应用材料公司 利用湿式晶片背面接触进行铜镀硅穿孔的方法
JP6170754B2 (ja) 2013-06-18 2017-07-26 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
JP6494940B2 (ja) 2013-07-25 2019-04-03 ラム リサーチ コーポレーションLam Research Corporation 異なるサイズのフィーチャへのボイドフリータングステン充填
TWI649803B (zh) 2013-09-30 2019-02-01 蘭姆研究公司 具有電漿輔助式原子層沉積及電漿輔助式化學氣相沉積合成法之深寬比可變的特徵物之間隙填充
CN105814677B (zh) 2013-10-18 2019-06-18 布鲁克斯自动化公司 处理设备
US20150111374A1 (en) 2013-10-18 2015-04-23 International Business Machines Corporation Surface treatment in a dep-etch-dep process
US9435049B2 (en) 2013-11-20 2016-09-06 Lam Research Corporation Alkaline pretreatment for electroplating
JP6347695B2 (ja) 2013-11-20 2018-06-27 東京エレクトロン株式会社 被エッチング層をエッチングする方法
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9257638B2 (en) 2014-03-27 2016-02-09 Lam Research Corporation Method to etch non-volatile metal materials
US20150345029A1 (en) 2014-05-28 2015-12-03 Applied Materials, Inc. Metal removal
US9773683B2 (en) 2014-06-09 2017-09-26 American Air Liquide, Inc. Atomic layer or cyclic plasma etching chemistries and processes
JP6159757B2 (ja) 2014-07-10 2017-07-05 東京エレクトロン株式会社 基板の高精度エッチングのプラズマ処理方法
FR3023971B1 (fr) * 2014-07-18 2016-08-05 Commissariat Energie Atomique Procede de formation des espaceurs d'une grille d'un transistor
US10049921B2 (en) 2014-08-20 2018-08-14 Lam Research Corporation Method for selectively sealing ultra low-k porous dielectric layer using flowable dielectric film formed from vapor phase dielectric precursor
US20170194204A1 (en) * 2014-08-27 2017-07-06 Ultratech, Inc. Improved through silicon via
US9362131B2 (en) 2014-08-29 2016-06-07 Applied Materials, Inc. Fast atomic layer etch process using an electron beam
US9666447B2 (en) 2014-10-28 2017-05-30 Tokyo Electron Limited Method for selectivity enhancement during dry plasma etching
US9609730B2 (en) 2014-11-12 2017-03-28 Lam Research Corporation Adjustment of VUV emission of a plasma via collisional resonant energy transfer to an energy absorber gas
US10170324B2 (en) 2014-12-04 2019-01-01 Lam Research Corporation Technique to tune sidewall passivation deposition conformality for high aspect ratio cylinder etch
US10381227B2 (en) 2014-12-18 2019-08-13 The Regents Of The University Of Colorado, A Body Corporate Methods of atomic layer etching (ALE) using sequential, self-limiting thermal reactions
US9576811B2 (en) 2015-01-12 2017-02-21 Lam Research Corporation Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch)
US9806252B2 (en) 2015-04-20 2017-10-31 Lam Research Corporation Dry plasma etch method to pattern MRAM stack
US9870899B2 (en) 2015-04-24 2018-01-16 Lam Research Corporation Cobalt etch back
SG10201604524PA (en) 2015-06-05 2017-01-27 Lam Res Corp ATOMIC LAYER ETCHING OF GaN AND OTHER III-V MATERIALS
US9449843B1 (en) 2015-06-09 2016-09-20 Applied Materials, Inc. Selectively etching metals and metal nitrides conformally
US9922839B2 (en) 2015-06-23 2018-03-20 Lam Research Corporation Low roughness EUV lithography
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US10096487B2 (en) 2015-08-19 2018-10-09 Lam Research Corporation Atomic layer etching of tungsten and other metals
US9520821B1 (en) 2015-08-19 2016-12-13 Nidec Motor Corporation System and method for optimizing flux regulation in electric motors
US9978610B2 (en) 2015-08-21 2018-05-22 Lam Research Corporation Pulsing RF power in etch process to enhance tungsten gapfill performance
US9984858B2 (en) 2015-09-04 2018-05-29 Lam Research Corporation ALE smoothness: in and outside semiconductor industry
WO2017099718A1 (en) 2015-12-08 2017-06-15 Intel Corporation Atomic layer etching of transition metals by halogen surface oxidation
US9991128B2 (en) 2016-02-05 2018-06-05 Lam Research Corporation Atomic layer etching in continuous plasma
US20170330764A1 (en) 2016-05-12 2017-11-16 Lam Research Corporation Methods and apparatuses for controlling transitions between continuous wave and pulsing plasmas
US9837312B1 (en) 2016-07-22 2017-12-05 Lam Research Corporation Atomic layer etching for enhanced bottom-up feature fill
US10566211B2 (en) 2016-08-30 2020-02-18 Lam Research Corporation Continuous and pulsed RF plasma for etching metals
US10566212B2 (en) 2016-12-19 2020-02-18 Lam Research Corporation Designer atomic layer etching
US9997371B1 (en) 2017-04-24 2018-06-12 Lam Research Corporation Atomic layer etch methods and hardware for patterning applications
CN111937122A (zh) 2018-03-30 2020-11-13 朗姆研究公司 难熔金属和其他高表面结合能材料的原子层蚀刻和平滑化
US11177216B2 (en) * 2018-09-06 2021-11-16 Raytheon Company Nitride structures having low capacitance gate contacts integrated with copper damascene structures

Cited By (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPWO2018179354A1 (ja) * 2017-03-31 2019-12-19 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
WO2018179354A1 (ja) * 2017-03-31 2018-10-04 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US11152215B2 (en) 2017-03-31 2021-10-19 Kokusai Electric Corporation Method of manufacturing semiconductor device, substrate processing apparatus and non-transitory computer-readable recording medium
JP2021523292A (ja) * 2018-05-03 2021-09-02 ラム リサーチ コーポレーションLam Research Corporation 3d nand構造内にタングステンおよび他の金属を堆積させる方法
KR20190142971A (ko) * 2018-06-19 2019-12-30 주식회사 원익아이피에스 박막 형성 방법
KR102466724B1 (ko) 2018-06-19 2022-11-15 주식회사 원익아이피에스 박막 형성 방법
JP2020029617A (ja) * 2018-08-20 2020-02-27 アーエスエム・イーぺー・ホールディング・ベスローテン・フェンノートシャップ 基材表面および関連する半導体デバイス構造上のギャップ特徴を充填するための方法
CN112740364A (zh) * 2018-09-14 2021-04-30 株式会社国际电气 半导体装置的制造方法、基板处理装置和记录介质
JPWO2020054299A1 (ja) * 2018-09-14 2021-08-30 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置及び記録媒体
WO2020054299A1 (ja) * 2018-09-14 2020-03-19 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置及び記録媒体
CN112740364B (zh) * 2018-09-14 2024-02-27 株式会社国际电气 半导体装置的制造方法、基板处理装置和记录介质
US11972952B2 (en) 2018-12-14 2024-04-30 Lam Research Corporation Atomic layer deposition on 3D NAND structures
US11970776B2 (en) 2019-01-28 2024-04-30 Lam Research Corporation Atomic layer deposition of metal films
US11821071B2 (en) 2019-03-11 2023-11-21 Lam Research Corporation Precursors for deposition of molybdenum-containing films
JP7396355B2 (ja) 2019-05-15 2023-12-12 株式会社レゾナック 金属除去方法、ドライエッチング方法、及び半導体素子の製造方法
JPWO2020230522A1 (ja) * 2019-05-15 2020-11-19
WO2020230522A1 (ja) * 2019-05-15 2020-11-19 昭和電工株式会社 金属除去方法、ドライエッチング方法、及び半導体素子の製造方法
JP2023517605A (ja) * 2020-03-13 2023-04-26 アプライド マテリアルズ インコーポレイテッド リフレクタ膜の成長方法
JP7473667B2 (ja) 2020-03-13 2024-04-23 アプライド マテリアルズ インコーポレイテッド リフレクタ膜の成長方法
US12002679B2 (en) 2020-04-07 2024-06-04 Lam Research Corporation High step coverage tungsten deposition
WO2023127137A1 (ja) * 2021-12-28 2023-07-06 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム
WO2023189667A1 (ja) * 2022-03-29 2023-10-05 東京エレクトロン株式会社 埋込方法及び基板処理システム

Also Published As

Publication number Publication date
KR102312951B1 (ko) 2021-10-14
US20210305059A1 (en) 2021-09-30
TW201717316A (zh) 2017-05-16
US20220115244A1 (en) 2022-04-14
KR20170017789A (ko) 2017-02-15
US11069535B2 (en) 2021-07-20
TW202141696A (zh) 2021-11-01
KR20220027913A (ko) 2022-03-08
SG10201606450VA (en) 2017-03-30
TW202217927A (zh) 2022-05-01
CN113380695A (zh) 2021-09-10
US20170040214A1 (en) 2017-02-09
US20180240682A1 (en) 2018-08-23
KR20230005066A (ko) 2023-01-09
TWI828995B (zh) 2024-01-11
US9972504B2 (en) 2018-05-15
CN106449360A (zh) 2017-02-22
TWI814696B (zh) 2023-09-11
KR20210125967A (ko) 2021-10-19
US20200286743A1 (en) 2020-09-10
KR102510612B1 (ko) 2023-03-15
KR20210125968A (ko) 2021-10-19

Similar Documents

Publication Publication Date Title
KR102510612B1 (ko) 향상된 텅스텐 증착 충진을 위한 텅스텐의 원자층 에칭
TWI832325B (zh) 設計者原子層蝕刻
US9837312B1 (en) Atomic layer etching for enhanced bottom-up feature fill
US10784086B2 (en) Cobalt etch back
TWI695412B (zh) 蝕刻及平滑化基板表面的方法
TWI724998B (zh) 用於無空隙鈷間隙塡充的方法
JP2018182322A (ja) 原子層エッチングのリセットを伴う選択的堆積
JP2016131238A (ja) 原子スケールのald(原子層堆積)プロセスとale(原子層エッチング)プロセスとの統合
KR20180071174A (ko) 리모트 플라즈마 프로세스를 위한 챔버 컨디셔닝
KR102642011B1 (ko) 내화성 금속들 및 다른 고 표면 결합 에너지 재료들의 원자 층 에칭 및 평활화 (smoothing)
CN112970096A (zh) 蚀刻室中的方向性沉积
TWI840731B (zh) 用於增強的鎢沉積填充之鎢的原子層蝕刻
KR20240069686A (ko) 향상된 텅스텐 증착 충진을 위한 텅스텐의 원자층 에칭

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20170106