CN114207858A - 用于mram图案化的化学蚀刻非挥发性材料 - Google Patents

用于mram图案化的化学蚀刻非挥发性材料 Download PDF

Info

Publication number
CN114207858A
CN114207858A CN202080055747.0A CN202080055747A CN114207858A CN 114207858 A CN114207858 A CN 114207858A CN 202080055747 A CN202080055747 A CN 202080055747A CN 114207858 A CN114207858 A CN 114207858A
Authority
CN
China
Prior art keywords
gas
substrate
layer
plasma
halogen
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202080055747.0A
Other languages
English (en)
Inventor
杨文兵
塔玛尔·穆克吉
朱中惟
萨曼莎·西亚姆华·坦
林染
潘阳
齐亚德·埃尔奥特尔
范译文
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN114207858A publication Critical patent/CN114207858A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N50/00Galvanomagnetic devices
    • H10N50/01Manufacture or treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B61/00Magnetic memory devices, e.g. magnetoresistive RAM [MRAM] devices
    • H10B61/20Magnetic memory devices, e.g. magnetoresistive RAM [MRAM] devices comprising components having three or more electrodes, e.g. transistors
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N50/00Galvanomagnetic devices
    • H10N50/10Magnetoresistive devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N50/00Galvanomagnetic devices
    • H10N50/80Constructional details
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N50/00Galvanomagnetic devices
    • H10N50/80Constructional details
    • H10N50/85Magnetic active materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/338Changing chemical properties of treated surfaces

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Mram Or Spin Memory Techniques (AREA)
  • Hall/Mr Elements (AREA)

Abstract

提供了一种方法。将置于室内的衬底暴露于含卤素气体并点燃等离子体以将该衬底的表面进行改性,从而形成经改性的表面,其中该含卤素气体包括选自于由硅、锗、碳、钛、和锡所组成的群组的元素。将该衬底暴露于经活化的活化气体,以对该经改性的表面的至少一部分进行蚀刻。

Description

用于MRAM图案化的化学蚀刻非挥发性材料
相关申请的交叉引用
本申请要求于2019年7月31日申请的美国申请No.62/881,131的优先权,其通过引用并入本文以用于所有目的。
背景技术
这里提供的背景描述是为了一般地呈现本公开的上下文。本背景部分中描述的任何内容,以及书面描述的潜在方面,都没有明确或暗示地承认为本申请的现有技术。
半导体加工处理包括各种材料的蚀刻,所述材料包括金属及金属合金。然而,随着设备缩小且各种结构类型的加工变得更加复杂,一些经蚀刻的副产物可能会在衬底的其他已暴露区域上再次沉积,从而可能造成缺陷及最终设备的失灵。因此,其他的蚀刻技术正受到关注。
在存储器堆叠件的蚀刻中,对不同的含金属层进行蚀刻。介电层可介于不同的含金属层之间。应当在最少再沉积的情况下对不同金属层进行蚀刻。
发明内容
为了实现前述事项并符合本公开的目的,因此提供了一种方法。将置于室内的衬底暴露于含卤素气体,其中该含卤素气体包括元素,该元素选自于由硅、锗、碳、钛、和锡所组成的群组。在本说明书与权利要求范围中,含卤素气体包括元素且该元素选自于由硅、锗、碳、钛、和锡所组成的群组是表示该气体具有分子,其中分子具有至少一个卤素原子、以及硅、锗、碳、钛、和锡的群组中的至少一者的至少一原子。点燃等离子体以将该衬底的表面进行改性,并形成经改性的表面。将该衬底暴露于经活化的活化气体,以对该经改性的表面的至少一部分进行蚀刻。
在另一表现形式中,提供了一种用于在堆叠件中蚀刻特征的装置。提供了等离子体室。衬底支撑件位于该等离子体室内。输送系统将气体输送至该等离子体室中。气体源将气体提供至该输送系统,其中该气体源包括含卤素气体源、以及活化气体源。电极将射频(RF)功率提供至该等离子体室。至少一个RF产生器与该电极连接。控制器与气体源以及至少一个RF产生器可控制地连接。该控制器包括至少一个处理器以及计算机可读介质,该计算机可读介质包括用于对堆叠件的至少一部分进行蚀刻的计算机可读代码。该计算机可读代码包括:用于使一种含卤素气体从含卤素气体源流动至该等离子体室中的计算机可读代码,其中该含卤素气体包括元素,该元素选自于由硅、锗、碳、钛、和锡所组成的群组;用于从该含卤素气体点燃等离子体而将该衬底的表面进行改性,并形成经改性表面的计算机可读代码;用于将活化气体从活化气体源进行流动的计算机可读代码;以及用于将该活化气体进行活化以在该等离子体室中形成经活化的活化气体,从而对该经改性表面的至少一部分进行蚀刻的计算机可读代码。
本公开的这些以及其他特征将于本公开的具体实施方式中并结合以下附图而进行更详细的描述。
附图说明
图1为示例性衬底的示意图。
图2为处理流程图,其描绘了根据所公开的实施方案而执行的方法的操作。
图3A-3G是根据所公开的实施方案而进行操作的示例性衬底的示意图。
图4A-4K是根据某些所公开的实施方案而用于蚀刻衬底的示例性机制的示意图。
图4L为金属硅(metal silo)复合物的示意图。
图5是用于执行所公开的实施方案的示例性处理室的示意图。
图6是用于执行所公开的实施方案的示例性处理装置的示意图。
图7是从根据某些所公开的实施方案所执行的实验而显示CoPt材料在暴露于SiCl4与He和仅暴露于He溅镀的蚀刻速率的图表。
图8是在另一实施方案中所使用的另一等离子体处理室的示意性设计。
图9是可用于实行实施方案的计算机系统的示意图。
具体实施方式
在下列描述中,阐述了许多具体细节以提供对所呈现的实施方案的透彻理解。所公开的实施方案可在不具有这些具体细节中的一些或全部的情况下实施。在其他情况下,并未详细描述习知的处理步骤,以免不必要地模糊所公开的实施方案。虽然所公开的实施方案将结合特定实施方案进行描述,但应当理解的是,这并不意在限制所公开的实施方案。
在半导体晶片的处理期间,特征可能会蚀刻穿过含金属层。在磁性随机存取存储器(MRAM)的形成中,可依次对多个薄金属层、或膜进行蚀刻以形成磁性隧道结叠层。
磁性隧道结(MTJ)是由两磁性材料之间的薄介电阻挡层所构成。电子通过量子隧穿的过程而穿过阻挡物。这可作为基于磁性存储器的基础。
自旋转移矩是一种效应,在该效应中可以使用自旋极化电流来改变MTJ中的磁性层的方位。电荷载体(例如,电子)具有被称为自旋的性质,其是该载体所固有的角动量的微小量。电流通常是非极化的(50%上自旋与50%下自旋的电子)。通过使电流通过厚磁性层(通常称作“固定层”)可以产生具有更多的任一种自旋电子的自旋极化电流。如果将该自旋极化电流导入第二较薄磁性层(“自由层”),可以将角动量转移至该层而改变其方位。这种效应可用于激发震荡、或甚至翻转磁铁的方位。
自旋转移矩可用于翻转磁性随机存取存储器中的有源元件。比起使用磁场来翻转有源元件的传统磁阻式随机存取存储器(MRAM),自旋转移矩式磁性随机存取存储器(STT-RAM或STT-MRAM)具有较低功耗与较佳微缩性的优点。自旋转移矩技术具有使结合低电流需求与减低成本的MRAM设备成为可能的潜力。Ralph,D.C.;Stiles,M.D.(April 2008)."Spintransfer torques".Journal of Magnetism and Magnetic Materials 320(7):1190–1216。
图1中提供了一种示例性MTJ堆叠件。图1显示了堆叠件100,其包括硅氧化物蚀刻停止层101、钽氮化物阻挡层103、钌金属层105、固定层107、介电层109、自由层111、钽层113、以及另一钌金属层115。如图中所显示的,MTJ堆叠件120是由自由层111、介电层109以及固定层107所构成。应注意,虽然图1中显示了特定化学品,但在这种堆叠件中可存在其他合适的化学品。自由层和固定层可以包括金属和/或金属合金,例如钴铁、或钴铂。介电层109相邻于固定层107和自由层111。
在自旋转移矩磁阻式随机存取存储器(STT MRAM)的高密度缩放中仍待克服的关键挑战中的一个是MRAM堆叠件的图案化。MRAM堆叠件包含非挥发性且铁磁性的材料,例如钴(Co)、铁(Fe)、锰(Mn)、镍(Ni)、铂(Pt)、钯(Pd)、和钌(Ru),所述材料在不利用离子束蚀刻(IBE)、反应性离子蚀刻(RIE)、以及湿式化学品的复杂方法的情况下进行图案化是极度困难的。尽管经过许多年的发展,当前的图案化技术仍具有许多缺点,例如造成锥形轮廓以及MTJ至固定层的短路的侧壁再沉积、以及造成MTJ层的受损的腐蚀。在一些常规技术中,使用含氯化学品以蚀刻金属,但被蚀刻的副产物包括非挥发性化合物,而所述非挥发性化合物后续可能会再沉积于特征的侧壁上。
如本文所述的,在MRAM的应用中,MRAM的自由层与固定层所使用的材料主要是由钴-铁-硼(CoFeB)、钴铂合金(CoPt)、及其他非挥发性金属所构成。镁氧化物(MgO)层是介于自由层与固定层之间的关键层,但它对于电和磁性质是非常敏感的且易于遭受来自卤素等离子体处理的损害。因此,使用由离子束蚀刻而物理溅镀的蚀刻处理可能会对MRAM堆叠件的层造成负面影响。对于基于溅镀的处理存在着挑战。举例来说,在一些情况下,可能会发生金属材料的再沉积,从而影响良率。在一些情况下,在蚀刻期间金属物质会沿着侧壁进行再沉积,从而可能形成MTJ的短路路径。同样,在蚀刻前沿(etch front)处的再沉积会形成连接柱体(pillar)的分流通路(shunt pass)。在一些情况下,当缩小至较小的设备时,深宽比可能会受到限制且可能无法应用该处理。举例来说,由于呈角度的离子难以到达蚀刻前沿或者柱体的脚部,从而影响蚀刻轮廓,因此当节距(pitch)缩小时,来自相邻柱体的离子遮挡可能会难以使用IBE进行图案转移。在一些情况下,由于在不具反应物与副产物的传输限制下,等致密(iso-dense)区域处具有较有效的溅镀,故可能发生等致密负载(iso-denseloading)。等致密是表示该区域具有均匀的密度。
由于金属卤化物的常见副产物是非挥发性的,因此对非挥发性金属(例如,Co、CoFe、CoPt)进行蚀刻是具挑战性的。为了对设备上所使用的这些材料进行化学蚀刻,形成挥发性的副产物来解决当前与IBE技术相关的问题。
本文中所提供的是一种通过原子层蚀刻(ALE)处理来蚀刻MRAM堆叠件的化学蚀刻方法,其中该化学蚀刻方法使用具有硅、锗、钛、碳和锡中的一或更多者以及包含卤素的分子的气体。举例来说,在某些经公开的实施方案中所使用的ALE化学处理可以包括两操作:(i)含硅和氯等离子体(例如,四氯化硅SiCl4)以将表面改性;以及(ii)通过使用定向性离子形成挥发性副产物,以移除经改性的表面。虽然本文所述的是用于改性的含硅和氯等离子体,但应理解的是,在各种实施方案中还可以使用含锗和卤素等离子体、以及含锡和卤素等离子体。SiCl4辅助的ALE处理从根本上克服各种挑战,例如在MRAM的图案化时处理非挥发性金属中的上述那些挑战。
ALE是一种使用序列性自限反应来移除薄材料层的技术。一般来说,ALE可使用任何合适的技术执行。“ALE循环”的概念与本文中各种实施方案的讨论相关。通常,ALE循环是执行一次蚀刻处理(例如,蚀刻单层)所使用的最小操作组。单一循环的结果是在衬底表面上蚀刻固定且可预测的膜层量。通常,ALE循环包括用于形成经改性的层的改性操作,接着为用于仅移除或蚀刻该经改性的层的移除操作。该循环可包括某些辅助操作,例如将反应物或副产物中的一者扫除。通常,循环包含操作顺序的一实例。举例来说,ALE循环可以包括下列操作:(i)将反应物气体输送至室;(ii)将该反应物气体从该室清扫掉;(iii)输送移除气体和任选的等离子体;以及(iv)清扫该室。在一些实施方案中,可以非保形地执行蚀刻。
根据所公开的方法,可实现精确的蚀刻速率控制、无受损的MTJ、以及MRAM特征的保形性和蚀刻均匀性。如本文所述,通常,通过以基本上化学计量的方式将例如Si之类的材料、与例如Cl之类的卤素、及金属离子进行反应以形成例如M-SiClx之类的物质,可形成挥发性的蚀刻副产物,其中M可以是Co、Fe、Mn、Ni、Pt、Pd、或Ru。在一些实施方案中,伴随着硅烷基(-SiClx)附接至金属,M-SiClx物质的熔/沸点显著降低,且分压明显升高,特别是在真空中。
使用基本上化学计量的反应物量避免了负面的处理影响。举例来说,如果在等离子体中存在过多的硅(Si)通量,则可能会发生Si沉积而可能在反应中防止形成例如M-SiClx之类的物质。相反,例如如果存在过少的Si,则会由于M-SiClx物质的形成受到阻碍而抑制蚀刻速率。类似地,将过量的氯(Cl)添加至金属表面会导致形成非挥发性(沸点超过1200℃)的金属氯化物,例如氯化钴(II)(CoCl2)或氯化铁(FeCl3)。
根据一实施方案,在室中通过原子层沉积(ALD)处理以在金属(例如,CoFe)表面上将基本上化学计量的材料量进行沉积,该材料对于含卤化物和/或卤素气体和金属具有反应性以形成挥发性物质。示例包括硅氮化物(SiN)、硅氧化物(SiO2)、Si、或二氧化钛(TiO2)。ALE处理是利用氯(Cl2)或三氯化硼(BCl3)形式中的Cl来活化已沉积的Si层和金属表面。接着,将过量的Cl2抽出该室。在一些实施方案中,可执行氩(Ar)脱附,氩脱附是对氯化表面进行轰击与进一步活化,以允许挥发性金属硅烷基物质形成并接着将其抽出该室。当Si与Cl反应物在化学计量上匹配时,蚀刻速率达到最大值。在一些实施方案中,可以在不破真空的情况下执行ALE及ALD操作,包括在相同室中或是在一工具的不同室模块中执行。
在某些所公开的实施方案中,并未形成非挥发性蚀刻产物(例如,MClx)。正规的基于卤素等离子体处理系与金属反应以形成非挥发性MClx物质,并且与造成损害的镁氧化物(MgO)反应。涉及使用SiCl4等离子体的某些所公开的实施方案允许蚀刻反应得以形成具有金属-硅复合物(metal-silo complex)的挥发性物质,所述金属-硅复合物可具有化学式M-SiClx。金属-硅复合物是改善化学蚀刻的挥发性副产物。这种突破将依赖基于物理性溅镀处理的“非挥发性金属”转变至等离子体蚀刻的领域中。
下方的反应1显示出在基于溅镀处理中所形成的非挥发性蚀刻产物。
M+Cl→MClx(s)(非挥发性) (1)
下方的反应2显示出在某些所公开的实施方案中形成的挥发性蚀刻概况。
M+SiClx→M-SiClx(挥发性) (2)
在多种实施方案中,在本文所述的化学式中标示为M的金属可为Co、Pt、Pd、Fe、Cr、和Ir中的任何一或更多者。
还可以将基于SiCl4的蚀刻化学品结合至MRAM图案化的ALE处理中,以最小化MTJ的受损。基于SiCl4的ALE处理包括可循环进行的两操作。所述两操作包括使用SiCl4-等离子体的吸附操作,以将金属表面改性而形成经改性的金属表面;以及离子轰击,通过形成挥发性蚀刻副产物以移除该经改性的金属表面。这种ALE处理将经改性的表面移除,从而在各ALE循环过后重置蚀刻前沿与侧壁表面。金属表面被逐层地(layer-by-layer)进行蚀刻而并非持续暴露于化学反应物,以减小对MTJ层的损害。
还可将其他类型的等离子体化学品用作不同的前体以供应Si物质以及Cl物质。Si前体的非限制性示例包括硅烷,例如甲硅烷(SiH4)、SixRy(其中R为Cl、氟(F)、溴(Br)、或碘(I))以及SiiHjRk,其中i为介于且包括1至4之间的整数,j为介于且包括1至10之间的整数,k为介于且包括1至10之间的整数。在一些情况下,x为介于且包括1至10之间的整数,而y=2x+2。在一些实施方案中,位于硅烷的硅原子上的取代基包括至少一个卤素,而剩余取代基为氢、氯、氟、溴、和碘中的任何一或更多者。硅烷可以是环硅烷或线性硅烷。可使用任何合适的卤硅烷(halosilane)。卤硅烷包括至少一卤素基团,并且可能会、或可能不会包括氢和/或碳基团。卤硅烷的示例是碘硅烷、溴硅烷、氯硅烷、氢氯硅烷以及氟硅烷。然而,在点燃等离子体时,卤硅烷(特别是氟硅烷)可能会形成可将硅材料进行蚀刻的反应性卤化物物质,因此在一些实施方案中,在点燃等离子体时可以不将卤硅烷引导至室,所以可减缓从卤硅烷形成反应性卤化物物质。特定的氯硅烷为四氯硅烷、三氯硅烷、二氯硅烷、单氯硅烷、氯丙烯硅烷、氯甲基硅烷、二氯甲基硅烷、氯二甲基硅烷、氯乙基硅烷、叔丁基氯硅烷、二(叔丁基)氯硅烷、氯异丙基硅烷、氯仲丁基硅烷、叔丁基二甲基氯硅烷、叔己基二甲基氯硅烷、SiHCl-(N(CH3)2)2等。
还可以将该处理用于MRAM堆叠件之外的其他非挥发性材料蚀刻以及设备处理。
在一些实施方案中,某些所公开的实施方案可产生各种优点。一个优点是,某些所公开的实施方案可使残留物的金属再沉积最小化。所选定的蚀刻化学品形成挥发性副产物,与在侧壁上导致再沉积的物理性溅镀处理相比时,该挥发性副产物使再沉积所导致的溅镀最小化。同时,化学蚀刻将金属层移除并克服在蚀刻前沿处所保留、或再沉积的金属残留物问题。
另一个优点是,某些所公开的实施方案可利用最小化的负载效应以对MRAM堆叠件进行图案化。与SiCl4-等离子体相关的ALE化学蚀刻处理允许MRAM图案化所用的最小负载。IBE处理通常蚀刻出等致密、更有效且密集的阵列。基于SiCl4的ALE使深宽比能够与MRAM柱体中的凹陷无关。
另一个优点是,某些所公开的实施方案可扩展用于紧密节距,该紧密节距可窄于200nm、或窄于100nm、或窄于50nm、或窄于20nm、或窄于10nm、或窄于5nm。对于来自IBE的物理溅镀处理,主要的挑战是难以将该处理扩展用于较紧密节距的设备的加工。当节距到达与离子束角度相等的几何特征时,在图案转移期间离子束图案化中的图案转移效率显著下降。相反,SiCl4-ALE化学蚀刻在不具由掩模将离子遮蔽所造成的限制的情况下打开图案化的窗(window)。
现在将参照一些特定实施方案来进一步描述所公开的实施方案。图2是根据所公开的实施方案而提供执行操作的处理流程图。图3A-3G是根据所公开的实施方案而提供经蚀刻的示例性堆叠件的示意图。图4A-4K是根据所公开的实施方案而提供示例性蚀刻机制的示意图。应注意,虽然图4A-4K中提供的示例是描绘金属层的蚀刻,但所公开的实施方案可用于蚀刻各种材料,包括半导体、导体、及介电材料。此外,图4A-4K描绘出示例性机制,且应当理解本公开或权利要求的范围并且不受限于任何特定的操作理论。这些附图将共同进行讨论。
参照图2,在操作202中提供了衬底。该衬底可以是硅晶片、以及位于该硅晶片上方的各种层的堆叠件。硅晶片可以是200mm晶片、300mm晶片、或450mm晶片。该堆叠件可以具有一或更多个材料层,例如沉积于其上的介电、导电、或半导体材料。在多种实施方案中,该衬底是经图案化的。经图案化衬底可具有“特征”,例如柱体、杆体(pole)、沟槽、通孔、或接触孔,其可以表征为窄和/或内凹(re-entrant)开口、特征内的收缩部、以及高深宽比中的一或更多者。所述特征可形成在上述的一或更多层中。特征的一个示例为半导体晶片、或晶片上的层中的柱体、或杆体。另一示例为衬底或层中的沟槽。在多种实施方案中,特征可具有例如阻挡层或附着层的下方层。下方层的非限制性示例包括介电层和导电层,例如硅氧化物、硅氮化物、硅碳化物、金属氧化物、金属氮化物、金属碳化物、和金属层。
在一些实施方案中,例如柱体之类的特征可以具有至少约1:1、至少约2:1、至少约4:1、至少约6:1、至少约10:1、或更高的深宽比。特征还可以具有在开口附近的尺寸,例如介于约10nm至500nm之间的开口直径或线宽,例如介于约25nm与约300nm之间。可在具有特征的衬底上执行所公开的方法,其中所述特征具有小于约150nm的开口。可将通孔、沟槽、或其他凹陷特征称作未填充特征、或者特征。根据多种实施方案,特征轮廓可逐渐地变窄、和/或包括位于特征开口处的突出部。内凹轮廓是一种从特征的底部、封闭端、或内部往特征开口变窄的轮廓。内凹轮廓会通过在图案化期间的非对称蚀刻动力学和/或由于先前膜沉积(例如扩散阻挡物的沉积)中的非保形膜台阶覆盖而导致的突出部而产生。在多种示例中,特征可在开口中、特征的顶部处具有比该特征的底部的宽度更小的宽度。
在一些实施方案中,经图案化的衬底可以包括位于整个衬底上的各种形貌(topography)。在一些实施方案中,衬底上可存在经部分加工的栅极。在多种实施方案中,衬底可以包括在后续处理中适合用于对MRAM堆叠件进行蚀刻的金属、介电材料、及半导体材料的多个层。举例来说,一些衬底可以包括MRAM设计,其中存储元件包括MTJ。如本文中别处所述的,MTJ存储元件包括被薄的隧穿阻挡物所分隔开的两电极。所述两电极可以是铁磁性薄膜层,其中所述薄膜层可以是椭圆形的。在一些实施方案中,MTJ存储器元件包括额外的磁性层。举例来说,MTJ存储器元件还可以包括夹着薄金属层的一对铁磁性层,这些铁磁性层可被称为人造反铁磁铁以及反铁磁性层。对于可使用本文所述的方法以在衬底上进行加工的示例性MRAM存储器元件的形状与设计的进一步描述被提供于“Cell Shape andPatterning Considerations for Magnetic Random Access Memory(MRAM)Fabrication”by Ditizio,Robert et al.,发表于“Semiconductor Manufacturing Magazine”pp.90-96中。
回到图2,在操作202期间,利用湿式蚀刻来制备衬底。举例来说,在图3B中,执行湿式蚀刻以蚀刻穿过衬底上的第一金属层315。在一些实施方案中,并未执行湿式蚀刻。
图3A显示了MRAM堆叠件的示例,该MRAM堆叠件可呈现作为本文所述的衬底的一部分。应注意,虽然在图式上标示出了各堆叠件的示例性化学品,但是可以存在任何其他合适材料,而并非所提供的化学品,或者与所提供的化学品结合存在。举例来说,可以将所公开的实施方案用于蚀刻不同图案(例如,非MRAM图案)的材料。应注意,虽然在图3A-3G中描绘出了示例性的层,但可以将所公开的实施方案用于蚀刻该表面上的其他材料,同时减缓非挥发性副产物再沉积于该衬底的部件上。
衬底300包括SiO2蚀刻停止层301。应注意,蚀刻停止层301可位于衬底300中的其他层(未显示)的顶部上。在该堆叠件中,钽氮化物(TaN)的薄阻挡层303位于蚀刻停止层301的顶部上。位于TaN阻挡层303的顶部上的是包括钌(Ru)的金属层305。在一些实施方案中,金属层305可以具有约8nm的厚度。位于Ru金属层305的顶部上的是金属、或者是可包括钴铂(CoPt)的金属合金层307。在一些实施方案中,金属合金层307可以包括PtMn。如本文中所使用的,可以将层307称为“固定层”307。在一些实施方案中,固定层307可以具有约10-30nm的厚度。图3A还显示可以包括MgO的介电阻挡层309。本文中,可将介电阻挡层309称为“介电层”309。在一些实施方案中,介电阻挡层309会是相当薄的,例如具有约1.5nm或更小的厚度。
位于介电层309的顶部上的是可包括钴铁(CoFe)的金属合金层311。金属合金层311可以包括CoFeB。本文中,可以将金属合金层311称为“自由层”。位于自由层311的顶部上的是钽(Ta)阻挡层313。位于Ta阻挡层313的顶部上的是Ru金属层315。在本文所公开的实施方案中,可将Ru金属层315称为“第一金属层”,而可将Ru金属层305称为“第二金属层”。如图3A中所显示的,可将Ta硬掩模317进行沉积并蚀刻成图案。应注意,硬掩模317不必为钽硬掩模。举例来说,其他合适的硬掩模包括含碳硬掩模、含氮硬掩模以及含氧硬掩模。
回到图2,在操作204中,任选地将材料保形沉积在衬底上。该材料是与含卤化物和/或卤素气体和衬底层的材料具有反应性的,以形成挥发性物质。在一些实施方案中,该材料是与一或更多种含卤化物和/或卤素气体以及一或更多个衬底层的材料具有反应性,以形成挥发性物质。举例来说,该材料可以与含钴金属、以及BCl3和Cl2的混合物反应以形成挥发性物质。该材料提供用于在衬底上形成挥发性物质、以及保护层两者的材料源。为了下列描述的目的,可以将这种保形材料称为“保护层”,但应理解的是,保护层包括材料,该材料与含卤化物和/或卤素气体以及衬底上的材料反应以形成挥发性物质,并且可以是保形的。
在操作202中所沉积的任选保护层包括能利用金属卤化物形成挥发性物质的元素。该保护层可以包括任何含IV族元素过渡金属的材料。举例来说,该保护层可以是含硅层、含钛层、含锗层、含锡层、含碳层、或其任何组合。含硅层的示例包括硅氧化物、硅氮化物、非晶形硅、多晶硅、及其混合物。含钛层的示例包括钛氧化物、钛氮化物、钛以及其混合物。在一些实施方案中,该保护层是介电材料。
可以通过任何合适方法来沉积该保护层,包括化学气相沉积(CVD)、等离子体增强CVD(PECVD)、原子层沉积(ALD)、等离子体增强ALD(PEALD)、旋涂沉积、及溅镀。在一些实施方案中,该保护层提供用于与含卤化物和/或卤素以及含金属化合物反应以形成挥发性物质的材料源。举例来说,可将Si源引导至MRAM表面上以通过ALD外的方法(例如,PVD、PECVD、或旋涂处理),并且使用例如SiH4、SiCl4之类的气体、或使用例如正硅酸盐化合物(例如,四乙基正硅酸盐(TEOS)、旋涂式玻璃(SOG)、六甲基二硅氮烷(HMDS))的液体进行反应。
举例来说,可通过PECVD来沉积该保护层。一种示例包括利用等离子体将衬底同时暴露于含硅前体和含氮反应物。举例来说,可以将衬底同时暴露于硅烷与氮等离子体。可以将能够沉积保护层的任何合适前体与反应物用于沉积保护层,其中该保护层具有上述化学品中的任何一者。
在图3C中,保护层320被显示为沉积在衬底300上。虽然描绘了保护层320,但应注意在一些实施方案中该层可以是任选的。在一些实施方案中,保护层320可以是第一保护层(例如,在一些操作中还可以沉积另一保护层320)。应注意,在该描绘中,保护层320是保形的。在一些实施方案中,保护层320不必是保形的。在一些实施方案中,保护层320的一些部分可以是牺牲层。
图4A是衬底400的一部分的示意图的另一示例。在该示例中,衬底400包括金属层411,该金属层411例如可以包括Co、Fe、Mn、Pd、Pt、它们的合金、及它们的组合。此处,已将Ru第一金属层进行湿蚀刻,并且已在钽硬掩模和金属层411上方沉积含硅保护层420(例如,Si源)。虽然提供了含硅保护层420,然而在一些实施方案中其可以是任选的,尤其是在改性期间所使用的蚀刻化学品包括硅的实施方案中。应注意,虽然与图3C类似,但图4A中的衬底是为了说明的目的而去除钽阻挡层。虽然在图4A中描绘了层的特定示例,但任何合适金属可以位于硬掩模下方,并且可以呈现任何硬掩模的组成。另外,可以将任何合适的保护层420用于所公开的实施方案中,且这种保护层并不受限于图4A中所显示的含硅层。
回到图2,在操作206期间,将衬底暴露于含卤素反应物以改性衬底的表面。在多种实施方案中,在操作206期间,将衬底暴露于含IV族元素(例如,硅)及卤素等离子体。即,在多种实施方案中,在未事先沉积保护层的情况下于操作206中使用含硅及卤素等离子体、或者在沉积保护层之后于操作206中使用含卤素反应物。使用含硅以及卤素等离子体而不是沉积保护层的一个优点是减少被执行以实现逐层蚀刻的操作。因此,可以在不必先沉积保护层的情况下蚀刻金属表面,从而在MRAM堆叠件的图案化时进行较有效率的处理。
通过导入含硅和卤素气体(例如,卤硅烷)并点燃等离子体,可产生含硅和卤素等离子体。在一些实施方案中,等离子体远程产生。在一些实施方案中,等离子体原位产生。该等离子体可使用ICP或CCP等离子体而产生。
该等离子体可以使用介于约100瓦(W)与900W之间的等离子体功率而产生。可以将暴露执行一段时间,使其足以吸附衬底表面的至少60%、或至少70%、或至少80%、或至少90%、或100%。该操作期间的温度可介于约60℃与约200℃之间。该操作期间的室压强可以介于约1毫托(mTorr)与约500mTorr之间。含硅和卤素前体的流量可介于约5标准立方公分/分钟(sccm)与200sccm之间。在一些实施方案中,可以使用例如氦之类的载体气体。载体气体的流量可以介于约50sccm与约500sccm之间。在一些实施方案中,含硅和卤素前体可以包括氦。一些实施方案可提供偏压。在一些实施方案中,可以使偏压脉冲化。偏压的范围可以是100V至2000V。
Si前体的非限制性示例包括硅烷,例如SiH4、SixRy(其中R为Cl、F、Br、或I)以及SiiHjRk。在一些情况下,x为介于1至10之间且包括1和10的整数,而y=2x+2。在一些实施方案中,位于硅烷的硅原子上的取代基包括至少一个卤素,而剩余取代基为氢、氯、氟、溴、和碘中的任何一或更多者。硅烷可以是环硅烷或线性硅烷。可以使用任何合适的卤硅烷。卤硅烷包括至少一个卤素基团,并可能会、或可能不会包括氢和/或碳基团。卤硅烷的示例为碘硅烷、溴硅烷、氯硅烷以及氟硅烷。然而,在点燃等离子体时,卤硅烷(特别是氟硅烷)可能会形成可蚀刻硅材料的反应性卤化物物质,因此在一些实施方案中,在点燃等离子体时可以不将卤硅烷引导至室,所以可减缓从卤硅烷形成反应性卤化物物质。特定的氯硅烷是四氯硅烷、三氯硅烷、二氯硅烷、单氯硅烷、氯丙烯硅烷、氯甲基硅烷、二氯甲基硅烷、氯二甲基硅烷、氯乙基硅烷、叔丁基氯硅烷、二(叔丁基)氯硅烷、氯异丙基硅烷、氯仲丁基硅烷、叔丁基二甲基氯硅烷、叔己基二甲基氯硅烷、SiHCl-(N(CH3)2)2等。
在图4G中,在任选的氦环境中将含钴表面(例如,CoPt)暴露于使用SiCl4所产生的含硅和卤素等离子体。不受限于特定理论,据信该等离子体将SiCl4分子解离以产生氯和Si-Cl物质。将偏压以脉冲形式施加以蚀刻含钴表面,从而形成挥发性CoSiClx物质,如图4H中所显示的。该偏压使用特定工作周期脉冲化,以改善ALE反应的协同效应(synergy)。可使用介于100V与2000V之间的电压来施加偏压。该工作周期系将该偏压开启一周期的一段持续时间。可将该偏压在接通(ON)与关断(OFF)状态之间、或在高与低状态之间脉冲化。高状态可具有介于约200V与约2000V之间的电压。低状态可具有介于约为、或实际为0V与约200V之间的电压。应理解的是,偏压脉冲化会涉及周期重复,各周期可维持一段持续时间T。该段持续时间T在给定的周期内包括脉冲ON时间的持续时间(偏压在ON状态下的持续时间)、以及偏压OFF时间的持续时间(偏压在OFF状态下的持续时间)。脉冲频率将被理解成1/T。举例来说,对于偏压脉冲周期T=100μs,频率为1/T=1/100μs或10千赫(kHz)。该工作周期或占空比(duty ratio)是在一周期T中偏压处于ON状态下的比率或百分比,使得工作周期或占空比是脉冲ON时间除以T。举例来说,对于偏压脉冲周期T=100μs,如果脉冲ON时间为70μs(使得在一周期中偏压处在ON状态的持续时间为70μs),且脉冲OFF时间为30μs(使得在一周期中偏压处在OFF状态的持续时间为30μs),则工作周期为70%。图7显示了所得到的实验数据的示例,其中将偏压进行调控以比较仅使用偏压脉冲化而在CoPt表面上使用SiCl4-He暴露和氦溅镀的蚀刻速率和这些处理的整体协同效应百分比。整体来说,偏压脉冲使溅镀速率降低,并提高SiCl4的化学蚀刻成分。
图4I显示了使用SiClx等离子体以在钴表面上吸附SiClx的示例。如图所示,点燃SiClx等离子体而产生的反应性物质被吸附在该钴表面上。在一些实施方案中,在此吸附操作期间施加偏压。在图4J中,使用偏压将已活化的氩进行引导以如图4K所示地将改性表面移除,其导致形成挥发性金属硅复合物副产物CoSiClx。图4L显示了可通过使用某些所公开的实施方案而形成的金属硅复合物挥发性副产物的示例。硅复合物包括至少一个金属原子、至少一个硅原子、以及至少一个卤素原子。
在一些实施方案中,含卤素反应物不包括硅原子。在许多这种实施方案中,经由含硅材料的保护层来提供硅源。这种无硅的含卤素反应物可包括含硼卤素气体、含卤素气体、卤化物气体、及其组合。示例包括BCl3、三溴化硼(BBr3)、三碘化硼(BI3)、Cl2、氟(F2)、溴(Br2)、和碘(I2)。气体组合的一示例可为BCl3/Cl2。含卤素反应物可以与保护层反应、和/或吸附在该保护层上。举例来说,硅的保护层可以与含卤素反应物反应以在衬底表面上形成硅卤化物。应注意,在一些实施方案中,含卤素反应物可以布满衬底的至少约90%、或是衬底的至少约99%。在一些实施方案中,含卤素反应物可保形地吸附在衬底表面上。在一示例中,氯原子和/或分子可吸附在含硅保护层的表面上。
图4B显示了氯分子450a的示意性描述的示例,其中该示例来自Cl2与保护层420反应并吸附至保护层420的表面以在该表面上形成吸附层450b。图4B中的示意性衬底400显示了多个箭头,这些箭头描绘了Cl2分子450a的方向,其朝向衬底400的表面移动以吸附在衬底400的表面上或与衬底400的表面反应。可以在少于约100V、或少于约60V的功率下供应偏压,例如为约50V。
在图2的操作208中,将衬底暴露于活化气体以蚀刻该衬底的经改性的表面。在多种实施方案中,活化气体可包括一或更多种惰性气体(例如,氩)、二氧化碳、氨、含氢气体、及其组合物。在操作208期间,产生例如等离子体之类的活化源以将活化气体进行活化,以提供经活化的活化气体而蚀刻该衬底。在多种实施方案中,通过下列至少一者来提供经活化的活化气体:从该经活化的气体形成等离子体、从该经活化的气体形成离子束、以及将该经活化气体进行热活化。在图2的操作208中,可将具有已吸附含卤素化合物并且还垂直于偏压蚀刻的方向的表面完全蚀刻。在一些实施方案中,可以将低偏压施加以定向性地蚀刻该衬底。举例来说,可在少于约100V的功率下供应偏压,例如为约50V。等离子体的功率可介于约500W与约1500W之间。
在图4C中,将包括硅氯化物470的经蚀刻化合物从钽硬掩模的场区域的水平表面移除,并且同时将所沉积的保形或保护层420移除以显示出暴露的金属层411。应注意,如图4C中所显示的,沉积在硬掩模与第一金属层(此处分别为Ta与Ru)的侧壁上的一些保护层被保留在侧壁上。这种保留的保护层可作为持续保护硬掩模的层,以避免被源自蚀刻反应的任何可能副产物损害或劣化。
因此,图3C中的衬底在执行操作206和208后可以具有图3D中所描绘的结构。可执行定向性蚀刻,使得一些保护层322如图3D所显示地保留在特征的侧壁上,同时将下方的一或更多个层(例如,钽阻挡层313和大多数的CoFe自由层311)进行蚀刻。应注意,在多种实施方案中,并未将CoFe自由层311完全蚀刻,以避免介电层309容易被蚀刻并且蚀刻进入特征的侧部。举例来说,在衬底包括介电层309且该介电层309包括与自由层311相邻的MgO的情况下,可将自由层311进行蚀刻,以使其在衬底上保留介于约
Figure BDA0003495559030000161
与约
Figure BDA0003495559030000162
之间。应注意,在多种实施方案中,通过循环地执行各种操作以将该衬底蚀刻穿过这些层。
举例来说,如图2中所显示的,在操作210中,可任选地重复进行操作206-208。在一些实施方案中,重复的206和208可构成一个循环。举例来说,在一些实施方案中,可将操作206和208重复进行两个或更多个循环。可以执行每个循环,使每个循环蚀刻在介于约
Figure BDA0003495559030000163
与约
Figure BDA0003495559030000164
之间,例如每个循环为约
Figure BDA0003495559030000165
因此,在一些实施方案中,可使用操作206和208的多个循环对图3C中显示的衬底进行蚀刻以蚀刻穿过该衬底的表面,其中该衬底的该表面垂直于通过施加偏压而实施的定向性蚀刻的方向。举例来说,如图3C中所显示,可将操作206和208重复进行以蚀刻穿过保形或保护层320、钽阻挡层313、以及大部分的CoFe/CoFeB自由层311。如上所述,操作206和208的循环可在将CoFe/CoFeB自由层311完全蚀刻之前停止以保护MgO介电层309、或者操作206和208的循环可以继续以完全蚀刻穿过该MgO介电层309。
在图3E中,可以在不将衬底暴露于含卤素气体的情况下执行操作208,以蚀刻穿过CoFe/CoFeB自由层311的薄层,并蚀刻MgO介电层309。在一些实施方案中,通过将衬底暴露于无卤素气体来执行操作208。在多种实施方案中,使用偏压将氩气进行溅镀而蚀刻介电层,以免在该介电层上执行苛刻的蚀刻化学过程。在一些实施方案中,施加至该介电层的苛刻蚀刻化学过程可能使掩模下的介电层被蚀刻,从而造成可能的劣化与设备失灵问题。在一些实施方案中,在介电质蚀刻期间施加偏压。举例来说,可在少于约100V的功率下供应偏压,例如为约50V。
图4D-4F显示了示例性的蚀刻机制的示意图,该蚀刻机制是从图4C继续进行,使得图2的操作206和208在操作210中重复进行。图4D显示了重复进行操作206的衬底400。在图4C中将金属层411进行暴露后,在图4D中将该衬底暴露于Cl2 450a以改性该衬底的表面。如图所示,Cl2可吸附在衬底400的表面上、或可与该衬底的表面反应以形成氯吸附层450b。应注意,由于保护层420在先前沉积含硅材料时保留在侧壁上,因此一些氯450b可吸附在该保护层420上、或与该保护层420反应,而一些氯450b可吸附在金属表面411上、或与该金属表面411反应。
图4E显示了重复进行操作208的衬底。如图所示,将氩(例如,活化气体)440引导至该衬底,并点燃等离子体以蚀刻该衬底。在多种实施方案中,如图4E中的箭头所指示的,施加偏压以对该衬底进行定向性蚀刻。含硅保护层420的存在利用已吸附氯450b与来自金属表面411的金属而形成复合物475。应注意,并非所有复合物475都可以具有相同化学结构。然而,在此示例中,保护层420提供硅以形成挥发性物质475,该挥发性物质475可以从处理衬底的室移除。在多种实施方案中,保护层420可附加地、或替代性地包括其他材料,例如钛、锗、以及与金属和卤化物和/或卤素气体具有反应性以形成挥发性物质的其他材料。
在一些实施方案中,在Si辅助ALE蚀刻期间,在MRAM金属表面上沉积SiO2/SiN保护层(例如,图4A中的保护层420)。接着,利用BCl3/Cl2气体(例如,氯分子450a)将Si掺杂金属表面(如图4B中所显示)活化以形成已吸附氯层(450b)。Ar气体(440)的定向性Ar离子束对经改性的层进行轰击,并在处理中断裂并且允许形成新的键,最后使挥发性蚀刻产物(M-SiClx)(475)释放至气相中。
综上所述,示例性活化反应可如下:
Figure BDA0003495559030000171
示例性脱附/再组反应可如下:
Figure BDA0003495559030000172
M-SiClx复合物是稳定且具挥发性的,并且可以在Ar溅镀脱附下存留而不分解成金属形式。因此,减少或避免了侧壁上的再沉积。
所公开的实施方案涉及将与含卤化物和/或卤素气体、和金属具有反应性以形成挥发性物质的材料进行沉积。示例包括含硅材料、含钛材料、含锗材料、含锡材料、含碳材料、及其组合。在一些实施方案中,所沉积的材料可为含硅材料,例如非晶形硅、多晶硅、硅氧化物、或硅氮化物。在一些实施方案中,所沉积的材料可以是钛、或钛氧化物。
所公开的实施方案还适合用于蚀刻固定层;CoPt、CoPd、PtMn、以及各种其他材料,包括Co、Fe、Mn、Pt、Ru和Ta。该原理适用于第一、第二、及第三行的所有其他过渡金属(例如,IV族过渡金属、V族过渡金属、以及VI族过渡金属),包括例如Cu的金属。例如在相同IV族(如Ge及Sn)内的其他稳定的气态复合物物质可展现相同行为。
除了Si之外,还可利用周期表内的IV族的其他成员(例如,C、Ge、Sn)来形成类似的挥发性蚀刻产物,以在等离子体中形成如M-CClX、M-GeClX、及M-SnClX的稳定且挥发性物质。可将这些反应物引导作为卤化物气体,如CClX、SiClX、GeClX以及SnClX(其中X为卤素,例如具有各种化学计量的F、Cl、或Br)、或者允许这些元素沉积至MRAM金属表面上的其他源。
可通过ALE之外的方法来进行挥发性金属蚀刻产物的活化、形成、及脱附;方法例如为反应性离子蚀刻(RIE)、电子回旋共振(ECR)蚀刻、或热脱附,且在一些实施方案中还可使用UV处理。所公开的实施方案还可以与湿式蚀刻、和/或反应性离子蚀刻处理共同整合。
图4F显示了已对一层金属表面411进行蚀刻后的衬底。应注意,当在各种循环中执行操作206和208时,可将保护层420中的一些进行蚀刻。
因此,在图2的操作212中,可任选地重复执行操作204-210,使得操作204形成第一保形材料,而操作204的重复操作形成第二保形材料,该第二保形材料在衬底上沉积以提供与含卤化物和/或卤素气体以及衬底上的金属具有反应性以形成挥发性物质的进一步的材料。
图3F显示了对应于操作212的衬底示例。如图所示,操作204重复进行以在衬底上方进一步沉积第二保护层324。该保护层324可以用于进一步保护Ta阻挡层313、CoFe自由层311以及经蚀刻的MgO介电层309。
因此,也可循环地重复进行操作206和208,直到将剩下的衬底蚀刻至蚀刻停止层。图3G显示了经蚀刻的衬底,其中已循环地重复进行操作206及208以蚀刻穿过CoPt固定层307、第二Ru金属层305以及TaN阻挡层303。应注意,衬底300显示出位于侧壁上的剩余保护层322和324。在多种实施方案中,在对该堆叠件进行加工后可将这些层减少或移除。在一些实施方案中,当执行所公开的实施方案时,还可对这些层中的一些或一部分进行蚀刻。
虽然本公开不受理论所限制,但据信MRAM金属(例如,Co、Fe、Mn、Pd、和Pt)的沉积-蚀刻机制可以如下进行。该机制涉及在Cl(例如,由BCl3和/或Cl2所提供)及Ar的ALE期间,经由导入Si进行这些金属的干式化学蚀刻而不在侧壁上再沉积金属。如上所述,不受限于任何特定理论,据信Si或与含卤化物及/或卤素气体和金属具有反应性的其他材料的存在导致了挥发性蚀刻产物如Co-SiClx或Fe-SiClx的形成,所述挥发性蚀刻产物在蚀刻室中具有高分压,并可轻易地被抽排移除。
装置
现在将描述感应耦合式等离子体(ICP)反应器,在一些实施方案中,其可适用于原子层蚀刻(ALE)操作以及原子层沉积(ALD)操作。这种ICP反应器也在于2013年12月10日提交的名称为“IMAGE REVERSAL WITH AHM GAP FILL FOR MULTIPLE PATTERNING”的美国专利申请公开No.2014/0170853中有所描述,其通过引用将其整体并入本文并用于所有目的。尽管这里描述了ICP反应器,但在一些实施方案中,应当理解,也可以使用电容耦合等离子体反应器。
图5示意性地示出了适于实施本文的某些实施方案的感应耦合等离子体集成蚀刻和沉积装置500的横截面图,其示例是
Figure BDA0003495559030000191
反应器,由加利福尼亚州弗里蒙特的LamResearch Corp.生产。所述感应耦合等离子体装置500包括由室壁501和窗511在结构上限定的总处理室524。室壁501可以由不锈钢或铝制成。窗511可以由石英或其他介电材料制成。任选的内部等离子体栅格550将整个处理室分为上副室502和下副室503。在多数实施方案中,等离子体栅格550可以被移除,从而利用由副室502和503构成的室空间。卡盘517定位在下副室503中在底部内表面附近。卡盘517被配置成接收和保持在其上执行蚀刻和沉积处理的半导体衬底或晶片519。卡盘517可以是当晶片519存在时用于支撑晶片519的静电卡盘。在一些实施方案中,边缘环(未示出)围绕卡盘517,并具有大致与晶片519(当晶片存在于卡盘517上方时)的顶面在同一平面的上表面。卡盘517还包括用于夹紧和松开晶片519的静电电极。可设置过滤器和DC钳位功率源(在图中未示出)用于此目的。也可以提供其他的控制系统用于提升晶片519使其离开卡盘517。卡盘517可以用RF电源523充电。RF电源523通过连接件527被连接到匹配电路521。匹配电路521通过连接件525连接到卡盘517。以这种方式,RF电源523被连接到卡盘517上。
用于等离子体产生的元件包括位于窗511上方的线圈533。在一些实施方案中,所公开的实施方案中未使用线圈。线圈533由导电材料制成,并包括至少一整匝。在图5中所示的线圈533的示例包括三匝。线圈533的横截面用符号示出,具有“X”符号的线圈表示线圈旋转地延伸到页面内,相反,具有“●”符号的线圈表示线圈旋转地延伸出页面。用于等离子体产生的元件还包括被配置为提供RF功率至线圈533的RF电源541。一般地,RF电源541通过连接件545被连接到匹配电路539。匹配电路539通过连接件543连接到线圈533。以这种方式,RF电源541被连接到线圈533。任选的法拉第屏蔽件549被定位在线圈533和窗511之间。法拉第屏蔽件549以相对于线圈533成隔开的关系被保持。法拉第屏蔽件549被设置在窗511的正上方。线圈533、法拉第屏蔽件549和窗511各自被配置为基本上彼此平行。法拉第屏蔽件可以防止金属或其它物质沉积在处理室524的介电窗上。
处理气体(例如,卤化物气体、含卤素气体、含硅和卤素气体、含锗和卤素气体、含锡和卤素气体、四氯化硅、氯、氩、四氯化硅、氧、氮等)可经由设置在上部子室502中的一或更多主气体流入口560和/或经由一或更多侧气体流入口570而流入处理室中。同样,虽然未明确示出,但是类似的气体流入口可用于向电容耦合等离子体处理室供应处理气体。真空泵,例如,一级或两级干式机械泵和/或涡轮分子泵540,可用于将处理气体从处理室524抽出并且维持处理室524内的压强。举例来说,在ALD的清扫操作期间,可将真空泵用于将下副室503抽空。阀控制的导管可用于使真空泵流体连接在处理室524上,以便选择性地控制由真空泵提供的真空环境的应用。在操作等离子体处理过程中,这可以使用封闭环控制的流量限制装置例如节流阀(未示出)或钟摆阀(未示出)进行。同样,也可以使用受控地流体连接在电容耦合等离子体处理室上的真空泵和阀。
在所述装置500的操作过程中,一种或多种处理气体可通过气体流入口560和/或570供给。在某些实施方案中,处理气体可以仅通过主气体流入口560供给,或者仅通过侧气体流入口570供给。在一些情况下,在图中所示的气体流入口可以由较复杂的气体流入口替代,例如由一个或多个喷头替代。法拉第屏蔽件549和/或任选的栅格550可以包括使处理气体能输送至处理室524的内部通道和孔。法拉第屏蔽件549和任选的栅格550中的一者或两者可以作为用于输送处理气体的喷头。在一些实施方案中,液体蒸发和输送系统可位于处理室524的上游,使得一旦液体反应物或前体被蒸发,那么蒸发的反应物或前体就通过气体流入口560和/或570引入到处理室524中。
射频功率从RF电源541供给到线圈533以使RF电流流过线圈533。流过线圈533的RF电流产生围绕线圈533的电磁场。该电磁场产生在上副室502内的感应电流。所生成的各离子和自由基与晶片519的物理和化学相互作用蚀刻晶片的特征并在晶片519上沉积层。
如果使用等离子体栅格550使得存在上副室502和下副室503二者,则感应电流作用于存在于上副室502中的气体上以在上副室502中产生电子-离子等离子体。任选的内部等离子体栅格550限制下副室503中的热电子的数量。在一些实施方案中,设计和操作所述装置500使得存在于下副室503中的等离子体是离子-离子等离子体。
上部的电子-离子等离子体和下部的离子-离子等离子体二者可包含阳离子和阴离子,但是离子-离子等离子体将具有更大的阴离子与阳离子的比率。挥发性的蚀刻和/或沉积的副产物可通过端口522从下副室503去除。本文所公开的卡盘517可在约10℃和约250℃之间的升高的温度范围内操作。该温度将取决于处理操作和具体配方。
装置500当安装在清洁室或制造厂中时可耦合到设施(未示出)。设施包括管道,管道提供处理气体、真空、温度控制和环境微粒控制。这些设施当安装在目标制造厂时耦合到装置500。此外,装置500可耦合在传送室上,从而允许使用典型的自动化由机械手传送半导体晶片进出装置500。
在一些实施方案中,系统控制器530(其可以包括一个或多个物理或逻辑控制器)控制处理室524的操作中的一些或全部。系统控制器530可以包括一个或多个存储器设备和一个或多个处理器。在一些实施方案中,该装置500包括在执行所公开的实施方案时用于控制流率和持续时间的切换系统。在一些实施方案中,该装置500可具有高达约500ms或高达约750ms的切换时间。切换时间可以取决于流动化学物质、配方选择、反应器架构以及其他因素。
在一些实现方式中,系统控制器530是系统的一部分,该系统可以是上述示例的一部分。这种系统可以包括半导体处理设备,半导体处理设备包括一个或多个处理工具、一个或多个室、用于处理的一个或多个平台、和/或特定处理部件(晶片基座、气体流系统等)。这些系统可以与用于在半导体晶片或衬底的处理之前、期间和之后控制它们的操作的电子器件集成。电子器件可以集成至控制器530中,其可以控制一个或多个系统的各种部件或子部件。根据处理参数和/或系统类型,系统控制器530可以被编程以控制本文公开的任何处理,包括控制处理气体的输送、温度设置(例如加热和/或冷却)、压力设置、真空设置、功率设置、射频(RF)发生器设置、RF匹配电路设置、频率设置、流率设置、流体输送设置、位置和操作设置、进出工具和其他输送工具和/或连接到特定系统或与特定系统接口的装载锁的晶片输送。
广义而言,系统控制器530可以定义为电子器件,电子器件具有接收指令、发出指令、控制操作、启用清洁操作、启用终点测量等的各种集成电路、逻辑、存储器和/或软件。集成电路可以包括存储程序指令的固件形式的芯片、数字信号处理器(DSP)、定义为专用集成电路(ASIC)的芯片、和/或一个或多个微处理器、或执行程序指令(例如,软件)的微控制器。程序指令可以是以各种单独设置(或程序文件)的形式输送到控制器的指令,单独设置(或程序文件)定义用于在半导体晶片上或针对半导体晶片或系统执行特定处理的操作参数。在一些实施方案中,操作参数可以是由工艺工程师定义的配方的一部分,以在一或多个(种)层、材料、金属、氧化物、硅、二氧化硅、表面、电路和/或晶片的管芯的制造和去除期间完成一个或多个处理步骤。
在一些实现方式中,系统控制器530可以是与系统集成、耦合、以其它方式联网到系统或其组合的计算机的一部分或耦合到该计算机。例如,控制器可以在“云”中或在晶片厂(fab)主机系统的全部或一部分中,其可以允许对晶片处理的远程访问。计算机可以实现对系统的远程访问以监视制造操作的当前进展、检查过去制造操作的历史、从多个制造操作研究趋势或性能标准,以改变当前处理的参数、设置要跟随当前处理的处理步骤、或者开始新的处理。在一些示例中,远程计算机(例如服务器)可以通过网络(其可以包括本地网络或因特网)向系统提供处理配方。远程计算机可以包括使得能够输入或编程参数和/或设置的用户接口,然后将该参数和/或设置从远程计算机输送到系统。在一些示例中,系统控制器530接收数据形式的指令,其指定在一个或多个操作期间要执行的每个处理步骤的参数。应当理解,参数可以特定于要执行的处理的类型和工具的类型,控制器被配置为与该工具接口或控制该工具。因此,如上所述,系统控制器530可以是例如通过包括联网在一起并朝着共同目的(例如本文所述的处理和控制)工作的一个或多个离散控制器而呈分布式。用于这种目的的分布式控制器的示例是在与远程(例如在平台级或作为远程计算机的一部分)定位的一个或多个集成电路通信的室上的一个或多个集成电路,其组合以控制在室上的处理。
示例性的系统可以包括但不限于等离子体蚀刻室或模块、沉积室或模块、旋转漂洗室或模块、金属电镀室或模块、清洁室或模块、倒角边缘蚀刻室或模块、物理气相沉积(PVD)室或模块、化学气相沉积(CVD)室或模块、ALD室或模块、ALE室或模块、离子注入室或模块、轨道室或模块、以及可以与半导体晶片的制造和/或制备相关联或用于半导体晶片的制造和/或制备的任何其它半导体处理系统。
如上所述,根据将由工具执行的一个或多个处理步骤,控制器可以与一个或多个其他工具电路或模块、其它工具部件、群集工具、其他工具接口、相邻工具、邻近工具、位于整个工厂中的工具、主计算机、另一控制器、或在将晶片容器往返半导体制造工厂中的工具位置和/或装载口运输的材料运输中使用的工具通信。
图6描绘了具有与真空传送模块638(VTM)接口的各种模块的半导体处理集群架构。在多个存储设施和处理模块之间“传送”晶片的各种模块的布置可以被称为“群集工具架构”系统。气闸630(也称为装载锁或传送模块)与VTM 638对接,VTM 638与四个处理模块620a-620d对接,四个处理模块620a-620d可以被单独优化以执行各种制造处理。例如,处理模块620a-620d可以被实现为执行衬底蚀刻、沉积、离子注入、晶片清洗、溅镀和/或其它半导体处理。在一些实施方案中,在同一模块中执行ALD和ALE。在一些实施方案中,则是在同一工具的不同模块中执行ALD和ALE。一或多个衬底蚀刻处理模块(620a-620d中的任一个)可以如本文所公开的方式实现,即用于沉积保形膜、通过ALD选择性地沉积膜、蚀刻图案、及根据所公开的实施方案的其他合适功能。气闸630和处理模块620a-620d可以被称为“站”。每个站具有将站与VTM 638接口的小平面636。在每个小平面内,传感器1-18用于当晶片626在各个站之间移动时检测晶片626的通过。
机械手622在站之间传送晶片626。在一个实施方案中,机械手622具有一个臂,并且在另一个实施方案中,机械手622具有两个臂,其中每个臂具有末端执行器624以拾取晶片(例如晶片626)用于运输。在大气传送模块(ATM)640中,前端机械手632用于将晶片626从装载端口模块(LPM)642中的盒或正面开口标准盒(FOUP)634传送到气闸630。处理模块620a-620d内的模块中心628是用于放置晶片626的一个位置。ATM 640中的对准器644用于对准晶片。
在示例性处理方法中,晶片被放置在LPM 642中的FOUP 634中的一个内。前端机械手632将晶片从FOUP 634传送到对准器644,这使得晶片626能够在被蚀刻或处理之前适当地居中。在对准之后,晶片626被前端机械手632移动到气闸630中。由于气闸630具有匹配ATM 640和VTM 638之间的环境的能力,所以晶片626能够在两个压力环境之间移动而不被损坏。从气闸630,晶片626由机械手622经由VTM 638移动并且进入处理模块620a-620d中的一个内。为了实现该晶片移动,机械手622使用位于其每个臂上的端部执行器624。一旦晶片626已经被处理,其就被机械手622从处理模块620a-620d移动到气闸630。从这里,晶片626可以由前端机械手632移动到FOUP 634中的一个或移动到对准器644。
应当注意,控制晶片移动的计算机可以在集群架构本地,或者可以位于制造车间中的集群架构外部或者位于远程位置,并且经由网络连接到集群架构。上面关于图5描述的控制器可以利用图6中的工具来实现。
实验
实验1
一种实验涉及将CoPt表面暴露于SiCl4-He等离子体以进行改性;以及在具有偏压的情况下将该经改性的表面暴露于经活化的氦,以单独使用偏压脉冲进行溅镀。这种实验在各种偏压下进行,并且评估CoPt表面的蚀刻速率。还测定这些蚀刻处理的协同效应。在一些实施方案中,ALE的协同效应介于65%与80%之间。
图7显示了所得到的实验数据,其中调整偏压以比较仅使用偏压脉冲而在CoPt表面上使用SiCl4-He暴露和氦溅镀的蚀刻速率、以及这些处理的整体协同百分比。整体来说,偏压脉冲使溅镀速率下降,并且使SiCl4的化学蚀刻成分增加。
图8示意性地描绘了可以在实施方案中使用的等离子体处理室系统800的另一示例。该等离子体处理室系统800包括等离子体反应器802,在该等离子体反应器802中具有等离子体处理约束室804。由等离子体匹配网络808所调整的等离子体电源806将功率提供至位于介电感应功率窗812附近的变压器耦合等离子体(TCP)线圈810,以通过提供感应耦合功率而在等离子体处理约束室804内产生等离子体814。峰部872从等离子体处理约束室804的室壁876延伸至介电感应功率窗812而形成峰部环。峰部872相对于室壁876和介电感应功率窗812呈一定角度,使得峰部872与室壁876之间的内角、以及峰部872与介电感应功率窗812之间的内角各自大于90°且小于180°。如图所示,峰部872在等离子体处理约束室804的顶部附近提供呈角度的环。TCP线圈(上电源)810可配置成在等离子体处理约束室804内产生均匀的扩散轮廓。举例来说,TCP线圈810可配置成在等离子体814中产生环形功率分布。介电感应功率窗812被提供以将TCP线圈810与等离子体处理约束室804分离,同时允许能量从TCP线圈810传递至该等离子体处理约束室804。由偏压匹配网络818所调整的晶片偏压电源816将功率提供至电极820,以设定衬底866上的偏压。衬底866由电极820支撑。控制器824控制着等离子体电源806和晶片偏压电源816。
等离子体电源806及晶片偏压电源816可配置以在特定射频下进行操作,例如诸如13.56兆赫(MHz)、27MHz、2MHz、60MHz、400千赫(KHz)、2.54吉赫(GHz)、或其组合。等离子体电源806及晶片偏压电源816可适当地设定大小以供应一定范围的功率,从而实现期望的处理性能。举例而言,在一实施方案中,等离子体电源806可以供应范围为50至5000瓦的功率,而晶片偏压电源816可以供应范围为20至2000伏(V)的偏压。另外,TCP线圈810和/或电极820可以包括两个或更多个子线圈或子电极。此外,TCP线圈810是用于在等离子体处理约束室804内提供RF功率的一种电极类型。所述子线圈或子电极可以由单一电源供电、或由多个电源供电。
如图8所显示的,等离子体处理室系统800还包括气体源/气体供应机构830。在该实施方案中,气体源830包括:含卤素气体源832,其中含卤素气体包括元素,该元素选自于由硅、锗、碳、钛、和锡所组成的群组;活化气体源;含IV族元素气体源836;以及介电蚀刻气体源838。气体源830通过例如气体注入器840的气体入口而与等离子体处理约束室804流体连接。气体注入器840可以位于等离子体处理约束室804中的任何有利位置,并且可以作为用于注入气体的任何形式。然而,优选地可以将气体入口配置成产生“可调整的”气体注入轮廓。可调整的气体注入轮廓允许将往等离子体处理约束室804中多个区域的相应气体流量进行独立调整。更优选地,气体注入器840被安装至介电感应功率窗812。可以将气体注入器840安装在介电感应功率窗812上、安装在该介电感应功率窗812中、或形成该介电感应功率窗812的一部分。处理气体及副产物经由压强控制阀842和泵844而从等离子体处理约束室804移除。压强控制阀842和泵844还用于维持等离子体处理约束室804中的特定压强。压强控制阀842可以在处理期间维持着小于1托的压强。边缘环860环绕着衬底866放置。气体源/气体供应机构830由控制器824控制。由Lam Research Corp.(Fremont,CA)制造的Kiyo可以用于实践实施方案。
图9是示出了计算机系统900的高级框图,该计算机系统900适于实现在实施方案中使用的图8的控制器824。计算机系统可以具有多种物理形式,其范围从集成电路、印刷电路板以及小型手持设备到巨型超级计算机。计算机系统900包括一个或者多个处理器902,并且进一步可以包括电子显示装置904(用于显示图形、文本以及其他数据)、主存储器906(例如,随机存储器(RAM))、存储设备908(例如,硬盘驱动器)、可移动存储设备910(例如,光盘驱动器)、用户接口设备912(例如,键盘、触摸屏、小键盘、鼠标或者其他定位装置等)以及通信接口914(例如,无线网络接口)。通信接口914使得软件和数据能通过链路在计算机系统900和外部设备之间传输。系统还可以包括通信基础设施916(例如,通信总线、交叉杆(cross-over bar)、或者网络),前述的设备/模块被连接于该通信基础设施916。
经由通信接口914传输的信息可以是能通过通信链路由通信接口914接收的信号的形式,所述信号是例如电子的、电磁的、光的、或者其他的信号,所述通信链路携带信号并且可以是使用电线或电缆、光纤、电话线、蜂窝电话链路、射频链路、和/或其他通信通道实现的通信链路。利用这样的通信接口,可预期,一个或者多个处理器902可以从网络接收信息或者可以在实施上述方法步骤的过程中向网络输出信息。另外,方法实施方案可以仅在处理器上执行或者可以与远程处理器结合在诸如因特网之类的网络上执行,所述远程处理器共享部分处理。
术语“非暂态计算机可读介质”一般用来指诸如主存储器、辅助存储器、移动存储装置、以及存储设备(例如硬盘、闪存、硬盘驱动存储器、CD-ROM以及其他形式的永久性存储器)之类的介质,并且不得被解释为涵盖诸如载波或者信号之类的暂时性的标的物。计算机代码的示例包括机器代码,例如由编译器产生的机器代码,以及包括使用解释器由计算机执行的更高级代码的文件。计算机可读介质还可以是通过体现在载波中的计算机数据信号传输并且表示为可由处理器执行的指令序列的计算机代码。
这样的计算机可读介质可以包含计算机可读代码,用于将置于室内的衬底暴露于含卤素气体,并且点燃等离子体以将衬底的表面改性,从而形成经改性表面(步骤206),其中该含卤素气体包括元素,该元素选自于由硅、锗、碳、钛、和锡所组成的群组。所述计算机可读介质还可以包括计算机可读代码,以将该衬底暴露于经活化的活化气体,从而对该经改性表面的至少一部分进行蚀刻(步骤208)。
在另一实施方案中,可以使用离子束处理室。在实施方案中,将具有存储器堆叠件的衬底放置在该离子束处理室中。通过使含卤素气体流动至该离子束处理室中来提供离子束蚀刻,其中该含卤素气体包括元素,该元素选自于由硅、锗、碳、钛、和锡所组成的群组。将含卤素气体转化成等离子体以对该衬底的该存储器堆叠件的表面进行改性,并形成该衬底的该堆叠件的经改性的表面。在将含卤素气体流动至该离子束处理室中并且形成等离子体时,提供经活化的活化气体以蚀刻该经改性的表面。在该实施方案中,该活化气体为氩。通过使氩气体形成被导向衬底处的离子束来活化所述氩气体。
在另一实施方案中,可以使用电容耦合式等离子体(CCP)室。在实施方案中,将具有存储器堆叠件的衬底放置在该CCP室中。通过使含卤素气体流动至该CCP室中来提供蚀刻,其中该含卤素气体包括元素,该元素选自于由硅、锗、碳、钛、和锡所组成的群组。将含卤素气体转化成等离子体以对该衬底的该存储器堆叠件的表面进行改性,并且形成该衬底的该堆叠件的经改性的表面。在使含卤素气体流动至该CCP室中并且形成等离子体时,提供经活化的活化气体以蚀刻该经改性的表面。在该实施方案中,该活化气体为氩。氩气体通过CCP能量而进行活化,并且施加偏压以将经活化的氩气体朝该衬底加速。
结论
虽然上述实施方案已经为了清楚理解的目的在一些细节方面进行了描述,但显而易见的是,某些变化和修改方案可在所附权利要求的范围内实施。应当注意的是,具有实施本发明的实施方案的过程、系统和装置的许多替代方式。因此,本发明的实施方案应被视为是说明性的而不是限制性的,并且所述实施方案并不限于本文所给出的细节。

Claims (24)

1.一种方法,其包括:
a)将置于室内的衬底暴露于含卤素气体,并且点燃等离子体以使所述衬底的表面改性,从而形成经改性的表面,其中所述含卤素气体包括选自于由硅、锗、碳、钛和锡所组成的群组的元素;以及
b)将所述衬底暴露于经活化的活化气体,以对所述经改性的表面的至少一部分进行蚀刻。
2.根据权利要求1所述的方法,其中所述含卤素气体为四氯化硅。
3.根据权利要求1所述的方法,其中所述含卤素气体选自于由氯硅烷、溴硅烷、碘硅烷、氢氯硅烷和氟硅烷所组成的群组。
4.根据权利要求1所述的方法,其中将所述衬底暴露于所述经活化的活化气体包括施加偏压。
5.根据权利要求4所述的方法,其中所述偏压被脉冲化。
6.根据权利要求4所述的方法,其中所述偏压使用介于100V与2000V之间的电压而施加。
7.根据权利要求1所述的方法,其中将所述衬底暴露于所述含卤素气体并且点燃所述等离子体包括:施加偏压。
8.根据权利要求1所述的方法,其中将所述表面暴露于所述经活化的活化气体产生挥发性的金属硅复合物。
9.根据权利要求1所述的方法,其还包括在步骤a)之前,在所述衬底上沉积含IV族元素材料的层。
10.根据权利要求1所述的方法,其中将所述衬底暴露于所述经活化的活化气体以对所述经改性的表面的至少一部分进行蚀刻造成对所述经改性表面的离子轰击,其中所述离子轰击形成挥发性副产物,其中所述挥发性副产物是复合物,所述复合物包括卤素、来自所述衬底的元素、以及硅、锗、碳、钛和锡中的至少一者。
11.根据权利要求1所述的方法,其中步骤a与步骤b同时执行。
12.根据权利要求1所述的方法,其中在原子层蚀刻处理的多个循环中,在步骤b之前执行步骤a。
13.根据权利要求1所述的方法,其中所述经活化的活化气体选自于由从活化气体所形成的等离子体和从所述活化气体所形成的离子束所组成的群组。
14.根据权利要求1所述的方法,其中步骤b包括在步骤a的期间提供离子束。
15.根据权利要求14所述的方法,其中所述离子束是氩离子束。
16.根据权利要求1所述的方法,其中所述衬底包括金属材料的一或更多层,其中所述一或更多层中的至少一层的所述金属材料选自于由IV族过渡金属、V族过渡金属、VI族过渡金属以及其组合所组成的群组。
17.根据权利要求16所述的方法,其中所述衬底的所述一或更多层中的至少一层的材料包括介电材料。
18.根据权利要求1所述的方法,其还包括通过反应性离子蚀刻以对所述衬底上的一或更多层进行蚀刻。
19.根据权利要求1所述的方法,其中所述衬底包括一或更多金属层、自由层、介电阻挡层、和固定层,其中所述介电阻挡层介于所述自由层与所述固定层之间,而所述自由层、所述介电阻挡层和所述固定层介于所述一或更多金属层之间。
20.一种用于在衬底中蚀刻特征的装置,其包括:
等离子体室;
输送系统,其用于将气体输送至所述等离子体室中;
一或更多个气体源,其用于将所述气体提供至所述输送系统;
至少一个RF产生器;以及
控制器,其与所述气体源以及所述至少一个RF产生器能控制地连接,其中所述控制器包括一或更多处理器,其被配置成:
控制所述输送系统,以使含卤素气体从所述一或更多个气体源流动至所述等离子体室中,其中所述含卤素气体包括选自于由硅、锗、碳、钛、和锡所组成的群组的元素;
控制所述RF产生器,以从所述一或更多个气体源点燃等离子体以将所述衬底的表面进行改性,并且形成经改性的表面;
控制所述输送系统,以将活化气体从所述一或更多个气体源流动至所述等离子体室中;以及
控制所述输送系统,以使活化气体从所述一或更多个气体源流动至所述等离子体室中,以蚀刻所述经改性的表面的至少一部分。
21.根据权利要求20所述的装置,其中所述一或更多个气体源包括四氯化硅气体源。
22.根据权利要求20所述的装置,其中所述一或更多个气体源包括氯硅烷气体源、溴硅烷气体源、碘硅烷气体源、氢氯硅烷气体源和氟硅烷气体源中的至少一者。
23.根据权利要求20所述的装置,其还包括偏压电源,其中所述控制器被进一步配置成控制所述偏压电源,以将所述活化气体进行活化。
24.根据权利要求20所述的装置,其还包括偏压电源,其中所述控制器被进一步配置成控制所述偏压电源,以在点燃所述等离子体时施加偏压。
CN202080055747.0A 2019-07-31 2020-07-20 用于mram图案化的化学蚀刻非挥发性材料 Pending CN114207858A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201962881131P 2019-07-31 2019-07-31
US62/881,131 2019-07-31
PCT/US2020/042812 WO2021021486A1 (en) 2019-07-31 2020-07-20 Chemical etch nonvolatile materials for mram patterning

Publications (1)

Publication Number Publication Date
CN114207858A true CN114207858A (zh) 2022-03-18

Family

ID=74228775

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202080055747.0A Pending CN114207858A (zh) 2019-07-31 2020-07-20 用于mram图案化的化学蚀刻非挥发性材料

Country Status (6)

Country Link
US (1) US20220376174A1 (zh)
JP (1) JP2022542089A (zh)
KR (1) KR20220037509A (zh)
CN (1) CN114207858A (zh)
TW (1) TW202121708A (zh)
WO (1) WO2021021486A1 (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7030858B2 (ja) * 2020-01-06 2022-03-07 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US20230189657A1 (en) * 2021-12-09 2023-06-15 Taiwan Semiconductor Manufacturing Co., Ltd. Magnetic Tunnel Junction Device and Method of Forming the Same

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7678712B2 (en) * 2005-03-22 2010-03-16 Honeywell International, Inc. Vapor phase treatment of dielectric materials
US20150111374A1 (en) * 2013-10-18 2015-04-23 International Business Machines Corporation Surface treatment in a dep-etch-dep process
US9806252B2 (en) * 2015-04-20 2017-10-31 Lam Research Corporation Dry plasma etch method to pattern MRAM stack
US9972504B2 (en) * 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US10096487B2 (en) * 2015-08-19 2018-10-09 Lam Research Corporation Atomic layer etching of tungsten and other metals

Also Published As

Publication number Publication date
TW202121708A (zh) 2021-06-01
WO2021021486A1 (en) 2021-02-04
US20220376174A1 (en) 2022-11-24
JP2022542089A (ja) 2022-09-29
KR20220037509A (ko) 2022-03-24

Similar Documents

Publication Publication Date Title
KR102648476B1 (ko) Mram 스택을 패터닝하기 위한 건식 플라즈마 에칭 방법
US10515816B2 (en) Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch)
JP6964964B2 (ja) チェンバ内で基板を処理する方法およびその装置
KR20160143553A (ko) GaN 및 다른 III-V 족 재료들의 원자층 에칭
US11832533B2 (en) Conformal damage-free encapsulation of chalcogenide materials
CN112970096A (zh) 蚀刻室中的方向性沉积
US20220376174A1 (en) Chemical etch nonvolatile materials for mram patterning
TW202125640A (zh) 原子層蝕刻及離子束蝕刻圖案化
CN110379918B (zh) 图案化mram堆栈的干法等离子体蚀刻法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination