TWI724998B - 用於無空隙鈷間隙塡充的方法 - Google Patents

用於無空隙鈷間隙塡充的方法 Download PDF

Info

Publication number
TWI724998B
TWI724998B TW104127083A TW104127083A TWI724998B TW I724998 B TWI724998 B TW I724998B TW 104127083 A TW104127083 A TW 104127083A TW 104127083 A TW104127083 A TW 104127083A TW I724998 B TWI724998 B TW I724998B
Authority
TW
Taiwan
Prior art keywords
cobalt
processing
feature
substrate
features
Prior art date
Application number
TW104127083A
Other languages
English (en)
Other versions
TW201619435A (zh
Inventor
羅正錫
于天驊
米歇爾 丹納克
珊傑 戈皮納思
Original Assignee
美商蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商蘭姆研究公司 filed Critical 美商蘭姆研究公司
Publication of TW201619435A publication Critical patent/TW201619435A/zh
Application granted granted Critical
Publication of TWI724998B publication Critical patent/TWI724998B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/16Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal carbonyl compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76856After-treatment introducing at least one additional element into the layer by treatment in plasmas or gaseous environments, e.g. nitriding a refractory metal liner
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

本文中提供在具有高深寬比的特徵部中沉積無間隙鈷的方法。該方法涉及: (a)以鈷部分地填充特徵部;(b) 將特徵部暴露到由含氮氣體所產生的電漿,以選擇性地抑制位於或接近特徵部之頂部之表面上的鈷成核作用;重複執行操作(a)與(b);以及透過化學氣相沉積方法將主體鈷沉積到特徵部中。方法亦涉及將包括阻障層的特徵部暴露到由含氮氣體所產生的電漿,以選擇性地抑制鈷成核作用。該等方法係使用含鈷前驅物而在小於約400℃的溫度下執行。

Description

用於無空隙鈷間隙填充的方法
本發明係關於用於無空隙鈷間隙填充的方法。
半導體裝置的產製通常涉及含鎢材料的沉積處理,該含鎢材料係用於水平內連線、鄰近金屬層之介層窗、以及第一金屬層與矽基板上之裝置之間的接觸窗。在習知的沉積處理中,鎢之沉積係在化學氣相沉積(PVD)處理中藉由將基板暴露到含鎢前驅物以及還原劑來達成。
然而,隨著裝置微型化,特徵部變得更狹窄,且深寬比急遽地提高,產生沉積鎢的各種挑戰。因此,吾人尋求替代的材料來填充特徵部。
本文中提供沉積鈷薄膜的方法。一態樣涉及透過下列步驟處理半導體基板的方法: (a)提供具有一或更多特徵部的基板,而各個特徵部包含一特徵部開口;(b) 選擇性地抑制位於或靠近該等特徵部開口之該一或更多特徵部之表面上的鈷成核作用,俾使在各個特徵部中存在差別抑制輪廓;以及(c)按照該差別抑制輪廓,將鈷沉積在該等特徵部中。該方法在小於約400℃的溫度下執行。
在一些實施例中,該方法更包括:在選擇性地抑制鈷成核作用之步驟前,在該等特徵部上沉積阻障層。在一些實施例中,該阻障層選自由鈦氮化物、鎢氮化物、與鈷氮化物所組成之群組。
在一些實施例中,該方法更包括:在選擇性地抑制鈷成核作用之步驟前,將基板暴露到含鈷前驅物,以部分地填充該一或更多的特徵部。
在一些實施例中,該含鈷前驅物選自由下列各者所組成之群組:二羰基環戊二烯基鈷(dicarbonyl cyclopentadienyl cobalt)、羰基鈷(cobalt carbonyl)、各種 脒基鈷(cobalt amidinate )前驅物、二氮雜二烯基鈷 錯合物(cobalt diazadienyl complexes)、脒基/胍基鈷(cobalt amidinate/guanidinate)前驅物、以及其中之組合。
在許多實施例中,特徵部的表面(位於或靠近該等特徵部開口)包括特徵部之側壁的頂部約10%到約50%。在一些實施例中,選擇性地抑制鈷成核作用之步驟更包括將基板暴露到由含氮氣體所產生的電漿。將基板暴露到由含氮氣體所產生的電漿達比約300秒更短的時間。在一些實施例中,該電漿為方向性。
在許多實施例中,該等特徵部包括內凹(re-entrant)輪廓。在一些實施例中,在(c)中沉積鈷的步驟,包括將基板暴露到含鈷前驅物以及還原劑。在一些實施例中,步驟(c)係透過化學氣相沉積來執行。特徵部開口可小於約3x nm ,且特徵部包括至少約1.5:1的深寬比。
另一態樣涉及透過下列步驟來處理半導體基板的方法: (a)提供具有一或更多特徵部的基板,而各個特徵部包含一特徵部開口;(b)將該基板暴露到含鈷前驅物,以部分地填充該等特徵部;(c)將該基板暴露到含氮氣體以及電漿;(d)選擇性地重複執行步驟(b)與(c);以及(e) 按照差別抑制輪廓,將鈷沉積在該等特徵部中。在一些實施例中,該方法在小於約400℃的溫度下執行。
在一些實施例中,含鈷前驅物選自由下列各者所組成之群組:二羰基環戊二烯基鈷、羰基鈷、各種 脒基鈷 前驅物、二氮雜二烯基鈷 錯合物、脒基/胍基鈷 前驅物、以及其中之組合。
在許多實施例中,位於或靠近該等特徵部開口的特徵部的表面,包括特徵部之側壁的頂部約10%到約50%處。
另一態樣涉及用以處理半導體基板的設備,該設備包括一或更多的處理腔室;進入該等處理腔室中的一或更多的進氣口,以及相關的流量控制硬體;一方向性電漿產生器;以及一控制器,其具有至少一處理器與一記憶體,使得該至少一處理器與一記憶體彼此通訊地連接,該至少一處理器係至少操作地連接到該流量控制硬體與該電漿產生器,且該記憶體儲存用於下列動作的電腦可執行指令: (a)將含鈷前驅物以及還原劑引入該腔室中;(b)引燃電漿,並將含氮氣體引入該腔室中;(c)選擇性地重複執行動作(a)與(b);以及(d)將含鈷前驅物以及還原劑引入該腔室中,而形成鈷薄膜,其中該基板包括具有內凹輪廓的特徵部。在一些實施例中,該電漿功率介於約50W與約5000W之間。
該等與其他的態樣將參考圖式於下方進一步描述。
為提供本實施例之全面性的認識,將於下列描述中闡述多個具體細節。所揭露之實施例毋須一些或全部的該等具體細節即可實施。在其他例子中,為了避免不必要地混淆所揭露之實施例,熟知的處理作業將不再贅述。雖然結合具體的實施例來描述所揭露之實施例,但應知悉吾人不欲限制所揭露之實施例。
在半導體的產製中,使用傳導性材料來填充特徵部。例如,在前端線(FEOL)的應用中,鎢常用於填入特徵部中以形成接觸窗。
然而,隨著裝置微型化,深寬比提高,且使用更小的特徵部來形成接觸窗。在許多應用中,使用替代的傳導性材料(例如鈷)來形成接觸窗或填充特徵部。
在半導體的產製中,習知的鈷沉積方法包括電鍍。在鈷的電鍍過程中,首先在特徵部中沉積些許厚度的金屬,使得該金屬具充足傳導性,而使電流能夠在電鍍過程中允許在該特徵部中的金屬成長。此種晶種層具有某一最大電阻值。濕式為主的鈷特徵部填充方法(例如電鍍)一般涉及在與晶種層之沉積不同的工具中填充特徵部,而提高了處理的複雜度與製造成本。
亦可透過原子層沉積(ALD)或化學氣相沉積(CVD)來沉積鈷。在習知的ALD或CVD中,由於特徵部的輪廓,可能在特徵部中形成間隙。圖1A為基板102中的此種特徵部100的範例的示意圖。該基板可為矽晶圓(例如200-mm晶圓、300-mm晶圓、450-mm晶圓),其包括具有一或更多材料(例如介電、導體、半導體材料)層沉積在上方的晶圓。特徵部之特徵可為狹窄及/或內凹的開口、特徵部中的收縮部(constrictions)、以及高深寬比之一或更多者。在一些實施例中,特徵部100具有至少約 2:1、至少約10:1、至少約15:1、至少約20:1 或更高的深寬比。如圖所示之特徵部孔洞之靠近開口的尺寸(例如開口直徑、或線寬度、或開口寬度、或臨界尺寸)可小於約19nm,亦視為小於 1x nm的特徵部寬度。特徵部100可稱為未填充的特徵部或簡稱為特徵部。該特徵部及任何特徵部之部份特徵係為延伸穿過特徵部長度之軸,而垂直定向之特徵部具有垂直軸且水平定向之特徵部具有水平軸。
如圖所示,基板102包括特徵部100,其具有之特徵部開口110較該特徵部之底部的寬度狹窄。圖1A中的特徵部100包括內凹輪廓。內凹輪廓為一種從特徵部的底部、封閉底端、或內側往該特徵部開口的方向變窄的輪廓。根據許多實施例,該輪廓可逐漸地變窄及/或具有在特徵部開口處的凸出結構。圖1A所示之內凹圖案可能因圖案化期間的非對稱性蝕刻動力學及/或凸出結構而產生,而該凸出結構係由於先前之薄膜沉積(例如擴散阻障層的沉積)時的非保形的薄膜階梯覆蓋率所致。在許多範例中,在位於特徵部之頂部的開口處,特徵部具有較該特徵部之底部的寬度更小的寬度。圖1B為保形地沉積於特徵部100中的阻障層104的示意圖。在沉積阻障層104之後,習知的鈷沉積方法(使用ALD或CVD)在特徵部100中形成保形的鈷薄膜。圖1C為透過習知的ALD或CVD方法以鈷106填充特徵部100的示意圖。因為保形的階梯覆蓋率,鈷薄膜106在內凹圖案的入口處具有一夾止區,而導致在特徵部100的圖案中形成間隙160。鈷的夾止區甚至在鈷填充間隙之前出現在內凹圖案中,由此封閉特徵部100並形成間隙160。間隙係為在特徵部中未填充的區域。例如,當受沉積材料於特徵部內形成一夾止點,將特徵部內未填充的空間密封,而阻止反應物之進入及沉積時,會形成間隙。在特徵部中形成間隙常導致裝置的失效。
本文中提供在小與大兩種特徵部(包括具有高深寬比的特徵部)中沉積無空隙鈷的方法,該等方法係透過鈍化處理來執行。本文中描述的方法可應用於經狹窄地圖案化的基板,而其具有因先前的薄膜沉積或蝕刻而產生的內凹結構及/或凸出結構。該等方法涉及使用一處理,來選擇性地抑制在鈷表面某些部分上的鈷薄膜成長,進而針對經處理與未處理的鈷表面,基於鈷薄膜成長動力學而形塑鈷的薄膜成長輪廓。經處理的表面表現出較長的成核延遲,這允許以由下而上的填充方式而從未處理的表面成長。此種方法可應用於前端線 (FEOL) 製程中的金屬閘極/接觸窗填充的製程,以及後端線 (BEOL) 製程中的介層窗/線路填充。整體而言,本文所述之沉積技術產生無間隙的受鈷填充的特徵部。
方法包括使用單一處理或使用複數循環的處理來填充特徵部。單一處理的方法可用於較小的特徵部,例如具有寬度小於約3x nm 或小於約1x nm(例如小於約19 nm)之級別的開口的特徵部。在許多實施例中,單一處理的方法用於在深寬比至少約1.5:1或更高、或約10:1或更高的特徵部中沉積鈷。複數處理的方法可用於較大的特徵部,例如具有大於約2x nm、 3x nm、或 4x nm之級別的開口者。在複數處理中,各循環將特徵部的留下的開口縮小,直到最後的循環。參考該等圖式來揭露實施例。
圖2為用於根據所揭露之實施例來執行方法之操作的流程圖。在操作202中,提供具有待填充之特徵部的基板。該基板可為矽基板或另一適當半導體基板。該基板包括多於一個特徵部,且包括特徵部的圖案,其中有具有各種尺寸的特徵部、或具有一種尺寸的特徵部。為此描述之緣故,圖2以填充單一個特徵部作為脈絡來討論,但應知悉亦可相同地填充具有各種尺寸的特徵部。特徵部可為前文所述者中之任一者。
圖3A提供基板302中的小特徵部300的範例。在小特徵部300的頂部300b,小特徵部300包括狹窄的特徵部開口310,其比小特徵部300的底部300a狹窄。
在操作204a中,選擇性地,在基板上沉積阻障層薄膜或阻障層。在許多實施例中,保形地沉積該阻障層薄膜。該阻障層薄膜可為氮化鎢 (WN)、氮化鈦(TiN)之薄層、或任何其他適當的阻障層薄膜。 阻障層薄膜的其他範例包括鎢 (W)、鈦(Ti)、鉭(Ta)、氮化鉭(TaN)、氮化鈷 (CoN)、以及釕(Ru)。在許多實施例中,透過ALD 或CVD方法來沉積該阻障層薄膜。可選擇該阻障層薄膜,使得該阻障層薄膜的材料與鈷形成強的、高附著能的接面。圖 3B 提供被沉積在小特徵部 300中的阻障層薄膜304的範例。如圖所示,阻障層薄膜304 係保形地沉積在特徵部中,而沿著特徵部 300的輪廓在基板302上形成薄的阻障層。
在一些實施例中,在204a之後,選擇性地,在操作204b中使用氮基電漿對該阻障層薄膜進行處理。執行該處理以抑制在後續操作中的鈷成核。例如,執行該處理以抑制在操作212中的主體鈷填充。在一些實施例中,該處理抑制在操作206中的主體鈷填充。處理的製程條件將參考操作208進一步描述如下。在一些實施例中,若特徵部開口在約1x nm或更小之級別上,則對該阻障層薄膜進行處理。
在操作204c中,判斷留下的特徵部開口是否夠小。在特徵部開口小於約1nm的實施例中,於操作204a中沉積阻障層薄膜且於操作204b中處理表面之後,該特徵部開口夠小。判斷特徵部開口是否夠小的考量點將參考操作210描述如下。總的來說,若特徵部開口很小,以至於在特徵部中沉積鈷晶種層將使該特徵部開口變窄並且將妨礙或降低主體鈷的填充步驟,則該特徵部開口即夠小,而可在操作212中將主體鈷直接地沉積到該特徵部中,如下文進一步描述。若特徵部開口不夠小,則執行操作206。
在操作206中,在低溫下以鈷部分地填充該特徵部。在許多實施例中,此部分填充物稱為「晶種層」。藉由將基板暴露到含鈷前驅物以及還原劑來部分地填充該特徵部。可加熱地執行操作206,使得在沉積鈷的同時,沒有電漿產生或引入到腔室中。
透過ALD 或 CVD方法將鈷保形地沉積在特徵部中。在CVD 方法中,將基板暴露到適當的含鈷前驅物以及還原劑以在該基板上形成鈷層。 溫度可介於約 70°C與約400°C之間、或介於約80°C與約200°C之間。在一些實施例中,溫度可介於約70°C與約 200°C之間、或介於約 100°C 與約120°C之間。腔室壓力可介於約 0.1 Torr到約10 Torr之間、或介於約1 Torr與約5 Torr之間。在一些實施例中,腔室壓力可介於約0.5 Torr 與約 10 Torr之間、或介於約1 Torr 與約 3 Torr之 間。在許多實施例中,使用載氣(例如氬 (Ar)、氮 (N2 )、或一氧化碳 (CO)),將適當的含鈷前驅物及/或還原劑引入腔室中。在一些實施例中,使用氬氣作為載氣,將含鈷前驅物引入腔室中。該載氣的流速介於約10 sccm 與約300 sccm之間、或介於約10 sccm與約 50 sccm之間。  在一些實施例中,該載氣的流速介於約10 sccm與約 100 sccm之間、或介於約10 sccm與約30 sccm之間。該還原劑可為用於將所選擇的含鈷前驅物還原的任何適當反應物。在許多實施例中,該還原劑為氫氣(H2 )。可在介於約100 sccm與約5000 sccm之間、或介於約2000 sccm 與約5000 sccm之間的流速下引入該還原劑。應知悉的係,在本發明中提供的範圍以外的流速係可使用的,視特定的沉積腔室而定。
在ALD方法中,以循環的方式來暴露基板,使得先將基板暴露到適當的含鈷前驅物之脈衝;然後將該前驅物排空;而後將該基板暴露到還原劑之脈衝;然後將該還原劑排空;並且重複執行此種循環直到所需厚度的鈷形成在該基板上。針對使用 ALD的沉積方法, 溫度介於約70°C與約400°C之間,或介於約100°C與約 200°C之間。在一些實施例中,溫度介於約70°C 與約200°C之間,或介於約100°C與約120°C之間。 壓力介於約1 Torr 與約 20 Torr之間,或介於約8 Torr與約15 Torr之間。 在許多實施例中,使用載氣(例如 Ar、 N2 、或 CO)將含鈷前驅物及/或還原劑引入腔室中。 在一些實施例中,使用Ar 作為載氣將含鈷前驅物引入腔室中。載氣的流速介於約 10 sccm 與約300 sccm之間,或介於約 10 sccm與約100 sccm之間。在一些實施例中,載氣的流速介於約50 sccm 與約 100 sccm之間。該還原劑可為用於將所選擇的含鈷前驅物還原的任何的適當反應物。 在許多實施例中, 該還原劑為H2 。可在介於約100 sccm與約5000 sccm之間或介於約2000 sccm 與約5000 sccm之間的流速下引入該還原劑。結束操作 206的時間取決於特徵部的尺寸。
例示性含鈷前驅物包括二羰基環戊二烯基鈷(I)、羰基鈷、各種脒基鈷前驅物、二氮雜二烯基(diazadienyl) 鈷 錯合物、脒基/胍基鈷 前驅物、以及其中之組合。適當的含鈷前驅物包括帶有有機基團及/或羰基基團的鈷中心,其中該有機基團包括烷基(例如甲基、乙基、丙基、丁基、戊基、己基、庚基、及辛基),其可為直鏈型或支鏈型的碳氫鏈。在一些實施例中, 此有機金屬化合物具有被取代或未被取代的烯丙基配基。在一些實施例中,烯丙基配基未被取代。
在一些實施例中,有機金屬鈷化合物具有如下結構:
Figure 02_image001
其中R1 為 C1 -C8 -烷基,R2 is C1 -C8 烷基, x為0、 1 或 2;且y為0或 1。
在一些實施例中,R1 為 C2 -C8 -烷基,R2 獨立地為C2 -C8 烷基。
如本文中使用的用語「烷基」,係指涉長度為1到8個原子的飽和碳氫鏈(例如甲基、乙基、丙基、丁基、戊基、己基、庚基、及辛基)。用語「烷基」包括直鏈型和支鏈型兩種碳氫鏈。因此,用語丙基包括正丙基以及異丙基兩種 。用語丁基包括正丁基、二級丁基、異丁基、以及三級丁基。
在一些實施例中,x為0且y為1。按此實施例的有機金屬化合物的一範例顯示如下:
Figure 02_image003
某些所述之化合物可得自美國麻薩諸塞州黑弗里爾( Haverhill, MA)的SAFC-Hitech ,與可得自美國加州佛雷蒙(Fremont, CA)的蘭姆研究公司(Lam Research, Inc.)的相應的沉積設備聯合使用。
在一些實施例中,含鈷前驅物包括在低溫下(例如在低於約100℃的溫度下)具有高蒸氣壓力的金屬-有機前驅物。在約30℃的環境下,例示性蒸氣壓力約0.5 Torr。此種前驅物具有高度選擇性,使得之後的鈷沉積對於未處理的表面具選擇性(相對於經處理的表面),將詳細描述如下。 例如,含鈷前驅物具有成長的選擇性,而在經處理的表面上產生足夠的成核延遲(和未處理的表面相比),如下所述。例如,成核延遲大於約 200 秒。
圖3C 為鈷晶種層306部分地填充在小特徵部300中、及阻障層304上的示意圖。注意鈷晶種層 306亦為保形的。部分地填充小特徵部 300 ,使得當開口(位於該特徵部的頂部)夠小而可允許在後續處理時使若干電漿進入,並且夠小而可避免電漿進入特徵部中的多數的側壁時,停止填充特徵部 300。留下的位於該特徵部之頂部的開口係預備以允許在後續處理時使電漿進入,但將電漿暴露限制到所沉積之鈷的側壁的頂部約10-30%,如306b(將於下文詳細討論)所指示。
若特徵部為大的特徵部(例如具有大於約3x nm、或大於約1x nm的初始開口的特徵部),則在預設的時間停止部分填充之步驟,即使在特徵部上留下的開口並未小至足以避免電漿進入特徵部中的多數的側壁。
圖4A為基板402中的大特徵部400的範例之示意圖。大特徵部400具有特徵部開口410,其在大於約2x nm或約3x nm之級別。大特徵部400包括選擇性的阻障層薄膜404,其根據操作204(前文參考圖2所述)而保形地沉積。按照操作206(參考圖2),在基板上保形地沉積鈷晶種層406,且停止沉積鈷晶種層的預設時間取決於特徵部的尺寸。
回到圖2,在操作208中,藉由暴露到由含氮氣體所產生之電漿來對位於並靠近特徵部的頂部的鈷的表面進行處理。此處理可稱為「抑制因子控制暴露處理」(ICE)、或「電漿為主的表面氮化處理」。在許多實施例中,電漿為方向性電漿,使得來自電漿的活性物種,以垂直於該基板之平面的方向,直接地接觸該特徵部的表面。在一些實施例,經處理的表面形成鈷氮化物 (CoNx ),其在後續處理中啟動長的成核延遲 。經處理的阻障層薄膜(例如在操作204b中受處理而得者) ,所具有之成核延遲,係較在經處理的鈷薄膜上的成核延遲更短的。接下來的敘述係描述經處理的鈷的表面,但應知悉的係,可使用下述之任一處理方法與條件來處理阻障層薄膜並形成經處理的阻障層薄膜,例如在操作204b中。
因為許多的特徵部所具有之開口比該特徵部之底部的寬度更狹窄,所以電漿主要處理特徵部之頂部的表面、以及特徵部之側壁的頂部約10%到50%處。在一些實施例,電漿處理特徵部之側壁的頂部約10%到30%處。在涉及小特徵部的許多實施例中,因為狹小的開口,所以側壁的底部約50%到90%處維持未處理的狀態。在一些實施例,側壁的底部約70%到90%處維持未處理的狀態。在一些實施例,當活性物種衝擊特徵部開口時,氮離子碰撞在開口的周圍,而在靠近特徵部之頂部留下小梯度的經處理的鈷表面。因為經處理的表面表現出較長的成核延遲,所以在經處理的表面上,鈷成核受到選擇性抑制,使得沿著特徵部的一軸上存在因處理所形成的差別抑制輪廓。選擇性抑制(亦可稱為選擇性鈍化、差別抑制、或差別鈍化)涉及抑制在特徵部之一部份上的後續鈷成核,但不抑制在特徵部其餘部份上之成核 (或較小程度地抑制成核)。例如,在一些實施例中,在位於或靠近特徵部開口處(例如特徵部之側壁的頂部約10%到約50%處或約10%到約30%處、以及特徵部之頂部的表面) ,特徵部係被選擇性地抑制,但特徵部中之側壁的底部約70%到90%處、或約50%到90%處中的成核則不受抑制。
圖3D為由電漿所產生的氮離子308被引導到受沉積之鈷306的表面的示意圖。注意位於特徵部之頂部306b的鈷的表面被暴露到氮離子308,然而位於特徵部之底部306a的鈷的表面未被暴露到氮離子。這係由於在特徵部300之頂部的較狹窄的開口,使得氮離子撞擊側壁的頂部約10-50%處,而形成經處理的鈷表面306b的差別抑制輪廓。注意側壁上的箭頭係描繪以呈現氮離子碰撞在特徵部開口的周圍。
在使用鈷填充大特徵部的實施例中,氮離子進入整個特徵部,並且處理特徵部之若干底部、以及側壁的底部部分。然而,因為特徵部的形狀,所以與特徵部之側壁的底部相比,特徵部之側壁的頂部部分受到更多的氮離子處理。
圖4B為大特徵部400的示意圖,其中鈷晶種層406暴露到電漿與氮離子408 。應注意的係相較於圖3D,由於較寬的開口,所以特徵部之底部400a 亦暴露到電漿。然而,因為底部400a的表面距離電漿308較遠,所以該表面不會暴露到和在特徵部之頂部與側壁的表面一樣多的電漿308。
操作208中執行的處理可在小於約400°C、或介於約 50°C 與約200°C之間、或介於約70°C與約200°C之間的溫度下執行。  腔室壓力可小於約 10 Torr、或介於約0.1 Torr 與約 5 Torr之間、或介於約0.5 Torr 與約 2 Torr之間。所使用之電漿可為具有偏壓值的方向性原位電漿。典型地但非必要地,此種電漿為電容耦合式。替代地,其可為電感耦合式。 用於單一處理站的電漿功率介於約50W 與約5000W之間、或100W 與約 1000W、或介於約100W 與約500W之間、或介於約200W 與約 500W之間。引入腔室中用以產生電漿的氣體可為含氮氣體或含氮氣體之混合物。在許多實施例中,所使用的氣體為氮。該氣體流速介於約50 sccm 與約 5000 sccm之間、或介於約100 sccm 與約2000 sccm之間、或介於約 100 sccm 與約500 sccm之間、或介於約 200 sccm與約 500 sccm之間。操作208可執行一段小於約 300秒、或介於約 1 與約300秒、或介於約5 秒與約60 秒的暴露時間。在一些實施例中,暴露時間介於約 2秒與約 60秒、或介於約5 秒與約20秒。
回到圖2,在操作210中,若判斷留下的特徵部開口不夠小(例如,若被填充的特徵部為特徵部開口大於3x nm的大特徵部),使得特徵部之底部在操作208中被暴露到電漿;或特徵部開口未狹窄至足以使得僅鈷之側壁的頂部10-50%處在操作208中被處理,則如操作207所示般重複執行操作206與208,直到足夠厚度的部分填充鈷晶種層在基板中形成。
圖4C-4H為重複執行操作206與208而將鈷沉積在大特徵部的隨時間的示意圖。在圖4C中,將鈷引入腔室中以繼續形成鈷。然而,應注意因為很多的鈷表面受到處理,所以雖然有較多的鈷形成在特徵部之底部406a,但在406b上亦有鈷成長。在406b上經受的成核延遲不會比在406a的少量至無(little to no)成核延遲長很多。在圖4D中,進一步沉積鈷,使得在特徵部之頂部406b周圍的鈷之成長速率,與在特徵部之底部406a的鈷相同或相似。圖4C與4D描繪當再次執行操作206,在低溫下以鈷部分地填充特徵部時,鈷隨著時間的成長。可使用參考操作206所描述的任一上述條件與方法,以執行此重複的操作。
一段預設時間之後,在操作208中,藉由將基板暴露到由含氮氣體所產生的電漿來對特徵部之頂部的表面406b進行處理。圖4E呈現後續處理的示意圖。注意此時氮離子418主要地處理特徵部之頂部的表面406b,非常類似於圖3D中的說明。這確保使用含鈷前驅物的後續沉積為選擇性,且在經處理的表面上的成核延遲將比在未處理的表面上的成核延遲更長。
回到圖2,在操作210中,評估留下的開口(例如在位於特徵部之頂部的受沉積的鈷薄膜之間留下的間隔)。若留下的開口夠小,使得特徵部之底部在操作208中不會被暴露到電漿,則按照操作212般將鈷的主體填充物沉積到特徵部中。在一些實施例中,這係使用CVD鈷間隙填充方法來執行。基板被暴露到含鈷前驅物以及還原劑,以在受沉積的鈷的表面上形成鈷。
CVD鈷間隙填充期間的溫度介於約 70°C 與約400°C之間、或介於約70°C與約200°C之間。在一些實施例中,溫度介於約70°C 與約200°C之間、或介於約 70°C 與約 90°C之間。  腔室壓力介於約0.1 Torr與約10 Torr之間、或介於約 1 Torr 與約5 Torr之間。在一些實施例中,壓力介於約0.5 Torr 與約 10 Torr之間 、或介於約1 Torr 與約 3 Torr之間。可使用載氣  (例如Ar、 N2 、或 CO)來引入含鈷前驅物及/或還原劑,該載氣之流速介於約 10 sccm 與約300 sccm 之間、或介於約  10 sccm 與約50 sccm之間。  在一些實施例中,流速介於約10 sccm與約100 sccm之間、或介於約10 sccm 與約 30 sccm之間。在一些實施例中,使用Ar作為載氣來引入含鈷前驅物及/或還原劑。該還原劑可為H2 ,其流動在介於約100 sccm與約 5000 sccm之間、或介於約  2000 sccm 與約5000 sccm之間的流速下。操作210的處理時間與厚度取決於特徵部的尺寸。
圖3E-3G顯示在小特徵部中隨時間的間隙填充過程的示意圖。在圖3E中,在操作210中判斷留下的開口夠小,而不重複執行操作206與208。按照操作212接續地沉積主體鈷填充物。如圖所示,在圖3E中,因為頂部表面306b受到處理,所以這些表面被鈍化而使得當鈷沉積在基板上時,這些表面具有較長的成核延遲(與未經處理的底部的表面相比)。經處理之表面的成核延遲大於約200秒。針對未處理之表面以及經處理之表面的成核時間取決於處理的暴露時間。可透過將在未處理之表面與經處理之表面上的鈷隨時間的厚度進行比較,來評估成核的時間。例如,針對約20秒的處理暴露時間而言,經處理的表面可具有成核延遲,使得超過約300秒的情況下,未處理的表面所具有之被沉積的鈷,較經處理的表面多約17到18nm。無間隙的鈷間隙填充,係由經處理與未處理的鈷層之間的高選擇性的薄膜成長而促成。因此,圖3E中的鈷的成長主要從底部往上,使得被沉積在特徵部之底部306a的鈷會持續地成長。在圖3F中,注意在306a處的鈷填充大部分的特徵部之期間, 在306b處的表面已經成核。在圖3G中,完成鈷的填充,使得該特徵部被無間隙的鈷306填滿。
在圖4F中,在如前述重複執行操作206與208之後,在操作210中判斷留下的開口夠小。執行操作212,使得CVD鈷間隙填充發生。如前述,在一些實施例中(例如若特徵部開口非常小),則在操作204b中處理阻障層薄膜之後,於操作212中沉積主體銅鈷層,而不沉積鈷晶種層。然而,如圖4F所示,在沉積主體鈷時,頂部表面406b具有較長的成核延遲,也因此表現出較少的成長,但主體鈷406的成長出現在鈷的底部表面406a。在圖4G中,鈷在特徵部之頂部406b成核,但鈷的底表面406a已填充大部分的特徵部。圖4H提供無間隙鈷406的示意圖,其係使用處理與沉積的循環而被沉積在大特徵部中。 設備
本文中提供的沉積技術可實施於電漿增強化學氣相沉積(PECVD)腔室、或保形薄膜沉積(CFD)腔室、或在一些實施例中實施於ALD腔室中。此種腔室可具有許多形式,且可為設備的一部分,該設備包括一或更多的腔室或反應器(有時包括複數個站),而該等腔室或反應器各容納一或更多的基板或晶圓,且配置以執行許多基板處理作業。一或更多的腔室可將基板維持在所界定的一位置(或複數位置)(在該位置中有或無運動,例如旋轉、震動、或其他攪動)。在一實施例中,進行薄膜沉積處理的基板在處理期間可在一腔室中被從一處理站傳送到另一處理站。在其他實施例中,該基板可在一設備中被從一腔室傳送到另一腔室,以執行不同的操作,例如蝕刻操作或微影操作。針對任一沉積步驟,整個薄膜沉積處理可完全地發生在單一個處理站中或發生於總薄膜厚度的任何比例。在處理時,各個基板被支座、基板卡盤、及/或其他基板固持設備固持在位置上。針對需要加熱基板的某些操作,該設備可包括加熱器,例如加熱板。
圖5提供設置用以執行本文中揭露的方法的各種反應器元件的簡易方塊圖。如圖所示,反應器500包括處理腔室524,其包圍反應器的其他元件,並且用於容納由電容性放電型系統(包括與接地的加熱器組件520一起作用的噴淋頭514)所產生的電漿。高頻(HF) 射頻 (RF) 產生器504 以及低頻(LF) RF 產生器502結合到匹配網路 506 以及噴淋頭514。  由匹配網路 506供應的功率與頻率足以從供應到處理腔室524中的處理氣體產生電漿。例如,匹配網路506提供100W到1000W的功率。在一般的處理中, HFRF 的部份大致介於約 1 MHz 到100 MHz, 例如13.56 MHz。在有 LF 部分的操作中,該LF的部分小於約1 MHz,例如100 kHz。
在反應器中,支座518可固持基板516。支座518包括卡盤、叉件、或升降銷(未顯示)以在沉積作用及/或電漿處理反應期間與之間固持並傳送基板。該卡盤可為靜電卡盤、機械卡盤、或可用於產業及/或用於研究用途的其他類型的卡盤。
可透過進氣口512來引入各種處理氣體。複數來源的氣體管路510與集流腔508連接。該等氣體可被預先混合或不預先混合。可使用適當的閥與質流控制機制來確保在製程的沉積與電漿處理階段期間輸送正確的處理氣體。在化學前驅物(或複數化學前驅物) 係以液態輸送的例子中,可使用液態流量控制機制。在集流腔的輸送過程中,此種液體在到達沉積腔室之前,可被蒸發並且與處理氣體混合,而該集流腔被加熱到高於以液態輸送的化學前驅物之蒸發點。
處理氣體(例如含鈷前驅物或含氮氣體)透過排氣口522離開腔室524。可使用真空泵浦540(例如一或二階機械乾式泵浦及/或渦輪分子泵浦),藉由利用封閉迴路控制流量限制裝置(例如節流閥或鐘擺閥),將處理氣體抽到處理腔室524之外,並維持處理腔室524中的適當低壓。
如前述,本文討論的沉積技術可在多站或單一站的工具中實施。圖6為此種工具之範例的示意圖。在具體實施例中,可使用具有4站沉積架構的300 mm的 Lam Vector™工具或具有6站沉積架構的 200 mm的 Sequel™工具。在一些實施例中,使用用於處理450mm基板的工具。在許多實施例中,在每一次沉積處理及/或沉積後電漿處理之後,指引基板,或可在蝕刻步驟(若蝕刻腔室或站亦為相同的工具中的一部分)之後指引基板,或在指引基板之前,在單一站中執行複數個沉積與處理。
在一些實施例中,提供配置以執行本文所述之技術的設備。適當的設備包括用於執行許多製程操作的硬體、以及系統控制器530,其包括用以根據所揭露的實施例來控制製程操作的指令。系統控制器530一般包括一或更多的記憶體裝置及一或更多的處理器,該等記憶體裝置與處理器與許多製程控制裝備(例如閥、RF產生器、基板搬運系統等)交流地連接,且配置以執行該等指令,使得該設備執行根據所揭露之實施例的技術(例如提供在圖2之沉積步驟中的技術)。含有用於根據本發明來控制製程操作之指令的機械可讀媒介,可與系統控制器530結合。系統控制器530可與許多硬體裝置(例如質流控制器、閥、RF產生器、真空泵浦等)交流地連接,以促進與本文所述之沉積操作相關的許多製程參數的控制。
在一些實施例中,系統控制器530可控制反應器500的所有活動。系統控制器530可執行系統控制軟體,而該系統控制軟體儲存在大量儲存裝置中、載入記憶體裝置中、並在處理器上執行。該系統控制軟體包括用於控制下列各項之指令:氣流的時程、基板的移動、RF產生器的啟動等,以及用於控制下列各項的指令:氣體的混合、腔室及/或站的壓力、腔室及/或站的溫度、基板的溫度、目標功率位準、RF功率位準、基板支座、卡盤、及/或基座的位置、以及由反應器500所執行的特定製程的其他參數。例如,該軟體包括用於控制下列各項的指令或程式碼:含鈷前驅物的流速、還原劑的流速、含氮氣體的流速、針對各個前述流體化學品的暴露時間。可以任何適當的方式來配置該系統控制軟體。例如,可寫入各種處理工具元件子程式或控制目標,以控制對於實現各種處理工具之製程必要之處理工具元件的操作。該系統控制軟體可以任何適當的電腦可讀程式語言來編碼。
系統控制器530一般包括配置以執行指令的一或更多的記憶體裝置以及一或更多的處理器,使得該設備可根據本發明來執行技術。含有用於根據所揭露之實施例來控制製程操作之指令的機器可讀媒介,可與系統控制器530結合。
如前所述,一或更多的處理站可含括在多站的處理工具中。圖6顯示具有入站的負載閘602與出站的負載閘604(其中之一或兩者包含遠端電漿來源)的多站的處理工具600的實施例之示意圖。處於大氣壓力下的機器臂606配置以將基板從卡匣(經由箱體608裝載)、通過大氣埠610、而移動到入站的負載閘602中。透過機器臂606將基板放置在入站的負載閘602中的支座612上、將大氣埠610關閉、並且將負載閘抽空(pump down)。若入站的負載閘602包含遠端電漿來源,則基板在被引入處理腔室614之前,可在負載閘中暴露到遠端電漿的處理。再者,基板亦可同樣在入站的負載閘602中被加熱,(例如)以將水分及吸附的氣體移除。接下來,開啟通往處理腔室614的腔室傳送埠616,且另一機器臂(未顯示)將基板放進反應器的第一站(呈現於反應器中)的支座上以進行處理。雖然圖6中描繪的實施例包括負載閘,但已知在一些實施例中,可設置基板進入處理站中的直接通道。
在圖6所呈現的實施例中,描繪的處理腔室 614 包含4個處理站(編號從1到4)。各站具有加熱的支座 (顯示在站1的618)、以及氣體管路入口。若干個站包括與參考圖5描述於前文者相似的元件。已知在一些實施例中,各處理站可具有不同或複數用途。例如,在一些實施例中,處理站可在ALD 與CVD 處理模式之間進行切換。另外地或替代地,在一些實施例中,處理腔室614 包括ALD 與 CVD處理站的一或更多的匹配對。在一些實施例中,可在一站(例如站1)中使用 ALD 或CVD ,加熱地(例如無電漿) 以鈷部分地填充特徵部。然後將該基板傳送到相同腔室614中的第二站(例如站2)(或傳送到在不同腔室中的站),基板在該處暴露到含氮氣體以及電漿,之後接續暴露到含鈷前驅物以及還原劑,以藉由CVD來沉積主體鈷。 在一些實施例中,在含氮氣體流入腔室中的同時,還原劑可與電漿之暴露交替出現。可僅將含氮氣體及/或還原劑引入重要的基板所在的站(例如站2)中,或引入到整個腔室614中。
在許多實施例中,未將基板傳送到第二站。反而,基板留在與熱沉積時相同的站(例如站1),但將該站配備以在熱沉積之後亦可引入還原劑、含氮氣體、及電漿到該站中。
在一些實施例中,在基板經過鈷的加熱沉積之後,將基板傳送到不同的腔室中,而該腔室亦包括許多的站。雖然描繪的處理腔室614包含4站,但應知悉根據本發明的處理腔室可具有任何適當的數量的站。例如,在一些實施例,處理腔室具有5或更多的站,而在一些實施例中,處理腔室具有3或更少的站。
圖6描繪用以在處理腔室614中傳送晶圓的晶圓搬運系統609的實施例。在一些實施例,晶圓搬運系統609在各種處理站之間、及/或在處理站與負載閘之間傳送晶圓。已知可使用任何適當的晶圓搬運系統。非限制性的範例包括晶圓旋轉料架與晶圓搬運機器臂。圖6亦描繪系統控制器650的實施例,其用以控制處理工具600的製程條件與硬體狀態。系統控制器650包括一或更多的記憶體裝置656、一或更多的大量儲存裝置654、以及一或更多的處理器652。處理器652包括CPU或電腦、類比及/或數位的輸入/輸出連接件、步進馬達控制器板等。
在一些實施例中,控制器650控制處理工具600的所有作業。控制器650可執行系統控制軟體658,而系統控制軟體658儲存在大量儲存裝置654中、載入記憶體裝置656中、並在處理器652上執行。替代地,控制邏輯可被硬編碼在控制器650中。為此緣故可使用特殊應用積體電路、可程式化邏輯裝置(例如場可程式化閘陣列、或FPGAs)、以及其他。在下列討論中,無論使用的係「軟體」或「程式碼」,可使用功能性相當的硬編碼邏輯來取代。系統控制軟體658包括用於控制下列各項的指令:時程、氣體的混合、不完全飽和的氣流的量、腔室及/或站的壓力、腔室及/或站的溫度、晶圓的溫度、目標功率位準、RF功率位準、基板支座、卡盤、及/或基座的位置、以及由處理工具600所執行的特定製程的其他參數。可以任何適當的方式來配置系統控制軟體658。例如,可寫入各種處理工具元件子程式或控制目標,以控制對於實現各種處理工具之製程必要之處理工具元件的操作。系統控制軟體658可以任何適當的電腦可讀程式語言來編碼。
在一些實施例中,系統控制軟體658包括用以控制上述許多參數的輸入/輸出控制(IOC)定序指令。在一些實施例中,可使用其他電腦軟體及/或程式,其儲存在與控制器650連接的大量儲存裝置654及/或記憶體裝置656中。為此目的之程式或程式區段的範例包括基板定位程式、處理氣體控制程式、壓力控制程式、加熱器控制程式、以及電漿控制程式。
基板定位程式可包括用於處理工具元件之程式碼,該處理工具元件用於將基板裝載至支座618上、並控制基板與處理工具600的其他部分之間的間隔距離。
處理氣體控制程式可包括用於控制下列各項之程式碼:氣體組成(例如本文所述之含鈷前驅物、還原劑、以及含氮氣體)及流速,以及選擇性地,為使處理站中的壓力安定,在沉積之前使氣體流進一或更多的處理站中。壓力控制程式可包括用於透過調節下列各項來控制處理站中的壓力的程式碼:例如處理站的排氣系統中的節流閥、流進處理站中的氣流等。
在一些實施例中,控制器650為系統的一部分,而系統為前述範例之一部分。此種系統包含半導體處理設備,其包括:處理工具(或複數處理工具)、如腔室614的腔室(或複數腔室)、用以處理的工作台(或複數工作台)、及/或特定處理元件(例如晶圓支座、氣流系統等)。這些系統可與電子設備結合,該電子設備係用以在處理半導體晶圓或基板之前、期間、與之後,控制系統的操作。該等電子設備可稱為「控制器」,其可控制系統(或複數系統)的各種元件或子部件。根據製程要求及/或系統的類型,可將控制器650編程式,以控制前述之任何處理,包括處理氣體的輸送、溫度設定(例如加熱及/或冷卻)、壓力設定、真空設定、功率設定、射頻(RF)產生器設定、RF匹配電路設定、頻率設定、流速設定、流體輸送設定、定位與操作設定、晶圓進出工具、以及與特定系統接合或連接之其他傳送工具及/或負載閘的傳送。
總的來說,可將控制器650定義為具有接收指令、發出指令、控制操作、允許清洗操作、允許端點量測等之各種積體電路、邏輯、記憶體、及/或軟體的電子設備。該積體電路可包括儲存程式指令的韌體形式的晶片、數位訊號處理器(DSPs)、定義為特殊應用積體電路(ASICs)的晶片、及/或執行程式指令(例如軟體)的一或更多的微處理器、或微控制器。程式指令可為以各種個別的設定(或程式檔案)之形式傳送到控制器650指令,其定義用以在半導體晶圓上、或針對半導體晶圓、或對於系統實現特定製程的操作性參數。在一些實施例中,該等操作性參數可為由製程工程師定義之配方的一部分,該配方係用以在一或更多的層、材料、金屬、氧化物、矽、二氧化矽、表面、電路、及/或晶圓的晶粒的製造期間,完成一或更多的處理步驟。例如,該等參數可包括含鈷前驅物氣體流量、還原劑氣體流量、載氣氣體流量、含氮氣體流量、電漿功率與頻率、支座溫度、站或腔室的壓力及/或溫度、以及其他。
在一些實施例中,控制器650可為電腦之一部分或連結至電腦,該電腦與系統整合、連結至系統、或透過網路連結至系統、或其中之組合。例如,控制器650可位於「雲端」、或為晶圓廠主電腦系統之全部或部分,其可允許晶圓處理的遠端存取。該電腦能夠遠端存取系統,以監控製程操作之目前進度、檢視先前製程操作之歷史、從大量製程操作檢視趨勢或效能度量指標,用以改變當前處理的參數、用以設定接續當前處理的處理步驟、或用以開啟新的處理。在一些範例中,遠端電腦(例如伺服器)可利用網路將製程配方提供到系統,該網路可包括區域網路或網際網路。該遠端電腦可包括使用者介面,允許參數及/或設定的輸入或程式化、而之後參數及/或設定從該遠端電腦傳遞到該系統。在一些範例中,控制器650接收資料形式的指令,其規定參數,用於在一或更多的操作中待執行的各個處理步驟。應知悉的係,該等參數可特定於待執行之製程的類型、及工具(控制器650係配置成與該工具介面接合或控制該工具)的類型。因此,如上所述,可將控制器650分散,例如透過組合一或更多的分散式控制器,該者以網路連結在一起,並針對相同的目的(例如本文中描述之處理與控制項)而運作。用於此種目的之分散式控制器650的範例為,一腔室上的一或更多的積體電路與一或更多的分離地放置(例如在平台層級、或為遠端電腦的一部分)的積體電路交流,該者結合以控制該腔室上的處理。
不限制地,例示性系統可包括電漿蝕刻腔室或模組、沉積腔室或模組、旋轉沖洗腔室或模組、金屬電鍍腔室或模組、清洗腔室或模組、斜面邊緣蝕刻腔室或模組、物理氣相沉積(PVD)腔室或模組、化學氣相沉積(CVD)腔室或模組、原子層沉積(ALD)腔室或模組、原子層蝕刻(ALE)腔室或模組、離子植入腔室或模組、徑跡(track)腔室或模組、以及使用於半導體晶圓之製造及/或加工或與其相關的任何其他半導體處理系統。
如上所載,根據欲使用工具執行的單數或複數的處理步驟,控制器650可與下列之一或更多者交流:其他工具電路或模組、其他工具組件、叢集工具(例如工具600)、其他工具介面、相鄰的工具、附近的工具、坐落在整個工廠的工具、主電腦、另一控制器650、或用於材料傳送的工具,其在半導體製程工廠中將晶圓之容器帶進或帶出工具位置、及/或負載埠。
加熱器控制程式可包括用於控制加熱裝置(用於加熱基板)的電流的程式碼。替代地,該加熱器控制程式可控制熱傳送氣體(例如氦氣)到基板的輸送。
電漿控制程式可包括用於根據本文中之實施例設定一或更多的處理站中的RF功率位準(施加到一或更多的處理站中的處理電極)的程式碼。
壓力控制程式可包括用於根據本文中之實施例維持反應腔室中的壓力的程式碼。
在一些實施例中,有與控制器650連接的一使用者介面。該使用者介面包括顯示器螢幕、設備及/或製程條件的圖形化軟體顯示器、以及使用者輸入裝置(例如指標裝置、鍵盤、觸控螢幕、麥克風等)。
在一些實施例中,由控制器650調節的參數涉及製程條件。非限制性的範例包括:處理氣體的組成與流速、溫度、壓力;電漿的狀態(例如RF偏壓功率位準)、壓力、溫度等。該等參數以一配方的形式提供給使用者,且可利用使用者介面進入。
用於偵測製程的訊號可透過控制器650的類比及/或數位輸入連接件從各種處理工具感測器來提供。用於控制製程的訊號可輸出在處理工具600的類比與數位輸出連接件上。可被偵測的處理工具感測器的範例,包括質流控制器、壓力感測器(例如壓力計)、以及溫差電偶等。連同來自該等感測器的資料,可使用經適當程式化的回饋與控制演算法一起維持製程條件。
控制器650提供程式指令,以執行前述沉積處理。該等程式指令可控制各種製程參數,例如DC功率位準、RF偏壓功率位準、壓力、溫度等。該等指令可控制該等參數以根據本文所述之許多實施例來操作薄膜疊層的原位沉積。
該控制器一般包括配置以執行指令的一或更多的記憶體裝置以及一或更多的處理器,使得該設備可根據本實施例執行方法。包含用於根據該等實施例來控制製程作業之指令的機器可讀媒介可與該控制器結合。
用於執行本文中揭露之方法的適當設備進一步討論並描述於美國專利申請案第13/084399號 (現為美國專利案第 8728956號),申請日為2011年4月11日,案名為 「PLASMA ACTIVATED CONFORMAL FILM DEPOSITION」; 以及第13/084305號,申請日為2011年4月11日,案名為 「SILICON NITRIDE FILMS AND METHODS」,各該案以全文加入本案中之參考資料。
本文所述之該設備/製程,可連同微影圖案化的工具或製程一起使用,例如用於半導體裝置、顯示器、LEDs、太陽能平板以及類似物的加工或製造。典型地但非必要地,此類工具/製程在共同的製造場所中被一起使用或操作。薄膜的微影圖案化典型上包括一些或全部下述之操作,各個操作藉由若干合理的工具而促成:(1)塗佈光阻劑於工件(即基板)上,使用旋塗或噴塗工具;(2)使光阻劑硬化,使用熱板或熔爐或UV硬化工具;(3)暴露該光阻劑到可見光或UV光或X光,使用如晶圓步進器的工具;(4)使該光阻劑顯影,以選擇性地移除光阻劑並藉此使之圖案化,使用如濕式清潔台的工具;(5) 將該光阻劑圖案轉移到下層的薄膜或工件,使用乾式或電漿輔助蝕刻工具;以及(6)移除該光阻劑,使用如RF或微波電漿光阻剝離器的工具。 實驗 實驗1
進行實驗以對經處理與未處理的鈷薄膜的成核延遲進行比較。在兩個基板上沉積全面性鈷晶種層。在一基板上,在80℃的溫度下使用氮氣與電漿對表面進行處理20秒。另一基板未處理。然後在鈷CVD主體沉積期間,將兩個基板暴露到含鈷前驅物以及氫。隨著時間量測形成於鈷晶種層上的鈷的量。
圖7為呈現當鈷隨著時間而沉積時的各種厚度。三角形作圖702代表針對未處理的鈷晶種層測得的厚度。注意三角形作圖顯示少量的成核延遲到無成核延遲的程度。圓形作圖704代表針對經處理的鈷晶種層測得的厚度。該成核延遲超過約200秒。注意在鈷成核之後,未處理與經處理的兩種基板之沉積速率相似(未處理的鈷為0.065 nm/s ,而經處理的鈷為0.066 nm/s)。此實驗結果暗示,將鈷薄膜暴露到氮與電漿會提高成核延遲,使特徵部透過位於或接近特徵部的頂部的成核延遲,而得以被鈷填滿,進而減少間隙。 實驗2
執行實驗以對於鈷薄膜上之處理暴露時間進行比較。執行三次實驗,各實驗在一全面性鈷薄膜層(根據前文中圖2的操作202之方法而沉積)上執行。各在 80°C下,將鈷暴露到氮與電漿5 秒、20秒、及 60秒。之後接續地將基板暴露到含鈷前驅物與氫氣,以透過CVD來沉積主體鈷層。對於在經處理的表面上的新沉積的鈷之厚度進行量測,並將量測結果繪製於圖8中。5秒處理之後所沉積的鈷之厚度以三角形作圖呈現;20秒處理以方形作圖呈現;而60秒處理以圓形作圖呈現。
如圖所示,經處理的薄膜在大約5秒時飽和,這表示該處理有效地產生經處理的表面(例如鈷氮化物表面),其可用於在短時間中減緩鈷薄膜的成核。 實驗3
執行實驗以對於使用本文所述之方法受鈷沉積的特徵部進行比較。帶有特徵部的各個基板具有內凹特徵部。一基板使用參考圖2描述於前文中的方法來沉積。第二基板受鈷沉積但未經處理-首先沉積鈷晶種層,之後接續鈷的CVD主體填充。所沉積之薄膜的SEM影像呈現於圖9A與9B。圖9A顯示未經處理而受沉積的特徵部。注意特徵部900包括沉積鈷906,但間隙960在兩個特徵部中形成。圖9B顯示經處理而受沉積的特徵部。特徵部980被鈷986填滿,且無間隙。 結論
雖然為了理解的明確性,已詳細地描述前述實施例,但很明顯的,可在申請專利範圍之內實施某些改變與修改。應注意有許多實施本實施例的方法、系統、與設備的替代方式。因此,本實施例應視為例是性而非限制性,且本實施例不限於本文中給定的細節。
100‧‧‧特徵部 102‧‧‧基板 104‧‧‧阻障層 106‧‧‧鈷/鈷薄膜 110‧‧‧開口 160‧‧‧間隙 202‧‧‧操作 204a‧‧‧操作 204b‧‧‧操作 204c‧‧‧操作 204d‧‧‧操作 206‧‧‧操作 207‧‧‧操作 208‧‧‧操作 210‧‧‧操作 212‧‧‧操作 300‧‧‧特徵部 300a‧‧‧頂部 300b‧‧‧底部 302‧‧‧基板 304‧‧‧阻障層薄膜 306‧‧‧鈷晶種層/鈷 306a‧‧‧底部 306b‧‧‧頂部/表面 308‧‧‧氮離子/電漿 310‧‧‧開口 400‧‧‧特徵部 400a‧‧‧底部 402‧‧‧基板 406‧‧‧鈷/鈷晶種層 406a‧‧‧底部表面 406b‧‧‧頂部/表面 408‧‧‧電漿與氮離子 410‧‧‧開口 418‧‧‧氮離子 500‧‧‧反應器 502‧‧‧LFRF產生器 504‧‧‧HFRF產生器 506‧‧‧匹配網路 508‧‧‧集流腔 510‧‧‧來源氣體管路 512‧‧‧進氣口 514‧‧‧噴淋頭 516‧‧‧基板 518‧‧‧支座 520‧‧‧加熱器組件 522‧‧‧排氣口 524‧‧‧腔室 530‧‧‧控制器 540‧‧‧泵浦 600‧‧‧工具 602‧‧‧負載閘 604‧‧‧負載閘 606‧‧‧機器臂 608‧‧‧箱體 609‧‧‧晶圓搬運系統 610‧‧‧大氣埠 612‧‧‧支座 614‧‧‧腔室 616‧‧‧腔室傳送埠 618‧‧‧支座 650‧‧‧控制器 652‧‧‧處理器 654‧‧‧大量儲存裝置 656‧‧‧記憶體裝置 658‧‧‧系統控制軟體 702‧‧‧作圖 704‧‧‧作圖 900‧‧‧特徵部 906‧‧‧鈷 960‧‧‧間隙 980‧‧‧特徵部 986‧‧‧鈷
圖1A-1C為鈷填充在特徵部中的示意圖。
圖2為描繪根據所揭露之實施例的方法的製程流程圖。
圖3A-3G為根據所揭露之實施例以鈷所填充之特徵部的示意圖。
圖4A-4H為根據所揭露之實施例以鈷所填充之特徵部的示意圖。
圖5為適合用於根據所揭露之實施例的沉積方法及/或電漿處理方法的處理腔室之示意圖。
圖6為適合用於根據所揭露之實施例的沉積方法的處理設備之示意圖。
圖7與8為描繪根據所揭露之實施例而沉積鈷所得之實驗結果的作圖。
圖9A為受鈷填充之特徵部的SEM影像。
圖9B為根據所揭露之實施例而受鈷填充之特徵部的SEM影像。
306a‧‧‧底部
308‧‧‧氮離子/電漿

Claims (19)

  1. 一種處理半導體基板的方法,該方法包括下列步驟:(a)提供具有一或更多特徵部的基板,而各個特徵部包含一特徵部開口;(b)選擇性地抑制位於或靠近該等特徵部開口之該一或更多特徵部之表面上的鈷成核作用,使得在各個特徵部中存在差別抑制輪廓,其中位於或靠近該等特徵部開口的該等特徵部的表面包括特徵部之側壁的頂部約10%到約50%;以及(c)按照該差別抑制輪廓,將鈷沉積在該等特徵部中,其中在(c)中沉積鈷的步驟,包括將基板暴露到含鈷前驅物以及還原劑,以及其中該含鈷前驅物包括帶有有機基團及/或羰基基團的鈷中心。
  2. 如申請專利範圍第1項之處理半導體基板的方法,更包括在選擇性地抑制鈷成核作用之步驟前,在該等特徵部上沉積阻障層。
  3. 如申請專利範圍第2項之處理半導體基板的方法,其中該阻障層係選自由下列所組成之群組中:鈦、鈦氮化物、鎢、鎢氮化物、鉭、鉭氮化物、釕、以及鈷氮化物。
  4. 如申請專利範圍第1項之處理半導體基板的方法,更包括在選擇性地抑制鈷成核作用之步驟前,將基板暴露到含鈷前驅物,以部分地填充該一或更多的特徵部。
  5. 如申請專利範圍第1項之處理半導體基板的方法,其中該方法在小於約400℃的溫度下執行。
  6. 如申請專利範圍第4項之處理半導體基板的方法,其中該含鈷前驅物選自由下列各者所組成之群組:二羰基環戊二烯基鈷(dicarbonyl cyclopentadienyl cobalt)、羰基鈷(cobalt carbonyl)、各種脒基鈷(cobalt amidinate)前驅物、二氮雜二烯基鈷錯合物(cobalt diazadienyl complexes)、脒基/胍基鈷(cobalt amidinate/guanidinate)前驅物、以及其中之組合。
  7. 如申請專利範圍第1項之處理半導體基板的方法,其中選擇性地抑制鈷成核作用之步驟包含將該基板暴露於一方向性電漿,該方向性電漿包含由含氮氣體所產生的活性物種,且該活性物種的方向係垂直於該基板的場區。
  8. 如申請專利範圍第1-7項中之任一項之處理半導體基板的方法,其中選擇性地抑制鈷成核作用之步驟更包括將基板暴露到由含氮氣體所產生的電漿。
  9. 如申請專利範圍第8項之處理半導體基板的方法,其中將基板暴露到由含氮氣體所產生的電漿達比約300秒更短的時間。
  10. 如申請專利範圍第8項之處理半導體基板的方法,其中該電漿為方向性。
  11. 如申請專利範圍第1-7項中之任一項之處理半導體基板的方法,其中該等特徵部包括內凹輪廓。
  12. 如申請專利範圍第1-7項中之任一項之處理半導體基板的方法,其中步驟(c)係透過化學氣相沉積來執行。
  13. 如申請專利範圍第1-7項中之任一項之處理半導體基板的方法,其中該等特徵部開口之至少一者小於約3x nm,且該特徵部的深寬比至少約1.5:1。
  14. 一種處理半導體基板的方法,該方法包括:(a)提供具有一或更多特徵部的基板,而各個特徵部包含一特徵部開口;(b)將該基板暴露到含鈷前驅物以及還原劑,以部分地填充該等特徵部; (c)將該基板暴露到含氮氣體以及電漿,以選擇性地抑制在位於或靠近該等特徵部開口的該一或更多特徵部之表面上的鈷成核作用,其中位於或靠近該等特徵部開口的該一或更多特徵部的表面包括特徵部之側壁的頂部約10%到約50%;(d)選擇性地重複執行步驟(b)與(c);以及(e)按照差別抑制輪廓,將鈷沉積在該等特徵部中,其中該含鈷前驅物包括帶有有機基團及/或羰基基團的鈷中心。
  15. 如申請專利範圍第14項之處理半導體基板的方法,其中該方法在小於約400℃的溫度下執行。
  16. 如申請專利範圍第14項之處理半導體基板的方法,其中該含鈷前驅物選自由下列各者所組成之群組:二羰基環戊二烯基鈷、羰基鈷、各種脒基鈷前驅物、二氮雜二烯基鈷錯合物、脒基/胍基鈷前驅物、以及其中之組合。
  17. 一種處理半導體基板的設備,該設備包括:一或更多的處理腔室,該一或更多的處理腔室之至少一者具有一基板支座;進入該等處理腔室中的一或更多的進氣口,以及相關的流量控制硬體;一方向性電漿產生器;以及一控制器,其具有至少一處理器與一記憶體,其中該至少一處理器與該記憶體彼此通訊地連接,該至少一處理器係至少操作地連接到該流量控制硬體與該方向性電漿產生器,並且該記憶體儲存用於下列動作的電腦可執行指令: 使基板容納在該一或更多的處理腔室之至少一者中,該基板具有一或更多特徵部,各個特徵部包含一特徵部開口;將含鈷前驅物以及還原劑引入該一或更多的處理腔室之至少一者中;在該含鈷前驅物以及該還原劑之引入動作停止後,產生一方向性電漿,並將含氮氣體引入該一或更多的處理腔室之至少一者中,藉以選擇性地抑制在位於或靠近該等特徵部開口的該一或更多特徵部之表面上的鈷成核作用,其中位於或靠近該等特徵部開口的該等特徵部的表面包括特徵部之側壁的頂部約10%到約50%;以及將該含鈷前驅物以及該還原劑引入該一或更多的處理腔室之至少一者中,而形成鈷薄膜,其中該含鈷前驅物包括帶有有機基團及/或羰基基團的鈷中心。
  18. 如申請專利範圍第17項之處理半導體基板的設備,其中電漿功率介於約50W與約5000W之間。
  19. 如申請專利範圍第17項之處理半導體基板的設備,其中該記憶體更儲存用於下列動作的電腦可執行指令:在電漿於該一或更多的處理腔室之該至少一者中產生之時,藉由將偏壓施加於該基板支座而引起由該電漿所產生之活性物種的方向性。
TW104127083A 2014-08-21 2015-08-20 用於無空隙鈷間隙塡充的方法 TWI724998B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/465,610 2014-08-21
US14/465,610 US9349637B2 (en) 2014-08-21 2014-08-21 Method for void-free cobalt gap fill

Publications (2)

Publication Number Publication Date
TW201619435A TW201619435A (zh) 2016-06-01
TWI724998B true TWI724998B (zh) 2021-04-21

Family

ID=55348899

Family Applications (1)

Application Number Title Priority Date Filing Date
TW104127083A TWI724998B (zh) 2014-08-21 2015-08-20 用於無空隙鈷間隙塡充的方法

Country Status (5)

Country Link
US (1) US9349637B2 (zh)
JP (1) JP2016046532A (zh)
KR (1) KR20160024351A (zh)
CN (1) CN105390438A (zh)
TW (1) TWI724998B (zh)

Families Citing this family (358)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US10256142B2 (en) 2009-08-04 2019-04-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10381266B2 (en) 2012-03-27 2019-08-13 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US11437269B2 (en) 2012-03-27 2022-09-06 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
KR102131581B1 (ko) 2012-03-27 2020-07-08 노벨러스 시스템즈, 인코포레이티드 텅스텐 피처 충진
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9637819B2 (en) * 2013-11-18 2017-05-02 Applied Materials, Inc. Methods for preferential growth of cobalt within substrate features
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9899234B2 (en) 2014-06-30 2018-02-20 Lam Research Corporation Liner and barrier applications for subtractive metal integration
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9748137B2 (en) 2014-08-21 2017-08-29 Lam Research Corporation Method for void-free cobalt gap fill
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US9601430B2 (en) 2014-10-02 2017-03-21 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9576811B2 (en) 2015-01-12 2017-02-21 Lam Research Corporation Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch)
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9806252B2 (en) 2015-04-20 2017-10-31 Lam Research Corporation Dry plasma etch method to pattern MRAM stack
US9870899B2 (en) 2015-04-24 2018-01-16 Lam Research Corporation Cobalt etch back
US10170320B2 (en) 2015-05-18 2019-01-01 Lam Research Corporation Feature fill with multi-stage nucleation inhibition
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
JP7125343B2 (ja) * 2015-10-23 2022-08-24 アプライド マテリアルズ インコーポレイテッド 表面毒化処理によるボトムアップ式間隙充填
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10229837B2 (en) 2016-02-04 2019-03-12 Lam Research Corporation Control of directionality in atomic layer etching
US10727073B2 (en) 2016-02-04 2020-07-28 Lam Research Corporation Atomic layer etching 3D structures: Si and SiGe and Ge smoothness on horizontal and vertical surfaces
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10438847B2 (en) 2016-05-13 2019-10-08 Lam Research Corporation Manganese barrier and adhesion layers for cobalt
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
TWI736631B (zh) 2016-06-06 2021-08-21 韋恩州立大學 二氮雜二烯錯合物與胺類的反應
TWI729457B (zh) 2016-06-14 2021-06-01 美商應用材料股份有限公司 金屬及含金屬化合物之氧化體積膨脹
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10573522B2 (en) * 2016-08-16 2020-02-25 Lam Research Corporation Method for preventing line bending during metal fill process
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
TWI719262B (zh) 2016-11-03 2021-02-21 美商應用材料股份有限公司 用於圖案化之薄膜的沉積與處理
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
CN109923662A (zh) 2016-11-08 2019-06-21 应用材料公司 用于图案化应用的自底向上的柱状体的几何控制
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR102654482B1 (ko) 2016-12-06 2024-04-03 삼성전자주식회사 반도체 장치 및 이의 제조 방법
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
CN110088875B (zh) * 2016-12-15 2023-09-01 应用材料公司 无成核的间隙填充ald工艺
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US10211099B2 (en) 2016-12-19 2019-02-19 Lam Research Corporation Chamber conditioning for remote plasma process
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
TWI758398B (zh) * 2017-01-24 2022-03-21 美商應用材料股份有限公司 用於在基板上形成鈷層的方法
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10176984B2 (en) 2017-02-14 2019-01-08 Lam Research Corporation Selective deposition of silicon oxide
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
WO2018156710A1 (en) 2017-02-22 2018-08-30 Applied Materials, Inc. Critical dimension control for self-aligned contact patterning
US10410861B2 (en) * 2017-03-07 2019-09-10 Tokyo Electron Limited Method of filling retrograde recessed features
US10242866B2 (en) 2017-03-08 2019-03-26 Lam Research Corporation Selective deposition of silicon nitride on silicon oxide using catalytic control
US10103056B2 (en) * 2017-03-08 2018-10-16 Lam Research Corporation Methods for wet metal seed deposition for bottom up gapfill of features
US9911595B1 (en) 2017-03-17 2018-03-06 Lam Research Corporation Selective growth of silicon nitride
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10453737B2 (en) * 2017-04-11 2019-10-22 Tokyo Electron Limited Method of filling retrograde recessed features with no voids
US10559461B2 (en) * 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
US10242879B2 (en) 2017-04-20 2019-03-26 Lam Research Corporation Methods and apparatus for forming smooth and conformal cobalt film by atomic layer deposition
US10832909B2 (en) 2017-04-24 2020-11-10 Lam Research Corporation Atomic layer etch, reactive precursors and energetic sources for patterning applications
WO2018200212A1 (en) * 2017-04-25 2018-11-01 Applied Materials, Inc. Selective deposition of tungsten for simplified process flow of tungsten oxide pillar formation
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10840186B2 (en) 2017-06-10 2020-11-17 Applied Materials, Inc. Methods of forming self-aligned vias and air gaps
CN116377420A (zh) 2017-06-23 2023-07-04 默克专利有限公司 用于选择性膜生长的原子层沉积方法
TW201906035A (zh) 2017-06-24 2019-02-01 美商微材料有限責任公司 生產完全自我對準的介層窗及觸點之方法
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10510602B2 (en) 2017-08-31 2019-12-17 Mirocmaterials LLC Methods of producing self-aligned vias
WO2019046402A1 (en) 2017-08-31 2019-03-07 Micromaterials Llc METHODS FOR GENERATING SELF-ALIGNED INTERCONNECTION HOLES
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
WO2019050735A1 (en) 2017-09-06 2019-03-14 Micromaterials Llc METHODS FOR PRODUCING SELF-ALIGNED INTERCONNECTION HOLES
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10304732B2 (en) * 2017-09-21 2019-05-28 Applied Materials, Inc. Methods and apparatus for filling substrate features with cobalt
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10460930B2 (en) 2017-11-22 2019-10-29 Lam Research Corporation Selective growth of SiO2 on dielectric surfaces in the presence of copper
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
JP2019106538A (ja) 2017-12-07 2019-06-27 マイクロマテリアルズ エルエルシー 制御可能な金属およびバリアライナー凹部のための方法
EP3499557A1 (en) 2017-12-15 2019-06-19 Micromaterials LLC Selectively etched self-aligned via processes
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11404275B2 (en) 2018-03-02 2022-08-02 Lam Research Corporation Selective deposition using hydrolysis
KR20190104902A (ko) 2018-03-02 2019-09-11 마이크로머티어리얼즈 엘엘씨 금속 산화물들을 제거하기 위한 방법들
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
CN112105758A (zh) 2018-05-04 2020-12-18 应用材料公司 金属膜沉积
TW202002219A (zh) 2018-05-08 2020-01-01 美商微材料有限責任公司 用來產生高的深寬比的完全自對準的通孔的選擇性移除過程
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
TW202011547A (zh) 2018-05-16 2020-03-16 美商微材料有限責任公司 用於產生完全自對準的通孔的方法
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TWI740046B (zh) 2018-05-28 2021-09-21 國立清華大學 原子層沉積方法及鈷金屬膜
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US10699953B2 (en) 2018-06-08 2020-06-30 Micromaterials Llc Method for creating a fully self-aligned via
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
WO2020118100A1 (en) 2018-12-05 2020-06-11 Lam Research Corporation Void free low stress fill
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2022523689A (ja) 2019-01-28 2022-04-26 ラム リサーチ コーポレーション 金属膜の蒸着
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11164938B2 (en) 2019-03-26 2021-11-02 Micromaterials Llc DRAM capacitor module
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
CN110265353B (zh) * 2019-06-28 2021-06-04 芯盟科技有限公司 沟槽隔离结构及其形成方法
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
CN110797300A (zh) * 2019-10-21 2020-02-14 长江存储科技有限责任公司 金属钨的填充方法
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
CN113013093A (zh) * 2021-02-24 2021-06-22 上海华力集成电路制造有限公司 一种连接孔的钨填充工艺方法
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140106083A1 (en) * 2012-10-11 2014-04-17 Applied Materials, Inc. Tungsten growth modulation by controlling surface composition
WO2014105477A1 (en) * 2012-12-28 2014-07-03 Intel Corporation Cobalt based interconnects and methods of fabrication thereof

Family Cites Families (73)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4714520A (en) 1985-07-25 1987-12-22 Advanced Micro Devices, Inc. Method for filling a trench in an integrated circuit structure without producing voids
US4746375A (en) 1987-05-08 1988-05-24 General Electric Company Activation of refractory metal surfaces for electroless plating
GB8907898D0 (en) 1989-04-07 1989-05-24 Inmos Ltd Semiconductor devices and fabrication thereof
EP1069610A2 (en) 1990-01-08 2001-01-17 Lsi Logic Corporation Refractory metal deposition process for low contact resistivity to silicon and corresponding apparatus
US5250467A (en) 1991-03-29 1993-10-05 Applied Materials, Inc. Method for forming low resistance and low defect density tungsten contacts to silicon semiconductor wafer
US5370739A (en) 1992-06-15 1994-12-06 Materials Research Corporation Rotating susceptor semiconductor wafer processing cluster tool module useful for tungsten CVD
KR0179677B1 (ko) 1993-12-28 1999-04-15 사토 후미오 반도체장치 및 그 제조방법
US5489552A (en) 1994-12-30 1996-02-06 At&T Corp. Multiple layer tungsten deposition process
US6001729A (en) 1995-01-10 1999-12-14 Kawasaki Steel Corporation Method of forming wiring structure for semiconductor device
US6017818A (en) 1996-01-22 2000-01-25 Texas Instruments Incorporated Process for fabricating conformal Ti-Si-N and Ti-B-N based barrier films with low defect density
US5963833A (en) 1996-07-03 1999-10-05 Micron Technology, Inc. Method for cleaning semiconductor wafers and
JP3869089B2 (ja) 1996-11-14 2007-01-17 株式会社日立製作所 半導体集積回路装置の製造方法
US6184158B1 (en) 1996-12-23 2001-02-06 Lam Research Corporation Inductively coupled plasma CVD
US5804249A (en) 1997-02-07 1998-09-08 Lsi Logic Corporation Multistep tungsten CVD process with amorphization step
US5866483A (en) 1997-04-04 1999-02-02 Applied Materials, Inc. Method for anisotropically etching tungsten using SF6, CHF3, and N2
US6861356B2 (en) 1997-11-05 2005-03-01 Tokyo Electron Limited Method of forming a barrier film and method of forming wiring structure and electrodes of semiconductor device having a barrier film
JPH11260759A (ja) 1998-03-12 1999-09-24 Fujitsu Ltd 半導体装置の製造方法
US6432830B1 (en) 1998-05-15 2002-08-13 Applied Materials, Inc. Semiconductor fabrication process
US6066366A (en) 1998-07-22 2000-05-23 Applied Materials, Inc. Method for depositing uniform tungsten layers by CVD
US6143082A (en) 1998-10-08 2000-11-07 Novellus Systems, Inc. Isolation of incompatible processes in a multi-station processing chamber
US6037263A (en) 1998-11-05 2000-03-14 Vanguard International Semiconductor Corporation Plasma enhanced CVD deposition of tungsten and tungsten compounds
US6355558B1 (en) 1999-06-10 2002-03-12 Texas Instruments Incorporated Metallization structure, and associated method, to improve crystallographic texture and cavity fill for CVD aluminum/PVD aluminum alloy films
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6924226B2 (en) 1999-10-02 2005-08-02 Uri Cohen Methods for making multiple seed layers for metallic interconnects
US6610151B1 (en) 1999-10-02 2003-08-26 Uri Cohen Seed layers for interconnects and methods and apparatus for their fabrication
US6902763B1 (en) 1999-10-15 2005-06-07 Asm International N.V. Method for depositing nanolaminate thin films on sensitive surfaces
JP5184731B2 (ja) 2000-05-18 2013-04-17 コーニング インコーポレイテッド 固体酸化物燃料電池用可撓性電極/電解質構造体、燃料電池装置、およびその作成方法
US7253076B1 (en) 2000-06-08 2007-08-07 Micron Technologies, Inc. Methods for forming and integrated circuit structures containing ruthenium and tungsten containing layers
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US6740591B1 (en) 2000-11-16 2004-05-25 Intel Corporation Slurry and method for chemical mechanical polishing of copper
US7141494B2 (en) 2001-05-22 2006-11-28 Novellus Systems, Inc. Method for reducing tungsten film roughness and improving step coverage
US7005372B2 (en) 2003-01-21 2006-02-28 Novellus Systems, Inc. Deposition of tungsten nitride
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
JP2003142484A (ja) 2001-10-31 2003-05-16 Mitsubishi Electric Corp 半導体装置の製造方法
US20030091870A1 (en) 2001-11-15 2003-05-15 Siddhartha Bhowmik Method of forming a liner for tungsten plugs
US6566250B1 (en) 2002-03-18 2003-05-20 Taiwant Semiconductor Manufacturing Co., Ltd Method for forming a self aligned capping layer
US6802944B2 (en) 2002-10-23 2004-10-12 Applied Materials, Inc. High density plasma CVD process for gapfill into high aspect ratio features
KR20050110613A (ko) 2002-12-23 2005-11-23 어플라이드 씬 필름스 인코포레이티드 알루미늄 포스페이트 코팅
US7205240B2 (en) 2003-06-04 2007-04-17 Applied Materials, Inc. HDP-CVD multistep gapfill process
JP4606006B2 (ja) 2003-09-11 2011-01-05 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US7879710B2 (en) * 2005-05-18 2011-02-01 Intermolecular, Inc. Substrate processing including a masking layer
US20060145190A1 (en) 2004-12-31 2006-07-06 Salzman David B Surface passivation for III-V compound semiconductors
JP4945937B2 (ja) 2005-07-01 2012-06-06 東京エレクトロン株式会社 タングステン膜の形成方法、成膜装置及び記憶媒体
US7517798B2 (en) 2005-09-01 2009-04-14 Micron Technology, Inc. Methods for forming through-wafer interconnects and structures resulting therefrom
US7524765B2 (en) 2005-11-02 2009-04-28 Intel Corporation Direct tailoring of the composition and density of ALD films
US8258057B2 (en) 2006-03-30 2012-09-04 Intel Corporation Copper-filled trench contact for transistor performance improvement
US7355254B2 (en) 2006-06-30 2008-04-08 Intel Corporation Pinning layer for low resistivity N-type source drain ohmic contacts
US10037905B2 (en) 2009-11-12 2018-07-31 Novellus Systems, Inc. UV and reducing treatment for K recovery and surface clean in semiconductor processing
US7655567B1 (en) 2007-07-24 2010-02-02 Novellus Systems, Inc. Methods for improving uniformity and resistivity of thin tungsten films
US7858510B1 (en) 2008-02-28 2010-12-28 Novellus Systems, Inc. Interfacial layers for electromigration resistance improvement in damascene interconnects
US20090269507A1 (en) 2008-04-29 2009-10-29 Sang-Ho Yu Selective cobalt deposition on copper surfaces
US7968460B2 (en) 2008-06-19 2011-06-28 Micron Technology, Inc. Semiconductor with through-substrate interconnect
US8551885B2 (en) 2008-08-29 2013-10-08 Novellus Systems, Inc. Method for reducing tungsten roughness and improving reflectivity
US8293647B2 (en) * 2008-11-24 2012-10-23 Applied Materials, Inc. Bottom up plating by organic surface passivation and differential plating retardation
US20100144140A1 (en) 2008-12-10 2010-06-10 Novellus Systems, Inc. Methods for depositing tungsten films having low resistivity for gapfill applications
US8124531B2 (en) 2009-08-04 2012-02-28 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US8119527B1 (en) 2009-08-04 2012-02-21 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US9034768B2 (en) 2010-07-09 2015-05-19 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US10256142B2 (en) 2009-08-04 2019-04-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US9548228B2 (en) 2009-08-04 2017-01-17 Lam Research Corporation Void free tungsten fill in different sized features
EP3150614B1 (en) 2009-08-07 2017-11-29 Sigma-Aldrich Co. LLC High molecular weight alkyl-allyl cobalttricarbonyl complexes and use thereof for preparing dielectric thin films
WO2011027834A1 (ja) * 2009-09-02 2011-03-10 株式会社アルバック Co膜の形成方法及びCu配線膜の形成方法
SG10201407519TA (en) 2009-11-19 2015-01-29 Univ Singapore Method For Producing T Cell Receptor-Like Monoclonal Antibodies And Uses Thereof
JP2011199021A (ja) * 2010-03-19 2011-10-06 Renesas Electronics Corp 半導体装置及びその製造方法
US8741394B2 (en) 2010-03-25 2014-06-03 Novellus Systems, Inc. In-situ deposition of film stacks
CN104272440B (zh) * 2012-03-27 2017-02-22 诺发系统公司 用核化抑制的钨特征填充
KR102131581B1 (ko) 2012-03-27 2020-07-08 노벨러스 시스템즈, 인코포레이티드 텅스텐 피처 충진
US9330939B2 (en) * 2012-03-28 2016-05-03 Applied Materials, Inc. Method of enabling seamless cobalt gap-fill
US8586473B1 (en) 2012-06-26 2013-11-19 GlobalFoundries, Inc. Methods for fabricating integrated circuits with ruthenium-lined copper
US8975184B2 (en) 2012-07-27 2015-03-10 Novellus Systems, Inc. Methods of improving tungsten contact resistance in small critical dimension features
US8853080B2 (en) 2012-09-09 2014-10-07 Novellus Systems, Inc. Method for depositing tungsten film with low roughness and low resistivity
US9082826B2 (en) 2013-05-24 2015-07-14 Lam Research Corporation Methods and apparatuses for void-free tungsten fill in three-dimensional semiconductor features
US9153482B2 (en) 2014-02-03 2015-10-06 Lam Research Corporation Methods and apparatus for selective deposition of cobalt in semiconductor processing

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140106083A1 (en) * 2012-10-11 2014-04-17 Applied Materials, Inc. Tungsten growth modulation by controlling surface composition
WO2014105477A1 (en) * 2012-12-28 2014-07-03 Intel Corporation Cobalt based interconnects and methods of fabrication thereof

Also Published As

Publication number Publication date
US20160056074A1 (en) 2016-02-25
JP2016046532A (ja) 2016-04-04
US9349637B2 (en) 2016-05-24
KR20160024351A (ko) 2016-03-04
TW201619435A (zh) 2016-06-01
CN105390438A (zh) 2016-03-09

Similar Documents

Publication Publication Date Title
TWI724998B (zh) 用於無空隙鈷間隙塡充的方法
US9748137B2 (en) Method for void-free cobalt gap fill
KR102510612B1 (ko) 향상된 텅스텐 증착 충진을 위한 텅스텐의 원자층 에칭
KR102599906B1 (ko) Ald에 의한 평활하고 컨포멀한 코발트 막을 형성하기 위한 방법들 및 장치
JP6971539B2 (ja) フッ素含有量が少ないタングステン膜
US9837312B1 (en) Atomic layer etching for enhanced bottom-up feature fill
TW202020203A (zh) 純金屬膜的沉積
TWI721022B (zh) 低介電常數含鋁蝕刻終止膜的形成方法
TW201833366A (zh) 鋁氧化物蝕刻停止層之沉積
KR20170028259A (ko) 고종횡비 유전체 에칭을 위한 마스크 축소층
US10438847B2 (en) Manganese barrier and adhesion layers for cobalt
JP2022513479A (ja) 3d nand構造上の原子層堆積
CN114051542A (zh) 半导体设备制造中在金属电沉积期间的晶种层的保护
TW201825706A (zh) 間隙特徵部中原子層沉積輪廓調整用添加物
TWI840731B (zh) 用於增強的鎢沉積填充之鎢的原子層蝕刻
KR20240052872A (ko) 반도체 프로세싱 동안 프로세스 가스 램핑