TW202141696A - 用於增強的鎢沉積填充之鎢的原子層蝕刻 - Google Patents
用於增強的鎢沉積填充之鎢的原子層蝕刻 Download PDFInfo
- Publication number
- TW202141696A TW202141696A TW110125242A TW110125242A TW202141696A TW 202141696 A TW202141696 A TW 202141696A TW 110125242 A TW110125242 A TW 110125242A TW 110125242 A TW110125242 A TW 110125242A TW 202141696 A TW202141696 A TW 202141696A
- Authority
- TW
- Taiwan
- Prior art keywords
- gas
- substrate
- metal film
- tungsten
- feature
- Prior art date
Links
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 title claims abstract description 126
- 229910052721 tungsten Inorganic materials 0.000 title claims abstract description 126
- 239000010937 tungsten Substances 0.000 title claims abstract description 126
- 238000005530 etching Methods 0.000 title claims description 71
- 230000008021 deposition Effects 0.000 title description 44
- 238000000034 method Methods 0.000 claims abstract description 91
- 230000008569 process Effects 0.000 claims abstract description 61
- 239000000758 substrate Substances 0.000 claims description 114
- 239000007789 gas Substances 0.000 claims description 96
- 229910052751 metal Inorganic materials 0.000 claims description 64
- 239000002184 metal Substances 0.000 claims description 64
- 238000012545 processing Methods 0.000 claims description 42
- 239000004065 semiconductor Substances 0.000 claims description 27
- 238000004519 manufacturing process Methods 0.000 claims description 23
- -1 tungsten nitride Chemical class 0.000 claims description 16
- 238000002407 reforming Methods 0.000 claims description 11
- BLRPTPMANUNPDV-UHFFFAOYSA-N Silane Chemical compound [SiH4] BLRPTPMANUNPDV-UHFFFAOYSA-N 0.000 claims description 5
- 150000004820 halides Chemical class 0.000 claims description 3
- 239000001257 hydrogen Substances 0.000 claims description 3
- 229910052739 hydrogen Inorganic materials 0.000 claims description 3
- 125000004435 hydrogen atom Chemical class [H]* 0.000 claims description 3
- PZPGRFITIJYNEJ-UHFFFAOYSA-N disilane Chemical compound [SiH3][SiH3] PZPGRFITIJYNEJ-UHFFFAOYSA-N 0.000 claims 4
- 239000012495 reaction gas Substances 0.000 claims 4
- 238000005121 nitriding Methods 0.000 claims 2
- 230000001590 oxidative effect Effects 0.000 claims 2
- NXHILIPIEUBEPD-UHFFFAOYSA-H tungsten hexafluoride Chemical compound F[W](F)(F)(F)(F)F NXHILIPIEUBEPD-UHFFFAOYSA-H 0.000 claims 2
- 239000012528 membrane Substances 0.000 claims 1
- 238000000151 deposition Methods 0.000 abstract description 59
- 230000004048 modification Effects 0.000 abstract description 23
- 238000012986 modification Methods 0.000 abstract description 23
- 239000010410 layer Substances 0.000 description 57
- 235000012431 wafers Nutrition 0.000 description 45
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 44
- 239000000460 chlorine Substances 0.000 description 36
- 239000000126 substance Substances 0.000 description 30
- ZAMOUSCENKQFHK-UHFFFAOYSA-N Chlorine atom Chemical compound [Cl] ZAMOUSCENKQFHK-UHFFFAOYSA-N 0.000 description 29
- 229910052786 argon Inorganic materials 0.000 description 29
- 229910052801 chlorine Inorganic materials 0.000 description 29
- 208000037998 chronic venous disease Diseases 0.000 description 28
- 239000002243 precursor Substances 0.000 description 27
- 238000004544 sputter deposition Methods 0.000 description 23
- 239000000463 material Substances 0.000 description 22
- 238000000231 atomic layer deposition Methods 0.000 description 18
- 230000004888 barrier function Effects 0.000 description 18
- 239000012159 carrier gas Substances 0.000 description 18
- 238000012546 transfer Methods 0.000 description 17
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 description 14
- 238000010849 ion bombardment Methods 0.000 description 14
- 239000000376 reactant Substances 0.000 description 10
- 229910052736 halogen Inorganic materials 0.000 description 9
- 150000002367 halogens Chemical class 0.000 description 9
- 238000009616 inductively coupled plasma Methods 0.000 description 9
- 230000006911 nucleation Effects 0.000 description 9
- 238000010899 nucleation Methods 0.000 description 9
- 238000010926 purge Methods 0.000 description 9
- 238000007664 blowing Methods 0.000 description 8
- 239000003638 chemical reducing agent Substances 0.000 description 8
- 238000005660 chlorination reaction Methods 0.000 description 8
- 238000001179 sorption measurement Methods 0.000 description 8
- PXHVJJICTQNCMI-UHFFFAOYSA-N Nickel Chemical compound [Ni] PXHVJJICTQNCMI-UHFFFAOYSA-N 0.000 description 7
- 239000010408 film Substances 0.000 description 7
- 150000002500 ions Chemical class 0.000 description 7
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 description 6
- 238000010586 diagram Methods 0.000 description 6
- 229910052731 fluorine Inorganic materials 0.000 description 6
- 239000011737 fluorine Substances 0.000 description 6
- 238000005240 physical vapour deposition Methods 0.000 description 6
- 238000006243 chemical reaction Methods 0.000 description 5
- 238000005229 chemical vapour deposition Methods 0.000 description 5
- 238000005516 engineering process Methods 0.000 description 5
- 229910052754 neon Inorganic materials 0.000 description 5
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 4
- 230000004913 activation Effects 0.000 description 4
- 238000005137 deposition process Methods 0.000 description 4
- 238000002474 experimental method Methods 0.000 description 4
- 229910052710 silicon Inorganic materials 0.000 description 4
- 239000010703 silicon Substances 0.000 description 4
- 239000010936 titanium Substances 0.000 description 4
- ZOKXTWBITQBERF-UHFFFAOYSA-N Molybdenum Chemical compound [Mo] ZOKXTWBITQBERF-UHFFFAOYSA-N 0.000 description 3
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 3
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 3
- 239000006227 byproduct Substances 0.000 description 3
- 230000008859 change Effects 0.000 description 3
- 239000010941 cobalt Substances 0.000 description 3
- 229910017052 cobalt Inorganic materials 0.000 description 3
- GUTLYIVDDKVIGB-UHFFFAOYSA-N cobalt atom Chemical compound [Co] GUTLYIVDDKVIGB-UHFFFAOYSA-N 0.000 description 3
- 239000012636 effector Substances 0.000 description 3
- 229910052734 helium Inorganic materials 0.000 description 3
- 238000011065 in-situ storage Methods 0.000 description 3
- 239000011261 inert gas Substances 0.000 description 3
- 229910052743 krypton Inorganic materials 0.000 description 3
- DNNSSWSSYDEUBZ-UHFFFAOYSA-N krypton atom Chemical compound [Kr] DNNSSWSSYDEUBZ-UHFFFAOYSA-N 0.000 description 3
- 229910052750 molybdenum Inorganic materials 0.000 description 3
- 239000011733 molybdenum Substances 0.000 description 3
- GKAOGPIIYCISHV-UHFFFAOYSA-N neon atom Chemical compound [Ne] GKAOGPIIYCISHV-UHFFFAOYSA-N 0.000 description 3
- 229910052759 nickel Inorganic materials 0.000 description 3
- 238000001020 plasma etching Methods 0.000 description 3
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 3
- 239000002356 single layer Substances 0.000 description 3
- 230000002269 spontaneous effect Effects 0.000 description 3
- 229910052715 tantalum Inorganic materials 0.000 description 3
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 description 3
- 239000010409 thin film Substances 0.000 description 3
- 229910052719 titanium Inorganic materials 0.000 description 3
- WKBOTKDWSSQWDR-UHFFFAOYSA-N Bromine atom Chemical compound [Br] WKBOTKDWSSQWDR-UHFFFAOYSA-N 0.000 description 2
- 229910018503 SF6 Inorganic materials 0.000 description 2
- OQPDWFJSZHWILH-UHFFFAOYSA-N [Al].[Al].[Al].[Ti] Chemical compound [Al].[Al].[Al].[Ti] OQPDWFJSZHWILH-UHFFFAOYSA-N 0.000 description 2
- UORVGPXVDQYIDP-UHFFFAOYSA-N borane Chemical compound B UORVGPXVDQYIDP-UHFFFAOYSA-N 0.000 description 2
- GDTBXPJZTBHREO-UHFFFAOYSA-N bromine Substances BrBr GDTBXPJZTBHREO-UHFFFAOYSA-N 0.000 description 2
- 229910052794 bromium Inorganic materials 0.000 description 2
- 238000004364 calculation method Methods 0.000 description 2
- 229910052799 carbon Inorganic materials 0.000 description 2
- 238000004140 cleaning Methods 0.000 description 2
- 239000004020 conductor Substances 0.000 description 2
- 230000000694 effects Effects 0.000 description 2
- 230000005672 electromagnetic field Effects 0.000 description 2
- 239000012530 fluid Substances 0.000 description 2
- 230000010354 integration Effects 0.000 description 2
- PNDPGZBMCMUPRI-UHFFFAOYSA-N iodine Chemical compound II PNDPGZBMCMUPRI-UHFFFAOYSA-N 0.000 description 2
- 239000007788 liquid Substances 0.000 description 2
- 238000005259 measurement Methods 0.000 description 2
- 208000030523 mesoaxial synostotic syndactyly with phalangeal reduction Diseases 0.000 description 2
- NJPPVKZQTLUDBO-UHFFFAOYSA-N novaluron Chemical compound C1=C(Cl)C(OC(F)(F)C(OC(F)(F)F)F)=CC=C1NC(=O)NC(=O)C1=C(F)C=CC=C1F NJPPVKZQTLUDBO-UHFFFAOYSA-N 0.000 description 2
- 238000000059 patterning Methods 0.000 description 2
- 238000011160 research Methods 0.000 description 2
- 235000012239 silicon dioxide Nutrition 0.000 description 2
- ABTOQLMXBSRXSM-UHFFFAOYSA-N silicon tetrafluoride Chemical compound F[Si](F)(F)F ABTOQLMXBSRXSM-UHFFFAOYSA-N 0.000 description 2
- SFZCNBIFKDRMGX-UHFFFAOYSA-N sulfur hexafluoride Chemical compound FS(F)(F)(F)(F)F SFZCNBIFKDRMGX-UHFFFAOYSA-N 0.000 description 2
- 229960000909 sulfur hexafluoride Drugs 0.000 description 2
- 229910021324 titanium aluminide Inorganic materials 0.000 description 2
- FAQYAMRNWDIXMY-UHFFFAOYSA-N trichloroborane Chemical compound ClB(Cl)Cl FAQYAMRNWDIXMY-UHFFFAOYSA-N 0.000 description 2
- MTPVUVINMAGMJL-UHFFFAOYSA-N trimethyl(1,1,2,2,2-pentafluoroethyl)silane Chemical compound C[Si](C)(C)C(F)(F)C(F)(F)F MTPVUVINMAGMJL-UHFFFAOYSA-N 0.000 description 2
- 101100441092 Danio rerio crlf3 gene Proteins 0.000 description 1
- 229910052581 Si3N4 Inorganic materials 0.000 description 1
- UGACIEPFGXRWCH-UHFFFAOYSA-N [Si].[Ti] Chemical compound [Si].[Ti] UGACIEPFGXRWCH-UHFFFAOYSA-N 0.000 description 1
- 239000012790 adhesive layer Substances 0.000 description 1
- 229910052782 aluminium Inorganic materials 0.000 description 1
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 1
- 125000004429 atom Chemical group 0.000 description 1
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 1
- IVHJCRXBQPGLOV-UHFFFAOYSA-N azanylidynetungsten Chemical compound [W]#N IVHJCRXBQPGLOV-UHFFFAOYSA-N 0.000 description 1
- 230000005540 biological transmission Effects 0.000 description 1
- 229910000085 borane Inorganic materials 0.000 description 1
- 238000003486 chemical etching Methods 0.000 description 1
- 125000001309 chloro group Chemical group Cl* 0.000 description 1
- 150000001875 compounds Chemical class 0.000 description 1
- 238000001816 cooling Methods 0.000 description 1
- 238000007872 degassing Methods 0.000 description 1
- 230000001627 detrimental effect Effects 0.000 description 1
- 239000003989 dielectric material Substances 0.000 description 1
- 238000009792 diffusion process Methods 0.000 description 1
- 230000008034 disappearance Effects 0.000 description 1
- 235000019800 disodium phosphate Nutrition 0.000 description 1
- 238000010494 dissociation reaction Methods 0.000 description 1
- 230000005593 dissociations Effects 0.000 description 1
- 230000007613 environmental effect Effects 0.000 description 1
- 238000005111 flow chemistry technique Methods 0.000 description 1
- 238000011010 flushing procedure Methods 0.000 description 1
- 230000006870 function Effects 0.000 description 1
- 229910000078 germane Inorganic materials 0.000 description 1
- 238000010438 heat treatment Methods 0.000 description 1
- 239000001307 helium Substances 0.000 description 1
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 1
- 239000007943 implant Substances 0.000 description 1
- 230000003993 interaction Effects 0.000 description 1
- 238000005468 ion implantation Methods 0.000 description 1
- 150000002736 metal compounds Chemical class 0.000 description 1
- 229910044991 metal oxide Inorganic materials 0.000 description 1
- 150000004706 metal oxides Chemical class 0.000 description 1
- 150000002739 metals Chemical class 0.000 description 1
- QKCGXXHCELUCKW-UHFFFAOYSA-N n-[4-[4-(dinaphthalen-2-ylamino)phenyl]phenyl]-n-naphthalen-2-ylnaphthalen-2-amine Chemical compound C1=CC=CC2=CC(N(C=3C=CC(=CC=3)C=3C=CC(=CC=3)N(C=3C=C4C=CC=CC4=CC=3)C=3C=C4C=CC=CC4=CC=3)C3=CC4=CC=CC=C4C=C3)=CC=C21 QKCGXXHCELUCKW-UHFFFAOYSA-N 0.000 description 1
- PEUPIGGLJVUNEU-UHFFFAOYSA-N nickel silicon Chemical compound [Si].[Ni] PEUPIGGLJVUNEU-UHFFFAOYSA-N 0.000 description 1
- 150000004767 nitrides Chemical class 0.000 description 1
- 235000012149 noodles Nutrition 0.000 description 1
- 239000001301 oxygen Substances 0.000 description 1
- 229910052760 oxygen Inorganic materials 0.000 description 1
- 239000002245 particle Substances 0.000 description 1
- 230000000737 periodic effect Effects 0.000 description 1
- 238000007747 plating Methods 0.000 description 1
- 238000011112 process operation Methods 0.000 description 1
- 238000003672 processing method Methods 0.000 description 1
- 239000000047 product Substances 0.000 description 1
- 230000001737 promoting effect Effects 0.000 description 1
- 239000010453 quartz Substances 0.000 description 1
- 230000009257 reactivity Effects 0.000 description 1
- 229910000077 silane Inorganic materials 0.000 description 1
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 description 1
- 229910010271 silicon carbide Inorganic materials 0.000 description 1
- 239000000377 silicon dioxide Substances 0.000 description 1
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 1
- 229910052814 silicon oxide Inorganic materials 0.000 description 1
- 239000007787 solid Substances 0.000 description 1
- 229910001220 stainless steel Inorganic materials 0.000 description 1
- 239000010935 stainless steel Substances 0.000 description 1
- 238000003860 storage Methods 0.000 description 1
- 239000002344 surface layer Substances 0.000 description 1
- 238000006557 surface reaction Methods 0.000 description 1
- 238000010408 sweeping Methods 0.000 description 1
- MZLGASXMSKOWSE-UHFFFAOYSA-N tantalum nitride Chemical compound [Ta]#N MZLGASXMSKOWSE-UHFFFAOYSA-N 0.000 description 1
- YOUIDGQAIILFBW-UHFFFAOYSA-J tetrachlorotungsten Chemical class Cl[W](Cl)(Cl)Cl YOUIDGQAIILFBW-UHFFFAOYSA-J 0.000 description 1
- XRXPBLNWIMLYNO-UHFFFAOYSA-J tetrafluorotungsten Chemical class F[W](F)(F)F XRXPBLNWIMLYNO-UHFFFAOYSA-J 0.000 description 1
- 238000012876 topography Methods 0.000 description 1
- 150000003657 tungsten Chemical class 0.000 description 1
- UONOETXJSWQNOL-UHFFFAOYSA-N tungsten carbide Chemical compound [W+]#[C-] UONOETXJSWQNOL-UHFFFAOYSA-N 0.000 description 1
- 238000011144 upstream manufacturing Methods 0.000 description 1
- 238000009834 vaporization Methods 0.000 description 1
- 230000008016 vaporization Effects 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76877—Filling of holes, grooves or trenches, e.g. vias, with conductive material
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3205—Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
- H01L21/321—After treatment
- H01L21/3213—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
- H01L21/32133—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
- H01L21/32135—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
- H01L21/32136—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67155—Apparatus for manufacturing or treating in a plurality of work-stations
- H01L21/67161—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
- H01L21/67167—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67155—Apparatus for manufacturing or treating in a plurality of work-stations
- H01L21/67207—Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/283—Deposition of conductive or insulating materials for electrodes conducting electric current
- H01L21/285—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
- H01L21/28506—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
- H01L21/28512—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
- H01L21/28556—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Chemical & Material Sciences (AREA)
- Chemical Kinetics & Catalysis (AREA)
- General Chemical & Material Sciences (AREA)
- Plasma & Fusion (AREA)
- Chemical Vapour Deposition (AREA)
- Electrodes Of Semiconductors (AREA)
- Drying Of Semiconductors (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
- Crystallography & Structural Chemistry (AREA)
Abstract
本說明書提供使用沉積-蝕刻-沉積(dep-etch-dep)製程將鎢沉積至高縱橫比之特徵部中的方法,其中該製程整合各種沉積技術與蝕刻期間之表面改質及移除的交替脈衝。
Description
本揭露內容係關於在基板上填充特徵部的方法。
半導體製程通常涉及使金屬(例如:鎢)沉積至特徵部(例如:穿孔或凹槽)中,以形成接點或內連線。然而,當元件縮小,特徵部會變得更小且更難以填充,尤其是在先進邏輯與記憶體的應用中。
本說明書中所提供的係為在基板上填充特徵部的方法。一態樣涉及一種在基板上填充特徵部的方法,其係藉由(a)在特徵部中沉積最初之量的金屬;及(b)藉由下列步驟,相對於在該特徵部之內部區域,在該特徵部之開口或其附近方向性地蝕刻該金屬:(i)藉由將該金屬暴露於含鹵素氣體來將所沉積之該金屬的表面改質;及(ii)將所改質之該表面暴露於活化氣體以選擇性地蝕刻該金屬。該方法更可包含重複步驟(a)及(b)。
在各種實施例中,該金屬包含鈦、鉭、鎳、鈷、或鉬其中一者。在若干實施例中,該金屬包含鎢。
在若干實施例中,該含鹵素氣體係選自由下列各項所構成的群組:氯、溴、碘、六氟化硫、四氟化矽、三氯化硼、或其組合。在若干實施例中,該活化氣體係為惰性氣體,例如氖、氪、氬、或其組合。
該方法更可包含在步驟(i)及(ii)其中至少一者的期間施加偏壓。該偏壓的功率可低於臨界偏壓功率。該偏壓的功率可小於約80Vb。
在各種實施例中,步驟(b)包含自限制反應。在若干實施例中,該基板包含具有不同尺寸之開口的特徵部。該特徵部可具有至少3:1的縱橫比。在若干實施例中,該開口的寬度小於20 nm。
在若干實施例中,在不破壞真空的情況下執行步驟(a)及(b)。在若干實施例中,在相同的腔室中執行步驟(a)及(b)。在若干實施例中,在相同工具之不同的腔室中執行步驟(a)及(b)。
該方法更可包含在步驟(i)及(ii)其中至少一者的期間引燃電漿。該電漿的功率可介於約0 W至約1000 W之間。
另一態樣可涉及一種方法,其包含下列步驟:(a)以鎢部分填充特徵部;(b)藉由將該特徵部暴露於含鹵素氣體與活化氣體的交替脈衝以在該特徵部之開口或其附近方向性地蝕刻鎢;及(c)以鎢填充該特徵部。
在若干實施例中,在步驟(b)期間施加偏壓。在若干實施例中,在步驟(b)期間以臨界偏壓功率施加偏壓。
在各種實施例中,在不破壞真空的情況下執行步驟(a)及(b)。在若干實施例中,在相同的腔室中執行步驟(a)及(b)。該方法更可包含重複步驟(a)及(b)。填充該特徵部之該步驟可包含重複步驟(a)及(b)。
可藉由CVD來沉積該鎢。在若干實施例中,藉由ALD來沉積該鎢。可藉由將該特徵部暴露於含鎢前驅物與還原劑的交替脈衝來沉積該鎢。可使用含氯的鎢前驅物來沉積該鎢。在若干實施例中,該鎢為不含氟的鎢。
另一態樣涉及用於處理半導體基板的設備,該設備包含:製程腔室,其包含噴淋頭及基板支撐體;電漿產生器;及控制器,其具有至少一處理器及一記憶體,藉此該至少一處理器與該記憶體彼此通訊地連接,該至少一處理器至少與流量控制硬體操作地連接,且該記憶體儲存用於下列操作之機器可讀指令:將含鎢前驅物及還原劑引至腔室以將鎢沉積於基板上;引入含鹵素氣體以將該鎢之表面改質;及引入活化氣體並引燃電漿,以蝕刻至少部分的該鎢之經改質的表面。
該基板支撐體可包含偏壓,而該記憶體更可儲存用於在操作(iii)期間將該偏壓之功率設定為小於約80 Vb的機器可讀指令。在若干實施例中,該記憶體更儲存用於在操作(ii)期間引燃電漿的機器可讀指令。
在若干實施例中,該記憶體更儲存用於循環地重複操作(ii)及(iii)的機器可讀指令。在若干實施例中,該記憶體更儲存用於在執行操作(ii)及(iii)之後重複操作(i)的機器可讀指令。
以下參考圖式進一步說明此等與其他態樣。
在以下敘述中,為提供對於所呈現實施例之完整瞭解,將提出許多具體細節。在不具有這些具體細節之若干或全部的情況下,仍可實施本揭露實施例。在其他情況下,為避免不必要地混淆本揭露實施例,因此不詳細描述眾所周知的程序操作。雖然本揭露實施例將結合具體實施例而描述,但應瞭解其並非意欲限制本揭露實施例。
半導體製程通常涉及使金屬沉積至特徵部(例如:穿孔或凹槽)中,以形成接點或內連線。通常使用化學氣相沉積(CVD, chemical vapor deposition)法來使鎢沉積至此類特徵部中,藉此,包含待填充之特徵部的基板係暴露於含鎢前驅物及還原劑,以使鎢沉積至特徵部中。然而,當元件縮小,特徵部會變得更小且更難以藉由CVD來填充,尤其是在先進邏輯與記憶體的應用中。例如,特徵部可具有高縱橫比,例如至少約3:1。某些特徵部可具有小於約20 nm的小開口。某些特徵部亦可包含內凹特徵部輪廓,其係參考圖2進一步描述於下。針對先進技術節點中的特徵部,在特徵部之開口或靠近特徵部之開口的沉積速率可能較在該特徵部之底部的沉積速率更快,其會使開口在整個特徵部被填充之前封閉,而留下特徵部中的孔隙或間隙。此類間隙的存在對於半導體元件之性能與可靠性以及最終的半導體產品可能係為不利的。
此外,某些基板可包含各種尺寸的特徵部。因此,相較於較大的特徵部,在較小的特徵部中,特徵部會更快地被填充或特徵部之開口會更快地被封閉,而較大的特徵部可能不會被完全填充。特徵部之小開口及高縱橫比可能會引起特徵部內之鎢的非保形沉積。此外,在由習知沉積技術以及因特徵部上的非保形覆蓋所造成的下方阻障或黏著層之可能凸出物所導致的內凹特徵部輪廓中,該特徵部可具有最終的內凹側壁輪廓,其使得該特徵部之完全填充具有挑戰性。
使鎢沉積至具有小開口之特徵部中的一方法包含使部分填充之特徵部暴露於反應性物種(例如,遠端電漿反應器中所產生之含氟物種),以及在質量傳輸限制製程制度下操作以移除先前在特徵部之開口所沉積的鎢,而藉此打開特徵部以使鎢能夠進一步沉積至特徵部中,藉此促進完全的無孔隙填充。然而,儘管此類方法在將無孔隙的鎢沉積至小特徵部中時可為有效的,但用以填充較大特徵部之沉積量與蝕刻製程可能會改變。此外,含氟反應性物種係非常具反應性,而因此快速地蝕刻鎢,如此一來蝕刻條件係受到調整以避免蝕刻太多鎢。若所沉積的鎢整體被移除,則隨後利用鎢使所暴露之表面再成核以填充特徵部會變得困難。例如,在沉積任何鎢之前,特徵部通常係以阻障層(例如,氮化鈦阻障層)作為襯墊,而特徵部可暴露於前驅物以藉由例如原子層沉積法(ALD, atomic layer deposition)的方法來沉積鎢成核層。然而,若含氟反應性物種因其高反應性及蝕刻速率而移除整個成核層,則氮化鈦阻障層被暴露,而鎢係再次成核於基板上,藉此降低了產能。在若干實施例中,含氟反應性物種可蝕刻整個阻障層或其至少若干,如此可能因若干鎢成核層消失在基板上而使第二次鎢沉積不完全。
本說明書中所提供的係為使用整合之沉積與原子層蝕刻法(ALE, atomic layer etching)製程以鎢來填充特徵部的方法。ALE係為使用相繼的自限制反應來移除材料之薄層的技術。一般而言,可使用任何合適的技術來執行ALE。原子層蝕刻技術之範例係描述於美國專利第8883028號,公告日為2014年11月11日;以及美國專利第8808561號,公告日為2014年8月19日,該等案係因描述例示性原子層蝕刻技術之目的而併入本說明書中以供參照。在各種實施例中,ALE可利用電漿來執行,或可加熱地來執行。「ALE循環」的概念與本說明書中許多實施例的討論有關。一般而言,ALE循環為用以執行一次蝕刻處理(例如蝕刻單層)的最小操作組。一循環的結果為將基板表面上至少若干的薄膜層蝕刻掉。通常,一ALE循環包含改質操作,以形成反應性層;接著為移除操作,以僅將此經改質的層移除或蝕刻掉。該循環可包含某些輔助操作,例如掃除副產物或反應物其中之一者。一般而言,一循環含有一專有操作順序範例。舉例而言,一ALE循環可包含下列操作:(i)輸送反應物氣體,該反應物氣體可處於電漿中;(ii)將該反應物氣體自腔室中吹淨;(iii)輸送移除氣體及可選擇的電漿;以及(iv)吹淨腔室。在若干實施例中,可在基板(例如,具有表面構形及/或特徵部的基板)上非保形地執行蝕刻。
圖1顯示ALE循環的兩個例示性示意圖解。圖171a-171e顯示一般性的ALE循環。在171a中,提供基板。在171b中,將基板的表面改質。在171c中,將用以改質基板的化學物吹淨。在171d中,蝕刻經改質的層。在171e中,移除經改質的層。類似地,圖172a-172e顯示蝕刻鎢膜的ALE循環之範例。在172a中,提供鎢基板,其包含許多鎢原子。在172b中,將反應物氣體氯引至基板,而將基板的表面改質。在若干實施例中,氯反應物亦可在電漿中作為原子氯而輸送,而可能不會引起鎢基板的直接蝕刻。舉例而言,172b中的示意圖顯示,若干氯吸附於基板的表面上。儘管圖1中描繪氯(Cl2
),但可使用任何含氯化合物或其他合適的反應物。在172c中,將反應物氣體氯自腔室中吹淨。在172d中,使用方向性電漿來引入移除氣體氬(如Ar+
電漿物種及箭頭所指示),以將基板之經改質表面移除。該活化的蝕刻涉及惰性離子(例如:Ar+
)之使用,其利用低於濺射臨界值的能量來操作以供給吸附物種(例如:Cl物種)能量,以每次將基板蝕刻掉單層。在此操作期間,對基板施加偏壓以將離子吸引向基板。在172e中,吹淨腔室並移除副產物。
ALE製程的蝕刻速率係低於以氟為基礎之遠端電漿蝕刻的蝕刻速率,但ALE由於表面反應的自我限制之性質,會更均勻地蝕刻。因此,ALE製程提供關於蝕刻操作的更多控制(尤其是在較大特徵部中),而使蝕刻循環中所移除的材料量受到限制且不會蝕刻得太快,以便避免將材料從特徵部之表面徹底蝕刻。本說明書中所述之沉積製程可藉由轉換腔室壓力及基板溫度而受到控制,腔室壓力及基板溫度兩者會在ALE期間影響改質化學物之吸附作用。藉由在ALE中所執行的一或更多操作期間調整基板偏壓,以及調整改質化學物的流動與化學物,亦可控制製程。沉積製程亦可取決於待沉積至特徵部中的金屬之化學物。
所揭露之實施例可涉及藉由任何合適的方法在特徵部中沉積金屬(例如,鎢),該方法包含ALD、CVD、電漿輔助ALD(PEALD)、電漿輔助CVD (PECVD),或物理氣相沉積法(PVD, physical vapor deposition);含鹵素氣體之吸附以及對電漿之可選擇的暴露,其用以改質所沉積之金屬的表面;對活化氣體之暴露,其用以移除經改質的表面;以及用以填充特徵部之金屬的進一步沉積。圖2依據所揭露之實施例,提供經歷各種操作之特徵部的例示性示意圖解。在201中,基板210顯示為具有特徵部212,其包含保形地沉積於該特徵部中的TiN阻障層214、以及藉由ALD保形地沉積於TiN阻障層214上的鎢216。在203中,在特徵部212暴露於含鹵素氣體以將所沉積之鎢216的表面改質之後,將特徵部212暴露於活化氣體(例如,包含氬離子、或氖、或氪的氣體),其可在特徵部212之開口218a附近方向性地蝕刻鎢216(例如藉由使用低偏壓)。在205中,特徵部212已被打開,留下特徵部開口218b。在207中,隨後藉由CVD以鎢來填充特徵部212,以產生無孔隙之鎢填充的特徵部。
圖3提供製程流程圖,其描繪依據所揭露實施例之方法中的操作。儘管以下敘述集中在鎢特徵部填充,但本揭露內容之態樣亦可實施於以其他材料來填充特徵部時。例如,使用本說明書中所述之一或更多技術的特徵部填充可用於以包含下列各者的其他材料來填充特徵部:其他含鎢材料(例如:氮化鎢(WN)及碳化鎢(WC))、含鈦材料(例如:鈦(Ti)、氮化鈦(TiN)、矽化鈦(TiSi)、碳化鈦(TiC)、及鋁化鈦(TiAl))、含鉭材料(例如:鉭(Ta)、及氮化鉭(TaN))、含鉬材料、含鈷材料、及含鎳材料(例如:鎳(Ni)及矽化鎳(NiSi))。在各種實施例中,可利用另一金屬代替鎢或與鎢結合來填充特徵部。例如,可使用鈷或鉬來填充特徵部。
在圖3之操作301中,將基板提供至腔室。基板可為矽晶圓,例如,200-mm晶圓、300-mm晶圓、或450-mm晶圓,包含具有沉積於其上之一或更多材料(例如:介電的、導電的、或半導電的材料)層的晶圓。圖案化的基板可具有「特徵部」(例如:穿孔或接觸孔),其特徵可為狹窄及/或內凹的開口、特徵部內之頸縮、及高縱橫比其中一或更多者。該等特徵部可形成於上述該等層其中一或更多者中。特徵部的一範例為在半導體基板或該基板上之層中的孔洞或穿孔。另一範例為基板或層中的凹槽。在各種實施例中,特徵部可具有下方層,例如阻障層或黏合層。下方層之非限定的範例包含介電層及導電層,例如矽氧化物、矽氮化物、矽碳化物、金屬氧化物、金屬氮化物、金屬碳化物、及金屬的層。
應用之範例包含邏輯及記憶體接點填充、動態隨機存取記憶體(DRAM)埋入式字元線填充、垂直積體化記憶體閘極/字元線填充、及具有直通矽穿孔(TSVs, through-silicon vias)的3-D積體化。本說明書中所述的方法可用以填充垂直特徵部(例如,在鎢穿孔中),及水平特徵部(例如,垂直NAND(VNAND) 字元線。
在各種實施例中,因執行所揭露之實施例而製造的基板之類型可取決於執行所揭露之實施例之前的基板上之特徵部的縱橫比。在若干實施例中,操作301中所提供之基板上的特徵部可具有至少約2:1、至少約3:1、至少約4:1、至少約6:1、至少約10:1、或更高的縱橫比。特徵部在開口附近亦可具有5 nm至500 nm間的尺寸(例如開口直徑或線寬),例如約25 nm至約300 nm間。可在含有具有小於約20 nm的開口之特徵部的基板上執行所揭露之方法。相對而言,可將「小」特徵部定義為具有小於「大」特徵部的開口直徑或線寬之開口直徑或線寬的特徵部。大特徵部可具有比小特徵部之臨界尺寸更大至少1.5倍、或至少2倍、或至少5倍、或至少10倍、或多於10倍的開口直徑或臨界尺寸。「小」特徵部的範例包含具有約1 nm至2 nm間之開口直徑的特徵部。「大」特徵部的範例包含具有約數百奈米至約1微米的量級之開口直徑的特徵部。
可將穿孔、凹槽、或其他凹陷特徵部稱為未填充特徵部或特徵部。依據各種實施例,特徵部輪廓可漸縮及/或包含位於特徵部開口處之凸出物。內凹輪廓係為一種自特徵部的底部、封閉端、或內部向特徵部的開口變窄的輪廓。內凹輪廓可能因圖案化期間之非對稱性蝕刻動力學及/或凸出物而產生,其中凸出物係起因於先前之膜沉積(例如擴散阻障物的沉積)中的非保形膜階梯覆蓋性。在各種範例中,在特徵部頂部的特徵部開口寬度可小於在特徵部中間及/或底部的寬度。
在操作303中,鎢係沉積於基板上,例如藉由將基板暴露於含鎢前驅物及還原劑,以部分填充基板上的特徵部。例示性含鎢前驅物包括含鎢鹵化物前驅物,其可包含鎢氟化物,例如WF6
;以及鎢氯化物,例如WCl6
、W(CO)6
、及WCl5
。在若干實施例中,可使用金屬有機含鎢前驅物。例示性還原劑包含氫、硼烷(例如,B2
H6
)、矽烷(例如,SiH4
)、及鍺烷(例如,GeH4
)。
在若干實施例中,鎢係保形地沉積。在若干實施中,操作303涉及鎢成核層的沉積,然後是主體沉積。
可使用任何用於沉積鎢的合適方法,例如ALD、CVD、PECVD、PEALD、或PVD。針對本說明書中所提供的範例,鎢可藉由ALD保形地沉積至特徵部中。例如,在若干實施例中,鎢成核層係藉由依序地使含鎢前驅物及一或更多還原劑產生脈衝而沉積,以藉由ALD或脈衝的成核層(PNL, pulsed nucleation layer)製程而形成鎢成核層。在若干實施中,操作303可涉及僅主體沉積,而無成核層沉積,若,例如,特徵部包含支撐鎢沉積的下方層。主體沉積可藉由化學氣相沉積而沉積,且進一步描述於下。
在包含頸縮或以其他方式容易受到夾止的特徵部中,可執行操作303至少直至該特徵部被夾止為止。具有不同尺寸的特徵部可能在不同的時間被夾止。在保形沉積中,沉積從各表面開始,且隨著大致垂直於該表面之生長而進展。特徵部中之鎢生長係從各側壁開始,並進展直至該生長夾止該特徵部為止。在若干實施中,操作303中所沉積的鎢量可根據最窄的特徵部尺寸來判定。
在各種實施例中,可執行操作303以使特徵部之開口封閉。在若干實施例中,接縫可形成於特徵部之開口處或其附近。針對此處說明之目的,將「開口附近」定義為在特徵部內(亦即,沿著特徵部之側壁)的一個大概的位置或區域,其對應於自場區測量之特徵部深度的約0%至10%之間。在某些實施例中,開口附近的區域對應於在開口的區域。再者,將「特徵部內側」或「特徵部內部」定義為在特徵部內的一個大概的位置或區域,其對應於自特徵部頂部上的場區測量之特徵部深度的約20%至60%之間。通常,當某些參數(例如厚度)的數值係明確指定「開口附近」或「特徵部內側」時,此等數值代表在此等位置/區域內所取得之一量測值、或複數量測值的平均值。
在操作305中,基板係藉由原子層蝕刻而方向性地或偏好性地蝕刻。可將如本說明書中所使用之「方向性的」或「偏好性的」定義為在特徵部之頂部或其附近較在特徵部之其餘部分中蝕刻更多材料,例如,特徵部內側或內部。原子層蝕刻涉及表面改質及活化操作。在若干實施例中,在操作305期間,載氣(其可包含N2
、Ar、Ne、He、及其組合)係連續地流動。在若干實施例中,僅在操作305期間的移除製程期間使用載氣。在若干操作中,載氣可作為吹淨氣體使用,如下所述。在若干實施例中,在操作305期間使用另一反應氣體(例如氧),以移除經改質層。在若干實施例中,在移除期間不流動載氣。
在操作315中,將基板暴露於改質化學物,以將基板的表面改質。改質化學物可為氣體、或電漿、或反應性物種。改質操作會形成薄的反應性表面層,其具有較未改質之材料更容易被移除的厚度。可執行改質操作,俾防止基板的自發性蝕刻。
在改質操作中,可使用含鹵素化學物將基板改質。例如,可藉由將氯引至腔室中而將基板氯化。在所揭露之實施例中,氯係作為例示性改質化學物來使用,但應瞭解,在若干實施例中,會將不同的改質化學物引至腔室中。範例包含溴、碘、六氟化硫、四氟化矽、及三氯化硼(BCl3
)。藉由ALE蝕刻金屬之額外範例係進一步描述於申請於2015年8月19日,發明名稱為「ATOMIC LAYER ETCHING OF TUNGSTEN AND OTHER METALS」的美國專利第62/207250號(代理人案號為LAMRP209P/3706-1US)中,其整體併入本說明書中以供參照。
在許多實施例中,不使用氟化學物,以防止可能不會以單層來蝕刻的化學蝕刻。例如,三氟化氮(NF3
)在電漿中可為高度反應性的,且可自發性地蝕刻基板,而非在層中保形地蝕刻基板。然而,在若干實施例中,可使用例如ClF3
的高度反應性含鹵素化學物以蝕刻其他材料,例如較不受到自發性蝕刻影響的材料。
可依據待蝕刻之基板的類型及化學性質來選擇改質化學物。在若干實施例中,氯可與基板反應或可吸附至基板的表面上。在各種實施例中,將氯以氣態形式且可選擇地伴隨著載氣(其可為上述該等載氣的任一者)而引至腔室中。
在若干實施例中,在此操作中,可產生以氯為基礎的電漿。自以氯為基礎的電漿所產生的物種可藉由在容置基板之製程腔室中形成電漿而原位產生,或者其可在未容置基板之製程腔室(例如遠端電漿產生器)中遠端產生並可供應至容置基板之製程腔室中。在各種實施例中,電漿可為感應耦合電漿、或電容耦合電漿、或微波電漿。感應耦合電漿之功率可設定於約50 W至約2000 W之間,例如約900 W。功率可設定為夠低至不會引起基板之直接電漿蝕刻的位準。
在若干實施例中,不使用電漿,而氯可被加熱地引至腔室中。Cl2
解離為Cl的能量為2.51 eV。在若干實施例中,在操作期間,可使用熱的或其他放射的能量來源來施加此能量。在若干實施例中,可將氯加熱至足夠高的溫度以將氯分解為能夠吸附至基板表面上的氯原子。
在各種實施例中,在操作315期間施加偏壓。低偏壓功率可用以防止因基板表面上之改質化學物所造成的自發性蝕刻,同時容許改質化學物吸附於所沉積之金屬的表面上,且進入形成於特徵部之開口處或其附近的接縫中。例如,可施加約0 V至約200 V間的偏壓。應瞭解,用語「偏壓功率」及「偏壓電壓」在本說明書中係可替換地使用,以描述當施加偏壓至一基座時,該基座被設定的電壓。臨界偏壓功率或臨界偏壓電壓指涉,在基座上之基板表面上的材料被濺射之前施加至基座之偏壓的最大電壓。臨界偏壓功率因此部分取決於待蝕刻的材料、用於產生電漿的氣體、用於引燃電漿的電漿功率、及電漿頻率。如本說明書中所述之偏壓功率或偏壓電壓係以伏特來測量,其以單位「V」或「Vb」來表示,其中b指涉偏壓(bias)。電子伏特(Ev, Electron volt)係為一電子藉由以1伏特的電位差加速所獲得的能量的量。參照圖4所描述的電子伏特指涉一電子藉由以1伏特的電位差加速所獲得的能量的量。用於測定濺射產率的計算(例如,針對朝向鎢加速的每一氬離子,有多少鎢原子被濺射出表面)取決於圖4的氬離子電子伏特之能量。
可使用偏壓來建立遍及特徵部深度的改質化學物梯度。藉由適當控制偏壓以及其他參數(例如,壓力),遍及特徵部深度之改質(及ALE)的程度可受到控制。在一範例中,相較於在特徵部底部中及在側壁上,更多的氯可被吸附於特徵部頂部或其附近,或者吸附於特徵部之開口或其附近。偏壓係以不會引起基板之物理性濺射的方式來施加。在若干實施例中,可不使用偏壓。在若干實施例中,若特徵部之開口夠大,則可不使用偏壓。在操作315期間,例示性壓力範圍可為約30 mTorr至約80 mTorr之間。
在若干實施例中,可在改質操作之後執行吹淨。在吹淨操作中,可將非表面鍵結活性氯物種自製程腔室移除。此可藉由吹淨及/或排空製程腔室來移除未吸附的改質化學物而完成,而不需移除吸附層。藉由停止電漿及使剩餘的物種衰變,且可選擇地結合吹淨及/或排空腔室,可移除在以氯為基礎之電漿中所產生的物種。可使用任何惰性氣體(例如N2
、Ar、Ne、He、及其組合)來完成吹淨。
在操作335中,使用活化的移除氣體,例如,活化氣體、離子轟擊氣體、或化學性反應氣體來將經改質層自基板移除。活化的移除氣體可為惰性氣體。例如,可使用氬。在若干實施例中,可使用氖或氪。在移除操作中,可將基板暴露於能量來源(例如,會引起移除作用的活化或離子轟擊氣體、或化學性反應物種),例如氬或氦,以藉由方向性離子轟擊來蝕刻基板。在若干實施例中,可藉由低能量離子轟擊來執行移除操作。在若干實施例中,移除作用可為等向性的。
可控制移除氣體的量,例如以僅蝕刻材料的目標量。在各種實施例中,在改質操作與移除操作間,腔室的壓力可能會改變。移除氣體的壓力可取決於腔室之尺寸、移除氣體之流率,反應器之溫度、基板之類型、任何載氣之流率、及待蝕刻之鎢的量。在操作335期間,例示性壓力範圍可為約1 mTorr至約15 mTorr之間。
在移除期間,可選擇性地施加偏壓以促進方向性離子轟擊。選擇偏壓功率,以防止濺射但容許移除氣體進入特徵部並蝕刻位於特徵部之開口或其附近的鎢,以藉此打開特徵部。可依據活化的移除氣體對於基板上所沉積的金屬之臨界濺射產率來選擇偏壓功率。如本說明書中所使用之濺射可指涉物理性移除基板表面的至少若干。離子轟擊可指涉物種物理性轟擊於基板表面上。
圖4顯示例示性濺射產率,其係基於由N. Matsunami、Y. Yamamura、Y. Itikawa、N. Itoh、Y. Kazumata、S. Miyagawa、K. Morita、R. Shimizu、及H. Tawara所完成的「Energy Dependence of the Yields of Ion-Induced Sputtering of Monatomic Solids」(IPPJ-AM-32 (Institute of Plasma Physics, Nagoya University, Japan, 1983))所計算。
該圖顯示所計算之利用氬離子垂直入射之鎢的濺射產率,相對於氬離子能量(或臨界偏壓功率)。該計算使用32 eV的數值作為濺射臨界值。稍微高於該臨界值,即在40 eV氬離子能量的情況下,濺射產率似乎為每一離子約0.001 個原子(0.001 atoms/ion)。然而,在80 eV離子能量的情況下,濺射產率已增加了30倍。此例示性曲線指示足以蝕刻金屬同時防止基板上的鎢之濺射作用的最大氬離子能量。儘管圖4提供濺射臨界值曲線的定性圖,但針對特定系統及最大可容許濺射產率,可根據實驗來測定濺射臨界值。以一系統而言,針對氬離子,在80 Vb可觀察到鎢濺射。應瞭解,單位「Vb」或「Vb
」或「Vbias
」為伏特的單位,而「b」或「bias」係用以表示該功率係作為偏壓功率的特性。就此而言,使用氬離子來進行鎢移除之期間的偏壓功率可設定在小於約80 Vb、或小於約50 Vb、或介於約50 Vb至80 Vb間。在若干實施例中,若可容許一些少量的濺射,可在高於臨界偏壓功率的情況下執行操作335。取決於特定製程,亦存在移除臨界電壓,在該移除臨界電壓之下不會發生移除。應注意,濺射臨界值會根據待蝕刻的金屬、金屬化合物、或其他材料而變化。
在若干實施例中,在移除操作後,可吹淨腔室。吹淨製程可為在操作315之後用於吹淨的該等製程之任一者。
回到圖3,可視需求可選擇地重複操作315及操作335以填充特徵部。在操作307中判定特徵部是否已被充分填充。若未充分填充,可重複操作303及操作305。在若干實施例中,重複操作303,而特徵部可被充分填充,如此一來可不用再次執行操作305。在若干實施例中,執行操作303及操作305直至特徵部被充分填充。在若干實施例中,在重複的操作其中一者中,在執行操作303之後,特徵部可被充分填充,如此一來在特徵部被填充後不執行操作305。在若干實施例中,操作303及操作305在相同腔室中執行。在若干實施例中,操作303及操作305在相同工具中執行。在若干實施例中,操作303及操作305在不破壞真空之情況下執行。在若干實施例中,操作303之重複循環可涉及與操作303之先前循環中不同的沉積方法及前驅物。例如,在一製程中,可藉由ALD將鎢沉積至特徵部中,可執行ALE來蝕刻所沉積的鎢以打開特徵部,然後重複鎢沉積,此時使用含鎢前驅物及還原劑執行鎢的CVD,以完全填充特徵部。在另一範例中,藉由WF6
及B2
H6
之交替脈衝來沉積鎢,可藉由在電漿存在的情況下交替Cl2
及Ar之脈衝以及藉由施加偏壓而蝕刻在特徵部之開口處或其附近的鎢,然後可藉由同時暴露於WCl5
及H2
來沉積鎢。
圖5提供可依據所揭露之實施例來執行之時序方案的範例圖式。製程500包含沉積循環520A、蝕刻循環505A、及重複的沉積循環520B及蝕刻循環505B。沉積循環520A包含鎢的CVD階段503A,其可對應於圖3的操作303。儘管圖5中提供CVD沉積,但在若干實施例中,此操作可涉及金屬的週期性沉積,例如藉由ALD。在鎢的CVD階段503A,可流動載氣,同時關閉改質化學物之流動且關閉移除氣體。可連續流動CVD前驅物以沉積鎢,且關閉偏壓。蝕刻循環505A可對應於圖3的操作315及操作335。蝕刻循環505A包含表面改質515A,其可對應於圖3之操作315。在表面改質515A期間,改質化學物與載氣一起流動,同時關閉移除氣體及CVD前驅物之流動。如圖5中所示,偏壓可為開啟的。在表面改質515A之後可為吹淨階段525A,如上所述,其為可選擇的操作。在吹淨階段525A期間,連續流動載氣以移除未吸附於基板上的任何改質化學物。因此,關閉改質化學物、移除氣體、及CVD前驅物之流動,且亦關閉偏壓。在移除階段535A中,連續流動載氣,同時流動移除氣體,同時關閉改質化學物及CVD前驅物之流動。在移除階段535A期間,亦可開啟偏壓。移除階段535A可對應於圖3之操作335。在各種實施例中,在此階段期間引燃電漿。吹淨階段545A可涉及流動載氣,同時關閉改質化學物、移除氣體、及CVD前驅物之流動,且亦關閉偏壓。
依據圖3之操作307,可重複該等操作,如圖5中所示。沉積循環520B涉及鎢的CVD階段503B,其中在此範例中包含與在鎢的CVD階段503A中相同的流動。在此,載氣與CVD前驅物一起流動以沉積鎢,同時關閉移除氣體及改質化學物之流動,且關閉偏壓。在若干實施例中,此可進一步部分地填充特徵部。儘管在鎢的CVD階段503B中可使用與在鎢的CVD階段503A中相同的前驅物,在若干實施例中,如上所述,圖3之303的重複操作可涉及不同的沉積技術或前驅物。在重複的循環中,蝕刻循環505B可對應於圖3之操作305。蝕刻循環505B涉及表面改質515B,藉此流動載氣及改質化學物,同時關閉移除氣體及CVD前驅物之流動,且關閉偏壓。吹淨階段525B包含載氣之流動,同時關閉所有其他的流動,且關閉偏壓。移除階段535B涉及流動載氣與移除氣體,同時關閉改質化學物及CVD前驅物之流動。在各種實施例中,在此階段期間引燃電漿。開啟偏壓以蝕刻基板。吹淨階段545B涉及流動載氣,而不流動改質化學物、移除氣體、或CVD前驅物,同時關閉偏壓。
可將本說明書中所述之實施例與其他製程整合。例如,可將ALE蝕刻整合於多站序列式沉積(MSSD, Multi-Station-Sequential-Deposition)腔室構造上,其中沉積站其中一者可由ALE站所取代,以容許整合之沉積/蝕刻/沉積能使用類似的化學物,以達到較佳的填充及更快速的產量能力。在若干實施例中,可在不破壞真空之情況下執行所揭露之實施例。例如,在若干實施例中,可在相同的腔室或相同的工具中執行所揭露之實施例。適用於執行所揭露實施例之設備的進一步範例係進一步描述於下。設備
現描述感應耦合電漿(ICP, inductively coupled plasma)反應器,其在某些實施例中可適用於原子層蝕刻(ALE, atomic layer etching)操作與原子層沉積(ALD, atomic layer deposition)操作。此類ICP反應器亦描述於申請於2013年12月10日,發明名稱為 「IMAGE REVERSAL WITH AHM GAP FILL FOR MULTIPLE PATTERNING」的美國專利申請案公開號第2014/0170853號中,該案係因所有目的而整體併入本說明書中以供參照。儘管本文中描述ICP反應器,但應瞭解,在若干實施例中,亦可使用電容耦合電漿反應器。
圖6示意地呈現感應耦合電漿之整合蝕刻與沉積設備600的橫剖面圖,其適合用於實施本說明書文的某些實施例,該設備之一範例係為由加州佛蒙特(Fremont, CA.)的蘭姆研究公司(Lam Research Corp.)所生產的Kiyo®反應器。感應耦合電漿設備600包含整體的製程腔室624,其結構上由腔室壁601與窗611界定。腔室壁601可由不鏽鋼或鋁製成。窗611可由石英或其他介電材料製成。可選擇的內部電漿柵650將整體的製程腔室624分成上部子腔室602與下部子腔室603。在大部分的實施例中,可移除電漿柵650,藉此使用由子腔室602與603所形成的腔室空間。卡盤617係設置在下部子腔室603中,並靠近底部的內表面。卡盤617係配置以接收並固持半導體基板或晶圓619,蝕刻與沉積製程係在其上方執行。卡盤617可為用於支撐晶圓619(當存在時)的靜電卡盤。在若干實施例中,邊緣環(未顯示)圍繞卡盤617,且具有幾乎與晶圓619(當存在於卡盤617上時)之頂部表面齊平的上表面。卡盤617亦可包含靜電電極,用以夾持及去夾持晶圓619。為此目的可設置濾波器與DC卡盤電源(未顯示)。亦可設置用以將晶圓619舉升離開卡盤617的其他控制系統。可使用射頻(RF)電源623對卡盤617充電。RF電源623係透過連接件627而連接至匹配電路621。匹配電路621係透過連接件625而連接至卡盤617。以此方式,RF電源623係連接至卡盤617。
用於電漿產生的元件包含設置於窗611的上方的線圈633。在若干實施例中,所揭露之實施例中未使用線圈。線圈633係由導電材料所製成,且至少包含完整的一匝。圖6中所示之線圈633的範例包含三匝。線圈633的截面以符號呈現,具有符號「X」的線圈旋轉延伸進入頁面,而具有符號「●」的線圈旋轉延伸出頁面。用於電漿產生的元件亦包含RF電源641,其配置以將RF功率供應至線圈633。通常,RF電源641係透過連接件645而連接至匹配電路639。匹配電路639係透過連接件643而連接到線圈633。以此方式,RF電源641係連接至線圈633。可選擇的法拉第屏蔽(Faraday shield)649係設置於線圈633與窗611之間。法拉第屏蔽649係維持與線圈633之間有一間隔距離。法拉第屏蔽649係鄰近地設置於窗611的上方。線圈633、法拉第屏蔽649、及窗611各配置成彼此實質上互相平行。法拉第屏蔽649可避免金屬或其他物種沉積於製程腔室624的窗611上。
製程氣體(例如:金屬前驅物(如含鎢前驅物)、還原劑、載氣、含鹵素氣體、氯、氬等)可透過設置於上部子腔室602中的一或更多主要氣流入口660、及/或透過一或更多側氣流入口670而流至製程腔室中。相似地,儘管未明確顯示,但可使用類似的氣流入口將製程氣體供應至電容耦合電漿處理腔室。可使用真空泵浦640(例如一或二階式機械乾式泵浦、及/或渦輪分子泵浦)以將製程氣體自製程腔室624中抽離,並用以維持製程腔室624內的壓力。例如,真空泵浦640可用於在ALE之吹淨操作期間將下部子腔室603抽空。可使用閥控式導管將真空泵浦流體地連接至製程腔室624,以便選擇性地控制由真空泵浦所提供之真空環境的運用。此可透過在操作性電漿處理期間運用封閉迴路控制流量限制裝置(例如節流閥(未顯示)或鐘擺閥(未顯示))而達成。相似地,對電容耦合電漿處理腔室亦可運用真空泵浦與閥控式流體連接。
在設備600的操作期間,可透過氣流入口660及/或670供應一或更多的製程氣體。在某些實施例中,亦可僅透過主要氣流入口660或僅透過側氣流入口670來供應製程氣體。在若干情況下,如圖所示之該等氣流入口可被更複雜的氣流入口所取代,例如一或更多的噴淋頭。法拉第屏蔽649及/或可選擇的柵650可包含容許製程氣體輸送至製程腔室624的內部通道與孔洞。法拉第屏蔽649與可選擇的柵650其中一者或兩者,可作為用於輸送製程氣體的噴淋頭。在若干實施例中,液體汽化與輸送系統可設置於製程腔室624之上游,以使液態反應物或前驅物一旦被汽化,汽化的反應物或前驅物即經由氣流入口660及/或670而被引至製程腔室624中。
射頻功率自RF電源641供應至線圈633,以使RF電流流經線圈633。流經線圈633的RF電流在線圈633的周圍產生電磁場。電磁場在上部子腔室602中產生感應電流。各種所產生的離子及自由基與晶圓619間的物理及化學交互作用會蝕刻晶圓619的特徵部並在晶圓619上沉積層。
揮發性蝕刻及/或沉積副產物可透過埠口622自下部子腔室603移除。本說明書中所揭露的卡盤617可在範圍介於約10°C與約250°C之間的升高溫度下操作。該溫度將取決於製程操作與特定配方。
當設備600安裝於無塵室或製造設施中時,設備600可耦接至設施(未顯示)。設施包含管路系統,其可提供處理氣體、真空、溫度控制、及環境微粒控制。當此等設施安裝在目標製造設施中時,此等設施係耦接至設備600。此外,設備600可耦接至傳送腔室,其容許機械臂使用一般自動化技術將半導體晶圓傳送進出設備600。
在若干實施例中,系統控制器630(其可包含一或更多實體或邏輯的控制器)控制製程腔室624的若干或全部的操作。系統控制器630可包含一或更多記憶體裝置及一或更多處理器。例如,記憶體可包含用以進行改質化學物(例如,含氯改質化學物)與移除氣體(例如,氬)的流動間之交替的指令,或用以引燃電漿或施加偏壓的指令。例如,記憶體可包含用以在某些操作期間設定約0 V與約200 V間之功率的偏壓的指令。在若干實施例中,設備600包含轉換系統,其用於在執行所揭露實施例時控制流率及持續期間。在若干實施例中,設備600可具有上達約500 ms、或上達約750 ms的轉換時間。轉換時間可取決於流動化學、所選擇的配方、反應器的架構、及其他因素。
在若干實施例中,可將所揭露實施例整合於多站序列式沉積 (MSSD, Multi-Station-Sequential-Deposition)腔室架構上,其中沉積站其中一者可由ALE站所取代,以容許整合之沉積/蝕刻/沉積製程可使用類似的化學物,以達到較佳的填充及更快速的產量能力。
在若干實施例中,系統控制器630係為系統的部分,該系統可為上述範例的部分。此類系統可包含半導體處理設備,含一或複數處理工具、一或複數腔室、用於處理的一或複數工作台、及/或特定處理元件(晶圓基座、氣流系統等)。該等系統可與電子裝置整合,以於半導體晶圓或基板之處理前、處理期間、及處理後控制其操作。可將該等電子裝置整合至系統控制器630中,其可控制一或複數系統的各種元件或子部件。依據處理參數及/或系統之類型,可將系統控制器630程式化以控制本說明書中所揭露之製程的任一者,包含製程氣體之輸送、溫度設定(如:加熱及/或冷卻)、壓力設定、真空設定、功率設定、射頻(RF, radio frequency)產生器設定、RF匹配電路設定、頻率設定、流率設定、流體輸送設定、位置及操作設定、進出工具及連接至特定系統或與特定系統介面接合的其他傳送工具及/或負載鎖室之晶圓傳送。
廣泛而言,可將系統控制器630定義為具有接收指令、發送指令、控制操作、允許清潔操作、允許端點量測等之各種積體電路、邏輯、記憶體、及/或軟體的電子設備。該積體電路可包含儲存程式指令的韌體形式之晶片、數位信號處理器(DSPs, digital signal processors)、定義為特殊應用積體電路(ASICs, application specific integrated circuits)之晶片、及/或執行程式指令(如:軟體)之一或更多的微處理器或微控制器。程式指令可為以各種個別設定(或程式檔案)之形式傳送到控制器的指令,其定義用以在半導體晶圓上、或針對半導體晶圓、或對系統執行特定製程的操作參數。在若干實施例中,該操作參數可為由製程工程師所定義之配方的部分,該配方係用以在晶圓之一或更多的層、材料、金屬、氧化物、矽、二氧化矽、表面、電路、及/或晶粒的製造或移除期間,完成一或更多的處理步驟。
在若干實施中,系統控制器630可為電腦的部分或耦接至電腦,該電腦係與系統整合、耦接至系統、或透過網路連接至系統、或上述之組合。例如,控制器係可位於「雲端」、或為晶圓廠主機電腦系統的全部或部分,其可允許晶圓處理之遠端存取。該電腦能達成對該系統之遠端存取,以監視製造操作之目前製程、查看過去製造操作之歷史、查看來自許多製造操作之趨勢或性能指標,來改變目前處理之參數,以設定處理步驟來接續目前的處理、或開始新的製程。在若干範例中,遠端電腦(如:伺服器)可透過網路將製程配方提供給系統,該網路可包含區域網路或網際網路。該遠端電腦可包含可達成參數及/或設定之輸入或編程的使用者介面,該等參數或設定接著自該遠端電腦傳送至該系統。在若干範例中,系統控制器630接收資料形式之指令,在一或更多的操作期間,其針對該待執行的處理步驟之各者而指定參數。應瞭解,該等參數可特定於待執行之製程的類型、及工具(控制器係配置成與該工具介面接合或控制該工具)的類型。因此,如上所述,系統控制器630可分散,例如藉由包含一或更多的分離的控制器,其透過網路連接在一起並朝共同的目標而作業,例如本說明書中所敘述之製程及控制。用於此類目的之分開的控制器之範例可為腔室上之一或更多的積體電路,其與位於遠端(例如為平台等級、或為遠端電腦的部分)之一或更多的積體電路連通,其結合以控制該腔室上的製程。
範例系統可包含(但不限於)電漿蝕刻腔室或模組、沉積腔室或模組、旋轉沖洗腔室或模組、金屬電鍍腔室或模組、潔淨腔室或模組、斜邊蝕刻腔室或模組、物理氣相沉積(PVD, physical vapor deposition)腔室或模組、化學氣相沉積(CVD, chemical vapor deposition)腔室或模組、ALD腔室或模組、ALE腔室或模組、離子植入腔室或模組、徑跡腔室或模組、及可與半導體晶圓之製造及/或生產有關或用於其中的任何其他半導體處理系統。
如上所述,依據待由工具執行之製程步驟(或複數製程步驟),控制器可與下列一或多者通訊:其他工具電路或模組、其他工具元件、群集工具、其他工具介面、鄰接工具、附近工具、位於整個工廠的工具、主要電腦、另一控制器、或將晶圓之容器帶往或帶離半導體製造廠中的工具位置及/或載入埠的用於材料傳送之工具。
圖7描繪半導體製程群集架構,其具有與真空傳送模組(VTM, vacuum transfer module)738接合的各種模組。用以在複數儲存設備與處理模組之間「傳送」晶圓的各種模組的配置,可稱為「群集工具架構」系統。氣鎖室730(亦稱為負載鎖室或傳送模組)與VTM 738介面接合,而VTM 738與四個處理模組720a-720d介面接合,處理模組720a-720d可被個別最佳化以執行各種製程。舉例而言,可實施處理模組720a-720d,以執行基板蝕刻、沉積、離子植入、晶圓清洗、濺射、及/或其他半導體製程。在若干實施例中,ALD與ALE係在相同模組中執行。在若干實施例中,ALD與ALE係在相同工具的不同模組中執行。可實施基板蝕刻處理模組其中一或更多者(720a-720d之任一者),如本說明書中所揭露般,亦即,用於沉積保形薄膜、藉由ALE方向性地蝕刻薄膜、蝕刻圖案、以及根據所揭露實施例的其他合適的功能。可將氣鎖室730與處理模組720a-720d稱為「站」。各個站具有面(facet)736,面736將站與VTM 738介面接合。在各個面內,感測器1-18係用以在晶圓726於個別的站之間移動時偵測通過的晶圓726。
機械臂722在站之間傳送晶圓726。在一實施例中,機械臂722具有一個臂部,而在另一實施例中,機械臂722具有兩個臂部,其中各臂部具有用以拾取進行傳送之晶圓(例如晶圓726)的末端效應器724。位於大氣傳送模組(ATM, atmospheric transfer module)740中的前端機械臂732係用以將晶圓726自負載埠模組(LPM, Load Port Module)742中的卡匣或前開式晶圓傳送盒(FOUP)734傳送到氣鎖室730。處理模組720a-720d中的模組中心728為用於放置晶圓726的位置。ATM740中的對準器744係用以對準晶圓。
在例示性處理方法中,晶圓係放置在LPM742中的FOUPs734其中一者中。前端機械臂732將該晶圓自FOUP734傳送至對準器744,對準器744使晶圓726能夠在蝕刻或處理之前適當地置於中心。在對準之後,晶圓726係藉由前端機械臂732而移至氣鎖室730中。因氣鎖室730具有使ATM740與VTM738間之環境一致的能力,所以晶圓726能夠在兩壓力環境間移動而不受損害。晶圓726係藉由機械臂722自氣鎖室模組730、經過VTM 738、而移至處理模組720a-720d其中一者中。為達成此晶圓移動,機械臂722使用位於其各臂部上的末端效應器724。一旦晶圓726經處理後,其藉由機械臂722自處理模組720a-720d移至氣鎖室730。晶圓726可藉由前端機械臂732自氣鎖室730移至FOUPs734其中一者,或移至對準器744。
應注意,控制基板移動的電腦可位於群集架構的局部中、或可位於生產樓層中之群集架構的外部、或位於遠端位置且透過網路而連接至群集架構。參考圖6描述於前文的控制器可與圖7中的工具一起實施。實驗
實驗1
標繪相對於氯化偏壓功率之鎢的蝕刻速率,其中針對存在氯吸附且無氬離子轟擊之情況下的蝕刻,以及針對存在氯吸附且存在氬離子轟擊之情況下的原子層蝕刻(ALE, atomic layer etch)製程。結果標繪於圖8中。虛線描繪相對於氯化偏壓(例如,氯吸附期間的偏壓功率)之鎢的蝕刻速率,其係針對涉及在900 W下吸附氯及引燃電漿、且無氬離子轟擊的製程。實線描繪相對於氯化偏壓之鎢的蝕刻速率,其係針對涉及在900 W下吸附氯及引燃電漿、之後以60 V的偏壓功率進行氬轟擊的製程。如圖8中所示,氯化偏壓臨界電壓係為約60 V。注意氯化偏壓小於60 V之處,若不使用氬之離子轟擊則鎢不會受到蝕刻。氯化偏壓大於60 V之處,不存在氬之離子轟擊的鎢之蝕刻速率係遠低於存在氬離子轟擊之製程的鎢之蝕刻速率。此等結果顯示,在各種實施例中,氬離子轟擊可用以調整利用ALE方法蝕刻鎢的速率,藉此1) 在氯化期間,在不進行蝕刻的情況下,氯吸附於鎢基板上,以及2) 藉由將偏壓功率設定為低於濺射臨界值,氬之離子轟擊期間的偏壓功率係受到控制以減少或防止物理性移除(或濺射)。
實驗2
在具有待以鎢填充之特徵部的基板上進行實驗。特徵部係以氮化鈦(TiN)阻障層作為襯墊。鎢在特徵部之表面上成核,且鎢係藉由原子層沉積法而沉積(WF6
及B2
H6
之交替脈衝)。圖9A顯示基板910中的20 nm特徵部912,其以TiN阻障層914及保形鎢層916為襯墊。開口918a係顯示於特徵部之頂部。
圖9A中的基板係暴露於10個循環的ALE,其涉及交替下列脈衝: (1)在60°C、存在900 W的原位感應耦合電漿功率、且無偏壓的情況下之 Cl2
/BCl3
,以及(2)在60°C、存在300 W之電漿及60 Vb之偏壓、於較(1)更低的壓力之情況下之氬氣。所造成之基板顯示於圖9B中。注意開口918b被打開以藉此使鎢後續能沉積至特徵部中,以完全填充特徵部。以下表1顯示沉積於基板之各種部分及凹槽開口中的鎢之厚度的量測值,以及TiN阻障物之平均厚度。量測值係以奈米來顯示。[ 表 1 ]
ALE之前及之後的量測值
量測值 (nm) | ALE 之前 | 10 個循環的ALE | ||
nm | nm | nm/ 循環 | ||
鎢膜的厚度 | 頂部表面 | 6.9 | 3.3 | 0.36 |
頂部角落 | 6.1 | 3.0 | 0.31 | |
凹槽側壁,1/6凹槽深度 | 5.9 | 4.4 | 0.15 | |
凹槽側壁,1/3凹槽深度 | 5.8 | 5.0 | 0.08 | |
凹槽側壁,7/8凹槽深度 | 5.9 | 5.9 | 0.00 | |
凹槽底部 | 5.7 | 5.3 | 0.04 | |
平均TiN阻障物 | 3.0 | 3.0 |
基板係進一步暴露於多5個循環的ALE,其涉及交替下列脈衝: (1)在60°C、存在900 W的原位感應耦合電漿功率、且無偏壓的情況下之Cl2
/BCl3
,以及(2)在60°C、存在300 W之電漿及60 Vb之偏壓、於較(1)更低的壓力之情況下之氬氣。所造成之量測值顯示於以下表2中。[ 表 2 ]
ALE之前及之後的量測值
量測值 (nm) | ALE 之前 | 15 個循環的ALE | ||
nm | nm | nm/ 循環 | ||
鎢膜的厚度 | 頂部表面 | 6.9 | 2.0 | 0.49 |
頂部角落 | 6.1 | 1.4 | 0.47 | |
凹槽側壁,1/6凹槽深度 | 5.9 | 4.1 | 0.18 | |
凹槽側壁,1/3凹槽深度 | 5.8 | 3.9 | 0.19 | |
凹槽側壁,7/8凹槽深度 | 5.9 | 5.6 | 0.03 | |
凹槽底部 | 5.7 | 5.0 | 0.07 | |
平均TiN阻障物 | 3.0 | 3.0 |
此等結果顯示,所揭露之實施例容許依據循環的數量、參數、及其他因素準確地控制所蝕刻之鎢膜的量。例如,要蝕刻較多的鎢,可執行較多循環。表2中的結果顯示,由於ALE製程而使若干鎢凹陷,但後續鎢的沉積循環可補償ALE中所蝕刻的鎢。TiN阻障物仍存在基板上,而ALE的蝕刻循環可受到調整以確保特徵部的表面上仍有足夠的鎢,以不暴露TiN阻障層。結論
儘管已為了清楚理解之目的而詳加敘述前述實施例,但顯而易見的,在所附請求項之範圍內,可實施某些變更及修改。應注意,實施本實施例之製程、系統、及設備有許多替代方式。因此,應將本發明實施例視為說明性的,而非限制性的,且不將該等實施例限於本說明書中所提出的細節。
171a-171e:步驟
172a-172e:步驟
210:基板
212:特徵部
214:TiN阻障層
216:鎢
218a:開口
218b:開口
301:操作
303:操作
305:操作
307:操作
315:操作
335:操作
503A:鎢的CVD階段
503B:鎢的CVD階段
505A:蝕刻循環
505B:蝕刻循環
515A:表面改質
515B:表面改質
520A:沉積循環
520B:沉積循環
525A:吹淨階段
525B:吹淨階段
535A:移除階段
535B:移除階段
545A:吹淨階段
545B:吹淨階段
600:設備
601:腔室壁
602:子腔室
603:子腔室
611:窗
617:卡盤
619:晶圓
621:匹配電路
622:埠口
623:RF電源
624:製程腔室
625:連接件
627:連接件
630:系統控制器
633:線圈
639:匹配電路
640:泵浦
641:RF電源
643:連接件
645:連接件
649:法拉第屏蔽
650:柵
660:氣流入口
670:氣流入口
720a-720d/720:處理模組
722:機械臂
724:末端效應器
726:晶圓
728:模組中心
730:氣鎖室/氣鎖室模組
732:前端機械臂
734:前開式晶圓傳送盒
736:面
738:真空傳送模組
740:大氣傳送模組
742:負載埠模組
744:對準器
910:基板
912:特徵部
914:TiN阻障層
916:保形鎢層
918a:開口
918b:開口
圖1係為基板上之膜的原子層蝕刻之範例的示意圖解。
圖2係為經歷某些所揭露實施例的操作之特徵部的示意圖解。
圖3係為製程流程圖,其描繪依據某些所揭露實施例所執行的操作。
圖4係為所計算之使用氬離子垂直入射之鎢的濺射產率之曲線圖。
圖5係為時序方案圖,其描繪依據某些所揭露實施例而執行的操作之範例。
圖6係為用於執行某些所揭露實施例之範例製程腔室的示意圖。
圖7係為用於執行某些所揭露實施例之範例製程設備的示意圖。
圖8係為針對相對於氯化偏壓功率之鎢的蝕刻速率所收集的實驗數據之曲線圖。
圖9A係為具有鎢的特徵部之影像。
圖9B係為具有依據某些所揭露實施例所沉積之鎢的特徵部之影像。
503A:鎢的CVD階段
503B:鎢的CVD階段
505A:蝕刻循環
505B:蝕刻循環
515A:表面改質
515B:表面改質
520A:沉積循環
520B:沉積循環
525A:吹淨階段
525B:吹淨階段
535A:移除階段
535B:移除階段
545A:吹淨階段
545B:吹淨階段
Claims (20)
- 一種製造半導體元件的方法,該方法包含: (a) 藉由將一含金屬氣體與一反應氣體交替地供給至在其一表面上形成有一第一金屬膜之一基板上,在該基板上形成一第二金屬膜; (b)供給一改質氣體至該基板上,以在供給一蝕刻氣體之前將形成在該基板上的該第二金屬膜改質;及 (c)供給一蝕刻氣體至該基板上,以移除該第二金屬膜的一些部分且允許該第二金屬膜的其他部分留存於該第一金屬膜之上,其中該第二金屬膜的一些部分係藉由交替地重複(b)與(c)加以移除,其中該第二金屬膜係藉由交替地重複(a)與(c)而加以生長在該第一金屬膜之上。
- 如請求項1之製造半導體元件的方法,其中該改質氣體包含氧化氣體或氮化氣體。
- 如請求項2之製造半導體元件的方法,其中該蝕刻氣體包含鹵化物。
- 如請求項1之製造半導體元件的方法,其中該第二金屬膜包含鎢膜或氮化鎢膜。
- 如請求項1之製造半導體元件的方法,其中該含金屬氣體包含鎢氟化物,該反應氣體包含選自由二硼烷、單矽烷及二矽烷所組成群組的一者,且該第二金屬膜包含鎢膜。
- 如請求項1之製造半導體元件的方法,其中該基板包含一個以上的下方層,該等下方層包含介電層及金屬層。
- 如請求項1之製造半導體元件的方法,其中該反應氣體包含還原氣體,其中該還原氣體包含氫、二硼烷、單矽烷、二矽烷、或其組合。
- 如請求項1之製造半導體元件的方法,其中該第二金屬膜係沉積在該基板的一特徵部之上,其中該特徵部具有至少約10:1的縱橫比。
- 如請求項8之製造半導體元件的方法,其中供給該改質氣體的操作包含:相對於該特徵部之內部,在該特徵部之開口或其附近方向性地改質該第二金屬膜;且其中供給該蝕刻氣體的操作包含:相對於該特徵部之內部,在該特徵部之開口或其附近方向性地蝕刻該第二金屬膜。
- 如請求項1之製造半導體元件的方法,其中操作(a)、(b)、及(c)係在不破壞真空之情況下執行。
- 一種基板處理設備,包含: 一製程腔室,一基板係容納於其中; 一氣體供給系統,建構以將一含金屬氣體、一反應氣體、一改質氣體、及一蝕刻氣體供給進入該製程腔室;及 一控制器,建構以控制該氣體供給系統以執行: (a) 藉由將該含金屬氣體與該反應氣體交替地供給至在其一表面上形成有一第一金屬膜之該基板上,而在該基板上形成一第二金屬膜; (b) 供給一改質氣體至該基板上,以在供給一蝕刻氣體之前將形成在該基板上的該第二金屬膜改質;及 (c) 供給該蝕刻氣體至該基板上,以移除該第二金屬膜的一些部分且允許該第二金屬膜的其他部分留存於該第一金屬膜之上,其中該第二金屬膜的一些部分係藉由交替地重複(b)與(c)加以移除,其中該第二金屬膜係藉由交替地重複(a)與(c)而加以生長在該第一金屬膜之上。
- 如請求項11之基板處理設備,其中該氣體供給系統係建構以供給氧化氣體或氮化氣體作為該改質氣體。
- 如請求項12之基板處理設備,其中該氣體供給系統係建構以供給鹵化物作為該蝕刻氣體。
- 如請求項11之基板處理設備,其中該第二金屬膜包含鎢膜或氮化鎢膜。
- 如請求項11之基板處理設備,其中該含金屬氣體包含鎢氟化物,該反應氣體包含選自由二硼烷、單矽烷及二矽烷所組成群組的一者,且該第二金屬膜包含鎢膜。
- 如請求項11之基板處理設備,其中該基板包含一個以上的下方層,該等下方層包含介電層及金屬層。
- 如請求項11之基板處理設備,其中該反應氣體包含還原氣體,其中該還原氣體包含氫、二硼烷、單矽烷、二矽烷、或其組合。
- 如請求項11之基板處理設備,其中該第二金屬膜係沉積在該基板的一特徵部之上,其中該特徵部具有至少約10:1的縱橫比。
- 如請求項18之基板處理設備,其中建構以執行供給該改質氣體的操作之該控制器係建構以執行:相對於該特徵部之內部,在該特徵部之開口或其附近方向性地改質該第二金屬膜;且其中建構以執行供給該蝕刻氣體的操作之該控制器係建構以執行:相對於該特徵部之內部,在該特徵部之開口或其附近方向性地蝕刻該第二金屬膜。
- 如請求項11之基板處理設備,其中該控制器係建構以在不破壞真空之情況下執行操作(a)、(b)、及(c)。
Applications Claiming Priority (4)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US201562202670P | 2015-08-07 | 2015-08-07 | |
US62/202,670 | 2015-08-07 | ||
US14/830,683 US9972504B2 (en) | 2015-08-07 | 2015-08-19 | Atomic layer etching of tungsten for enhanced tungsten deposition fill |
US14/830,683 | 2015-08-19 |
Publications (2)
Publication Number | Publication Date |
---|---|
TW202141696A true TW202141696A (zh) | 2021-11-01 |
TWI828995B TWI828995B (zh) | 2024-01-11 |
Family
ID=58053530
Family Applications (3)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW110125242A TWI828995B (zh) | 2015-08-07 | 2016-08-03 | 用於增強的鎢沉積填充之鎢的原子層蝕刻 |
TW105124539A TWI814696B (zh) | 2015-08-07 | 2016-08-03 | 用於增強的鎢沉積填充之鎢的原子層蝕刻 |
TW111100554A TWI840731B (zh) | 2015-08-07 | 2016-08-03 | 用於增強的鎢沉積填充之鎢的原子層蝕刻 |
Family Applications After (2)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW105124539A TWI814696B (zh) | 2015-08-07 | 2016-08-03 | 用於增強的鎢沉積填充之鎢的原子層蝕刻 |
TW111100554A TWI840731B (zh) | 2015-08-07 | 2016-08-03 | 用於增強的鎢沉積填充之鎢的原子層蝕刻 |
Country Status (6)
Country | Link |
---|---|
US (5) | US9972504B2 (zh) |
JP (1) | JP2017053024A (zh) |
KR (6) | KR102312951B1 (zh) |
CN (2) | CN106449360A (zh) |
SG (1) | SG10201606450VA (zh) |
TW (3) | TWI828995B (zh) |
Cited By (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US11721558B2 (en) | 2016-12-19 | 2023-08-08 | Lam Research Corporation | Designer atomic layer etching |
Families Citing this family (171)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
TWI602283B (zh) | 2012-03-27 | 2017-10-11 | 諾發系統有限公司 | 鎢特徵部塡充 |
US9373517B2 (en) | 2012-08-02 | 2016-06-21 | Applied Materials, Inc. | Semiconductor processing with DC assisted RF power for improved control |
US9132436B2 (en) | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
US9362130B2 (en) | 2013-03-01 | 2016-06-07 | Applied Materials, Inc. | Enhanced etching processes using remote plasma sources |
US9309598B2 (en) | 2014-05-28 | 2016-04-12 | Applied Materials, Inc. | Oxide and metal removal |
US9966240B2 (en) | 2014-10-14 | 2018-05-08 | Applied Materials, Inc. | Systems and methods for internal surface conditioning assessment in plasma processing equipment |
US9355922B2 (en) | 2014-10-14 | 2016-05-31 | Applied Materials, Inc. | Systems and methods for internal surface conditioning in plasma processing equipment |
US11637002B2 (en) | 2014-11-26 | 2023-04-25 | Applied Materials, Inc. | Methods and systems to enhance process uniformity |
US10224210B2 (en) | 2014-12-09 | 2019-03-05 | Applied Materials, Inc. | Plasma processing system with direct outlet toroidal plasma source |
US10573496B2 (en) | 2014-12-09 | 2020-02-25 | Applied Materials, Inc. | Direct outlet toroidal plasma source |
US11257693B2 (en) | 2015-01-09 | 2022-02-22 | Applied Materials, Inc. | Methods and systems to improve pedestal temperature control |
US9576811B2 (en) | 2015-01-12 | 2017-02-21 | Lam Research Corporation | Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch) |
US9728437B2 (en) | 2015-02-03 | 2017-08-08 | Applied Materials, Inc. | High temperature chuck for plasma processing systems |
US20160225652A1 (en) | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
US9806252B2 (en) | 2015-04-20 | 2017-10-31 | Lam Research Corporation | Dry plasma etch method to pattern MRAM stack |
US9870899B2 (en) | 2015-04-24 | 2018-01-16 | Lam Research Corporation | Cobalt etch back |
US9741593B2 (en) | 2015-08-06 | 2017-08-22 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
US9691645B2 (en) | 2015-08-06 | 2017-06-27 | Applied Materials, Inc. | Bolted wafer chuck thermal management systems and methods for wafer processing systems |
US9349605B1 (en) | 2015-08-07 | 2016-05-24 | Applied Materials, Inc. | Oxide etch selectivity systems and methods |
US9972504B2 (en) * | 2015-08-07 | 2018-05-15 | Lam Research Corporation | Atomic layer etching of tungsten for enhanced tungsten deposition fill |
US10096487B2 (en) | 2015-08-19 | 2018-10-09 | Lam Research Corporation | Atomic layer etching of tungsten and other metals |
US9978610B2 (en) | 2015-08-21 | 2018-05-22 | Lam Research Corporation | Pulsing RF power in etch process to enhance tungsten gapfill performance |
US10504700B2 (en) | 2015-08-27 | 2019-12-10 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
US9984858B2 (en) | 2015-09-04 | 2018-05-29 | Lam Research Corporation | ALE smoothness: in and outside semiconductor industry |
CN107026113B (zh) * | 2016-02-02 | 2020-03-31 | 中芯国际集成电路制造(上海)有限公司 | 半导体装置的制造方法和系统 |
US10115601B2 (en) * | 2016-02-03 | 2018-10-30 | Tokyo Electron Limited | Selective film formation for raised and recessed features using deposition and etching processes |
US10727073B2 (en) | 2016-02-04 | 2020-07-28 | Lam Research Corporation | Atomic layer etching 3D structures: Si and SiGe and Ge smoothness on horizontal and vertical surfaces |
US10229837B2 (en) * | 2016-02-04 | 2019-03-12 | Lam Research Corporation | Control of directionality in atomic layer etching |
US9991128B2 (en) | 2016-02-05 | 2018-06-05 | Lam Research Corporation | Atomic layer etching in continuous plasma |
US10269566B2 (en) | 2016-04-29 | 2019-04-23 | Lam Research Corporation | Etching substrates using ale and selective deposition |
US10504754B2 (en) | 2016-05-19 | 2019-12-10 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US10522371B2 (en) | 2016-05-19 | 2019-12-31 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US9865484B1 (en) | 2016-06-29 | 2018-01-09 | Applied Materials, Inc. | Selective etch using material modification and RF pulsing |
US9837312B1 (en) * | 2016-07-22 | 2017-12-05 | Lam Research Corporation | Atomic layer etching for enhanced bottom-up feature fill |
US10269926B2 (en) * | 2016-08-24 | 2019-04-23 | Taiwan Semiconductor Manufacturing Company, Ltd. | Purging deposition tools to reduce oxygen and moisture in wafers |
JP6759004B2 (ja) * | 2016-08-29 | 2020-09-23 | 東京エレクトロン株式会社 | 被処理体を処理する方法 |
US10566211B2 (en) | 2016-08-30 | 2020-02-18 | Lam Research Corporation | Continuous and pulsed RF plasma for etching metals |
US10062575B2 (en) | 2016-09-09 | 2018-08-28 | Applied Materials, Inc. | Poly directional etch by oxidation |
US10629473B2 (en) | 2016-09-09 | 2020-04-21 | Applied Materials, Inc. | Footing removal for nitride spacer |
US9934942B1 (en) | 2016-10-04 | 2018-04-03 | Applied Materials, Inc. | Chamber with flow-through source |
US10062585B2 (en) | 2016-10-04 | 2018-08-28 | Applied Materials, Inc. | Oxygen compatible plasma source |
US10546729B2 (en) | 2016-10-04 | 2020-01-28 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
US10062579B2 (en) | 2016-10-07 | 2018-08-28 | Applied Materials, Inc. | Selective SiN lateral recess |
US9947549B1 (en) | 2016-10-10 | 2018-04-17 | Applied Materials, Inc. | Cobalt-containing material removal |
US9768034B1 (en) | 2016-11-11 | 2017-09-19 | Applied Materials, Inc. | Removal methods for high aspect ratio structures |
US10163696B2 (en) * | 2016-11-11 | 2018-12-25 | Applied Materials, Inc. | Selective cobalt removal for bottom up gapfill |
US10242908B2 (en) | 2016-11-14 | 2019-03-26 | Applied Materials, Inc. | Airgap formation with damage-free copper |
US10026621B2 (en) | 2016-11-14 | 2018-07-17 | Applied Materials, Inc. | SiN spacer profile patterning |
US10566206B2 (en) | 2016-12-27 | 2020-02-18 | Applied Materials, Inc. | Systems and methods for anisotropic material breakthrough |
US10431429B2 (en) | 2017-02-03 | 2019-10-01 | Applied Materials, Inc. | Systems and methods for radial and azimuthal control of plasma uniformity |
US10403507B2 (en) | 2017-02-03 | 2019-09-03 | Applied Materials, Inc. | Shaped etch profile with oxidation |
US10043684B1 (en) | 2017-02-06 | 2018-08-07 | Applied Materials, Inc. | Self-limiting atomic thermal etching systems and methods |
US10319739B2 (en) | 2017-02-08 | 2019-06-11 | Applied Materials, Inc. | Accommodating imperfectly aligned memory holes |
US10943834B2 (en) | 2017-03-13 | 2021-03-09 | Applied Materials, Inc. | Replacement contact process |
JP6847202B2 (ja) * | 2017-03-31 | 2021-03-24 | 株式会社Kokusai Electric | 半導体装置の製造方法、基板処理装置およびプログラム |
US10319649B2 (en) | 2017-04-11 | 2019-06-11 | Applied Materials, Inc. | Optical emission spectroscopy (OES) for remote plasma monitoring |
US10559461B2 (en) | 2017-04-19 | 2020-02-11 | Lam Research Corporation | Selective deposition with atomic layer etch reset |
US10832909B2 (en) | 2017-04-24 | 2020-11-10 | Lam Research Corporation | Atomic layer etch, reactive precursors and energetic sources for patterning applications |
US9997371B1 (en) | 2017-04-24 | 2018-06-12 | Lam Research Corporation | Atomic layer etch methods and hardware for patterning applications |
US11276590B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
US11276559B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
US10622214B2 (en) | 2017-05-25 | 2020-04-14 | Applied Materials, Inc. | Tungsten defluorination by high pressure treatment |
US10950498B2 (en) | 2017-05-31 | 2021-03-16 | Applied Materials, Inc. | Selective and self-limiting tungsten etch process |
US10497579B2 (en) | 2017-05-31 | 2019-12-03 | Applied Materials, Inc. | Water-free etching methods |
US10049891B1 (en) | 2017-05-31 | 2018-08-14 | Applied Materials, Inc. | Selective in situ cobalt residue removal |
JP2020522130A (ja) | 2017-05-31 | 2020-07-27 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | 3d−nandデバイスでのワードライン分離のための方法 |
US10920320B2 (en) | 2017-06-16 | 2021-02-16 | Applied Materials, Inc. | Plasma health determination in semiconductor substrate processing reactors |
US10541246B2 (en) | 2017-06-26 | 2020-01-21 | Applied Materials, Inc. | 3D flash memory cells which discourage cross-cell electrical tunneling |
US10727080B2 (en) | 2017-07-07 | 2020-07-28 | Applied Materials, Inc. | Tantalum-containing material removal |
KR102370620B1 (ko) | 2017-07-10 | 2022-03-04 | 삼성전자주식회사 | 반도체 메모리 장치 및 도전체 구조물 |
US10541184B2 (en) | 2017-07-11 | 2020-01-21 | Applied Materials, Inc. | Optical emission spectroscopic techniques for monitoring etching |
US10354889B2 (en) | 2017-07-17 | 2019-07-16 | Applied Materials, Inc. | Non-halogen etching of silicon-containing materials |
US10043674B1 (en) | 2017-08-04 | 2018-08-07 | Applied Materials, Inc. | Germanium etching systems and methods |
US10170336B1 (en) | 2017-08-04 | 2019-01-01 | Applied Materials, Inc. | Methods for anisotropic control of selective silicon removal |
US10297458B2 (en) | 2017-08-07 | 2019-05-21 | Applied Materials, Inc. | Process window widening using coated parts in plasma etch processes |
CN111095513B (zh) | 2017-08-18 | 2023-10-31 | 应用材料公司 | 高压高温退火腔室 |
US10276411B2 (en) | 2017-08-18 | 2019-04-30 | Applied Materials, Inc. | High pressure and high temperature anneal chamber |
TWI778118B (zh) * | 2017-09-05 | 2022-09-21 | 美商應用材料股份有限公司 | 來自次氧化物的自對準結構 |
US10269559B2 (en) * | 2017-09-13 | 2019-04-23 | Lam Research Corporation | Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer |
US10763083B2 (en) * | 2017-10-06 | 2020-09-01 | Lam Research Corporation | High energy atomic layer etching |
US10128086B1 (en) | 2017-10-24 | 2018-11-13 | Applied Materials, Inc. | Silicon pretreatment for nitride removal |
US10424487B2 (en) | 2017-10-24 | 2019-09-24 | Applied Materials, Inc. | Atomic layer etching processes |
US10283324B1 (en) | 2017-10-24 | 2019-05-07 | Applied Materials, Inc. | Oxygen treatment for nitride etching |
CN117936417A (zh) | 2017-11-11 | 2024-04-26 | 微材料有限责任公司 | 用于高压处理腔室的气体输送系统 |
WO2019099255A2 (en) | 2017-11-17 | 2019-05-23 | Applied Materials, Inc. | Condenser system for high pressure processing system |
JP7018748B2 (ja) * | 2017-11-28 | 2022-02-14 | 東京エレクトロン株式会社 | 成膜方法及び成膜条件の算出方法 |
US10256112B1 (en) | 2017-12-08 | 2019-04-09 | Applied Materials, Inc. | Selective tungsten removal |
US10903054B2 (en) | 2017-12-19 | 2021-01-26 | Applied Materials, Inc. | Multi-zone gas distribution systems and methods |
US11328909B2 (en) | 2017-12-22 | 2022-05-10 | Applied Materials, Inc. | Chamber conditioning and removal processes |
US10854426B2 (en) | 2018-01-08 | 2020-12-01 | Applied Materials, Inc. | Metal recess for semiconductor structures |
TWI799494B (zh) | 2018-01-19 | 2023-04-21 | 荷蘭商Asm 智慧財產控股公司 | 沈積方法 |
US10679870B2 (en) | 2018-02-15 | 2020-06-09 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus |
US10964512B2 (en) | 2018-02-15 | 2021-03-30 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus and methods |
TWI716818B (zh) | 2018-02-28 | 2021-01-21 | 美商應用材料股份有限公司 | 形成氣隙的系統及方法 |
US10593560B2 (en) | 2018-03-01 | 2020-03-17 | Applied Materials, Inc. | Magnetic induction plasma source for semiconductor processes and equipment |
WO2019173006A1 (en) | 2018-03-09 | 2019-09-12 | Applied Materials, Inc. | High pressure annealing process for metal containing materials |
US10319600B1 (en) | 2018-03-12 | 2019-06-11 | Applied Materials, Inc. | Thermal silicon etch |
US10497573B2 (en) | 2018-03-13 | 2019-12-03 | Applied Materials, Inc. | Selective atomic layer etching of semiconductor materials |
US11217456B2 (en) | 2018-03-26 | 2022-01-04 | Intel Corporation | Selective etching and controlled atomic layer etching of transition metal oxide films for device fabrication |
EP3776636A4 (en) * | 2018-03-30 | 2021-12-22 | Lam Research Corporation | ATOMIC LAYER ENGRAVING AND SMOOTHING OF REFRACTORY METALS AND OTHER HIGH SURFACE BOND ENERGY MATERIALS |
US10573527B2 (en) | 2018-04-06 | 2020-02-25 | Applied Materials, Inc. | Gas-phase selective etching systems and methods |
US10572697B2 (en) | 2018-04-06 | 2020-02-25 | Lam Research Corporation | Method of etch model calibration using optical scatterometry |
WO2019199697A1 (en) | 2018-04-10 | 2019-10-17 | Lam Research Corporation | Resist and etch modeling |
US10490406B2 (en) | 2018-04-10 | 2019-11-26 | Appled Materials, Inc. | Systems and methods for material breakthrough |
KR102708927B1 (ko) | 2018-04-10 | 2024-09-23 | 램 리써치 코포레이션 | 피처들을 특징화하기 위한 머신 러닝의 광학 계측 |
US10699879B2 (en) | 2018-04-17 | 2020-06-30 | Applied Materials, Inc. | Two piece electrode assembly with gap for plasma control |
US10886137B2 (en) | 2018-04-30 | 2021-01-05 | Applied Materials, Inc. | Selective nitride removal |
WO2019213604A1 (en) * | 2018-05-03 | 2019-11-07 | Lam Research Corporation | Method of depositing tungsten and other metals in 3d nand structures |
KR20200141522A (ko) * | 2018-05-04 | 2020-12-18 | 어플라이드 머티어리얼스, 인코포레이티드 | 금속 필름들의 증착 |
US10950429B2 (en) | 2018-05-08 | 2021-03-16 | Applied Materials, Inc. | Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom |
KR102466724B1 (ko) * | 2018-06-19 | 2022-11-15 | 주식회사 원익아이피에스 | 박막 형성 방법 |
JP7126381B2 (ja) * | 2018-05-21 | 2022-08-26 | 東京エレクトロン株式会社 | 成膜装置および成膜方法 |
TWI740046B (zh) | 2018-05-28 | 2021-09-21 | 國立清華大學 | 原子層沉積方法及鈷金屬膜 |
WO2019246500A1 (en) | 2018-06-22 | 2019-12-26 | Applied Materials, Inc. | Catalyzed deposition of metal films |
US10755941B2 (en) | 2018-07-06 | 2020-08-25 | Applied Materials, Inc. | Self-limiting selective etching systems and methods |
US10872778B2 (en) | 2018-07-06 | 2020-12-22 | Applied Materials, Inc. | Systems and methods utilizing solid-phase etchants |
US10727046B2 (en) * | 2018-07-06 | 2020-07-28 | Lam Research Corporation | Surface modified depth controlled deposition for plasma based deposition |
US10672642B2 (en) | 2018-07-24 | 2020-06-02 | Applied Materials, Inc. | Systems and methods for pedestal configuration |
US10748783B2 (en) | 2018-07-25 | 2020-08-18 | Applied Materials, Inc. | Gas delivery module |
JP7542939B2 (ja) * | 2018-08-20 | 2024-09-02 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基材表面および関連する半導体デバイス構造上のギャップ特徴を充填するための方法 |
US10535523B1 (en) | 2018-08-30 | 2020-01-14 | Taiwan Semiconductor Manufacturing Co., Ltd. | Formation and in-situ etching processes for metal layers |
US11049755B2 (en) | 2018-09-14 | 2021-06-29 | Applied Materials, Inc. | Semiconductor substrate supports with embedded RF shield |
CN112740364B (zh) * | 2018-09-14 | 2024-02-27 | 株式会社国际电气 | 半导体装置的制造方法、基板处理装置和记录介质 |
US10892198B2 (en) | 2018-09-14 | 2021-01-12 | Applied Materials, Inc. | Systems and methods for improved performance in semiconductor processing |
US11062887B2 (en) | 2018-09-17 | 2021-07-13 | Applied Materials, Inc. | High temperature RF heater pedestals |
US11417534B2 (en) | 2018-09-21 | 2022-08-16 | Applied Materials, Inc. | Selective material removal |
US11682560B2 (en) | 2018-10-11 | 2023-06-20 | Applied Materials, Inc. | Systems and methods for hafnium-containing film removal |
JP7195106B2 (ja) * | 2018-10-12 | 2022-12-23 | 東京エレクトロン株式会社 | 成膜方法及び基板処理システム |
US11121002B2 (en) | 2018-10-24 | 2021-09-14 | Applied Materials, Inc. | Systems and methods for etching metals and metal derivatives |
US10930493B2 (en) | 2018-10-29 | 2021-02-23 | Applied Materials, Inc. | Linerless continuous amorphous metal films |
WO2020106649A1 (en) | 2018-11-19 | 2020-05-28 | Lam Research Corporation | Molybdenum templates for tungsten |
US11437242B2 (en) | 2018-11-27 | 2022-09-06 | Applied Materials, Inc. | Selective removal of silicon-containing materials |
WO2020117462A1 (en) | 2018-12-07 | 2020-06-11 | Applied Materials, Inc. | Semiconductor processing system |
JP2022513479A (ja) | 2018-12-14 | 2022-02-08 | ラム リサーチ コーポレーション | 3d nand構造上の原子層堆積 |
US11721527B2 (en) | 2019-01-07 | 2023-08-08 | Applied Materials, Inc. | Processing chamber mixing systems |
US10920319B2 (en) | 2019-01-11 | 2021-02-16 | Applied Materials, Inc. | Ceramic showerheads with conductive electrodes |
KR20210105439A (ko) * | 2019-01-15 | 2021-08-26 | 램 리써치 코포레이션 | 금속-프리 리간드들을 사용하는 금속 원자 층 에칭 및 증착 장치들과 프로세스들 |
WO2020159882A1 (en) | 2019-01-28 | 2020-08-06 | Lam Research Corporation | Deposition of metal films |
US10977405B2 (en) * | 2019-01-29 | 2021-04-13 | Lam Research Corporation | Fill process optimization using feature scale modeling |
WO2020185618A1 (en) | 2019-03-11 | 2020-09-17 | Lam Research Corporation | Precursors for deposition of molybdenum-containing films |
KR20210141762A (ko) | 2019-04-11 | 2021-11-23 | 램 리써치 코포레이션 | 고 단차 커버리지 (step coverage) 텅스텐 증착 |
KR20210151229A (ko) * | 2019-04-29 | 2021-12-13 | 램 리써치 코포레이션 | 서브트랙티브 (subtractive) 금속 에칭을 위한 원자 층 에칭 |
WO2020222853A1 (en) | 2019-05-01 | 2020-11-05 | Lam Research Corporation | Modulated atomic layer deposition |
WO2020230522A1 (ja) * | 2019-05-15 | 2020-11-19 | 昭和電工株式会社 | 金属除去方法、ドライエッチング方法、及び半導体素子の製造方法 |
CN114207858A (zh) * | 2019-07-31 | 2022-03-18 | 朗姆研究公司 | 用于mram图案化的化学蚀刻非挥发性材料 |
US11024537B2 (en) * | 2019-08-09 | 2021-06-01 | Applied Materials, Inc. | Methods and apparatus for hybrid feature metallization |
JP2022544931A (ja) | 2019-08-12 | 2022-10-24 | ラム リサーチ コーポレーション | タングステン堆積 |
US11101174B2 (en) | 2019-10-15 | 2021-08-24 | Applied Materials, Inc. | Gap fill deposition process |
JP7486588B2 (ja) * | 2020-01-16 | 2024-05-17 | インテグリス・インコーポレーテッド | エッチングまたは堆積のための方法 |
US11901222B2 (en) | 2020-02-17 | 2024-02-13 | Applied Materials, Inc. | Multi-step process for flowable gap-fill film |
CN115244666A (zh) * | 2020-03-06 | 2022-10-25 | 朗姆研究公司 | 钼的原子层蚀刻 |
US11776980B2 (en) * | 2020-03-13 | 2023-10-03 | Applied Materials, Inc. | Methods for reflector film growth |
KR102428642B1 (ko) * | 2020-06-01 | 2022-08-02 | 인하대학교 산학협력단 | 구리 박막의 건식 식각방법 |
WO2022025644A1 (ko) * | 2020-07-30 | 2022-02-03 | 주성엔지니어링(주) | 박막 형성 방법 |
KR20220030456A (ko) * | 2020-09-01 | 2022-03-11 | 삼성전자주식회사 | 반도체 장치 |
KR20220030455A (ko) * | 2020-09-01 | 2022-03-11 | 삼성전자주식회사 | 반도체 장치 |
CN116034456A (zh) | 2020-09-03 | 2023-04-28 | 应用材料公司 | 选择性各向异性金属蚀刻 |
US20230113514A1 (en) * | 2021-10-08 | 2023-04-13 | Applied Materials, Inc. | Methods for seamless gap filling using gradient oxidation |
TWI790028B (zh) | 2021-12-09 | 2023-01-11 | 財團法人工業技術研究院 | 沉積設備及沉積方法 |
US20230187355A1 (en) * | 2021-12-15 | 2023-06-15 | International Business Machines Corporation | Method to Produce Buried Nb Lines Surrounded by Ti |
CN117941038A (zh) * | 2021-12-28 | 2024-04-26 | 株式会社国际电气 | 衬底处理方法、半导体器件的制造方法、衬底处理装置及程序 |
US20230268223A1 (en) * | 2022-02-24 | 2023-08-24 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor devices and methods of manufacture |
JP2023146703A (ja) * | 2022-03-29 | 2023-10-12 | 東京エレクトロン株式会社 | 埋込方法及び基板処理システム |
US20230343643A1 (en) * | 2022-04-25 | 2023-10-26 | Applied Materials, Inc. | Gradient oxidation and etch for pvd metal as bottom liner in bottom up gap fill |
US20230420295A1 (en) * | 2022-06-22 | 2023-12-28 | Applied Materials, Inc. | Treatment of tungsten surface for tungsten gap-fill |
WO2024005892A1 (en) * | 2022-06-30 | 2024-01-04 | Applied Materials, Inc. | Plasma enhanced tungsten nucleation for low resistivity |
US20240055270A1 (en) * | 2022-08-11 | 2024-02-15 | Tokyo Electron Limited | Substrate processing with material modification and removal |
WO2024112078A1 (ko) * | 2022-11-21 | 2024-05-30 | 고려대학교 세종산학협력단 | 활성화된 프로톤 어시스트 플라즈마 식각을 포함하는 박막공정 방법 및 장치 |
KR102688218B1 (ko) * | 2023-10-31 | 2024-07-25 | 인하대학교 산학협력단 | 순환 식각을 이용한 코발트 박막의 식각 방법 |
Family Cites Families (263)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPS5416280B2 (zh) | 1971-12-30 | 1979-06-21 | ||
JPH061769B2 (ja) | 1983-08-10 | 1994-01-05 | 株式会社日立製作所 | アルミナ膜のパターニング方法 |
US4714520A (en) | 1985-07-25 | 1987-12-22 | Advanced Micro Devices, Inc. | Method for filling a trench in an integrated circuit structure without producing voids |
JPS62216224A (ja) | 1986-03-17 | 1987-09-22 | Fujitsu Ltd | タングステンの選択成長方法 |
US4713141A (en) | 1986-09-22 | 1987-12-15 | Intel Corporation | Anisotropic plasma etching of tungsten |
US4874723A (en) | 1987-07-16 | 1989-10-17 | Texas Instruments Incorporated | Selective etching of tungsten by remote and in situ plasma generation |
US5147500A (en) | 1987-07-31 | 1992-09-15 | Hitachi, Ltd. | Dry etching method |
US4891550A (en) | 1987-10-15 | 1990-01-02 | Duro-Test Corporation | Phosphor blend for broad spectrum fluorescent lamp |
US4997520A (en) | 1988-06-10 | 1991-03-05 | Texas Instruments Incorporated | Method for etching tungsten |
US5037775A (en) | 1988-11-30 | 1991-08-06 | Mcnc | Method for selectively depositing single elemental semiconductor material on substrates |
JPH02187031A (ja) | 1989-01-14 | 1990-07-23 | Sharp Corp | 半導体装置 |
US4988644A (en) | 1989-05-23 | 1991-01-29 | Texas Instruments Incorporated | Method for etching semiconductor materials using a remote plasma generator |
JP3019367B2 (ja) | 1990-06-21 | 2000-03-13 | 日本電気株式会社 | 半導体装置の製造方法 |
JPH04142061A (ja) | 1990-10-02 | 1992-05-15 | Sony Corp | タングステンプラグの形成方法 |
US5164330A (en) | 1991-04-17 | 1992-11-17 | Intel Corporation | Etchback process for tungsten utilizing a NF3/AR chemistry |
JPH05226280A (ja) | 1992-02-14 | 1993-09-03 | Matsushita Electric Ind Co Ltd | 半導体装置の製造方法 |
CA2067565C (en) | 1992-04-29 | 1999-02-16 | Ismail T. Emesh | Deposition of tungsten |
JPH06151382A (ja) | 1992-11-11 | 1994-05-31 | Toshiba Corp | ドライエッチング方法 |
DE4241045C1 (de) | 1992-12-05 | 1994-05-26 | Bosch Gmbh Robert | Verfahren zum anisotropen Ätzen von Silicium |
JPH06326060A (ja) | 1993-05-12 | 1994-11-25 | Hitachi Ltd | 固体表面加工方法 |
JP2881371B2 (ja) | 1993-09-20 | 1999-04-12 | 東京エレクトロン株式会社 | 真空処理装置及び真空処理装置集合体のクリーニング方法 |
US5616208A (en) | 1993-09-17 | 1997-04-01 | Tokyo Electron Limited | Vacuum processing apparatus, vacuum processing method, and method for cleaning the vacuum processing apparatus |
JP3014019B2 (ja) | 1993-11-26 | 2000-02-28 | 日本電気株式会社 | 半導体装置の製造方法 |
US5431774A (en) | 1993-11-30 | 1995-07-11 | Texas Instruments Incorporated | Copper etching |
KR0179677B1 (ko) | 1993-12-28 | 1999-04-15 | 사토 후미오 | 반도체장치 및 그 제조방법 |
JP3291889B2 (ja) | 1994-02-15 | 2002-06-17 | ソニー株式会社 | ドライエッチング方法 |
US6022806A (en) | 1994-03-15 | 2000-02-08 | Kabushiki Kaisha Toshiba | Method of forming a film in recess by vapor phase growth |
JPH0831935A (ja) | 1994-07-13 | 1996-02-02 | Nkk Corp | 半導体装置の製造方法 |
US5489552A (en) | 1994-12-30 | 1996-02-06 | At&T Corp. | Multiple layer tungsten deposition process |
JP2737764B2 (ja) | 1995-03-03 | 1998-04-08 | 日本電気株式会社 | 半導体装置及びその製造方法 |
JP3538970B2 (ja) | 1995-05-24 | 2004-06-14 | ヤマハ株式会社 | 配線形成法 |
JPH0922896A (ja) | 1995-07-07 | 1997-01-21 | Toshiba Corp | 金属膜の選択的形成方法 |
DE19681602T1 (de) | 1995-10-19 | 1998-11-26 | Massachusetts Inst Technology | Verfahren zum Entfernen von Metall |
US5747379A (en) | 1996-01-11 | 1998-05-05 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of fabricating seamless tungsten plug employing tungsten redeposition and etch back |
US5833817A (en) | 1996-04-22 | 1998-11-10 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for improving conformity and contact bottom coverage of sputtered titanium nitride barrier layers |
JP3511802B2 (ja) | 1996-05-27 | 2004-03-29 | ソニー株式会社 | 金属配線の形成方法 |
JPH09326436A (ja) | 1996-06-06 | 1997-12-16 | Sony Corp | 配線形成方法 |
US5677237A (en) | 1996-06-21 | 1997-10-14 | Taiwan Semiconductor Manufacturing Company Ltd. | Process for removing seams in tungsten plugs |
US5893758A (en) | 1996-06-26 | 1999-04-13 | Micron Technology, Inc. | Etching method for reducing cusping at openings |
US5963833A (en) | 1996-07-03 | 1999-10-05 | Micron Technology, Inc. | Method for cleaning semiconductor wafers and |
KR100214852B1 (ko) | 1996-11-02 | 1999-08-02 | 김영환 | 반도체 디바이스의 금속 배선 형성 방법 |
JP3869089B2 (ja) | 1996-11-14 | 2007-01-17 | 株式会社日立製作所 | 半導体集積回路装置の製造方法 |
JP2891952B2 (ja) | 1996-12-17 | 1999-05-17 | 芝浦メカトロニクス株式会社 | 半導体装置の製造方法 |
US6184158B1 (en) | 1996-12-23 | 2001-02-06 | Lam Research Corporation | Inductively coupled plasma CVD |
KR100239442B1 (ko) | 1996-12-26 | 2000-01-15 | 김영환 | 콘택홀 내의 전도성 플로그 형성방법 |
EP0856877A1 (en) | 1997-01-31 | 1998-08-05 | Texas Instruments Incorporated | Process for forming integrated circuits using multistep plasma etching |
JPH10256187A (ja) | 1997-03-14 | 1998-09-25 | Nippon Steel Corp | 半導体装置及びその製造方法 |
US5866483A (en) | 1997-04-04 | 1999-02-02 | Applied Materials, Inc. | Method for anisotropically etching tungsten using SF6, CHF3, and N2 |
US5807786A (en) | 1997-07-30 | 1998-09-15 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of making a barrier layer to protect programmable antifuse structure from damage during fabrication sequence |
TW359884B (en) | 1998-01-07 | 1999-06-01 | Nanya Technology Co Ltd | Multi-level interconnects with I-plug and production process therefor |
US6323132B1 (en) | 1998-01-13 | 2001-11-27 | Applied Materials, Inc. | Etching methods for anisotropic platinum profile |
EP1048064A1 (en) | 1998-01-13 | 2000-11-02 | Applied Materials, Inc. | Etching methods for anisotropic platinum profile |
US6110822A (en) | 1998-03-25 | 2000-08-29 | Taiwan Semiconductor Manufacturing Company | Method for forming a polysilicon-interconnect contact in a TFT-SRAM |
US6030881A (en) | 1998-05-05 | 2000-02-29 | Novellus Systems, Inc. | High throughput chemical vapor deposition process capable of filling high aspect ratio structures |
US6432830B1 (en) | 1998-05-15 | 2002-08-13 | Applied Materials, Inc. | Semiconductor fabrication process |
WO1999067056A1 (en) | 1998-06-23 | 1999-12-29 | Arch Specialty Chemicals, Inc. | Composition for the chemical mechanical polishing of metal layers |
US6140233A (en) | 1998-06-25 | 2000-10-31 | Samsung Electronics Co., Ltd. | Method of manufacturing semiconductor devices, etching compositions for manufacturing semiconductor devices, and semiconductor devices thereby |
TW436366B (en) | 1998-08-21 | 2001-05-28 | United Microelectronics Corp | Method of fabricating a plug |
US6177353B1 (en) | 1998-09-15 | 2001-01-23 | Infineon Technologies North America Corp. | Metallization etching techniques for reducing post-etch corrosion of metal lines |
US6245654B1 (en) | 1999-03-31 | 2001-06-12 | Taiwan Semiconductor Manufacturing Company, Ltd | Method for preventing tungsten contact/via plug loss after a backside pressure fault |
US6294468B1 (en) | 1999-05-24 | 2001-09-25 | Agere Systems Guardian Corp. | Method of chemical vapor depositing tungsten films |
US6503843B1 (en) | 1999-09-21 | 2003-01-07 | Applied Materials, Inc. | Multistep chamber cleaning and film deposition process using a remote plasma that also enhances film gap fill |
US8696875B2 (en) * | 1999-10-08 | 2014-04-15 | Applied Materials, Inc. | Self-ionized and inductively-coupled plasma for sputtering and resputtering |
US6458694B2 (en) | 2000-01-24 | 2002-10-01 | Ebara Corporation | High energy sputtering method for forming interconnects |
JP2001274114A (ja) | 2000-03-28 | 2001-10-05 | Toshiba Corp | 半導体装置の製造方法 |
JP3662472B2 (ja) | 2000-05-09 | 2005-06-22 | エム・エフエスアイ株式会社 | 基板表面の処理方法 |
JP2002009017A (ja) | 2000-06-22 | 2002-01-11 | Mitsubishi Electric Corp | 半導体装置の製造方法 |
JP2002016066A (ja) | 2000-06-27 | 2002-01-18 | Mitsubishi Electric Corp | 半導体装置およびその製造方法 |
US7964505B2 (en) | 2005-01-19 | 2011-06-21 | Applied Materials, Inc. | Atomic layer deposition of tungsten materials |
JP2002043201A (ja) | 2000-07-28 | 2002-02-08 | Mitsubishi Electric Corp | 半導体装置の製造方法及び半導体装置 |
US6527855B2 (en) | 2000-10-10 | 2003-03-04 | Rensselaer Polytechnic Institute | Atomic layer deposition of cobalt from cobalt metallorganic compounds |
US20020058409A1 (en) | 2000-11-16 | 2002-05-16 | Ching-Te Lin | Elimination of overhang in liner/barrier/seed layers using post-deposition sputter etch |
KR100399417B1 (ko) | 2001-01-08 | 2003-09-26 | 삼성전자주식회사 | 반도체 집적 회로의 제조 방법 |
US6376376B1 (en) | 2001-01-16 | 2002-04-23 | Chartered Semiconductor Manufacturing Ltd. | Method to prevent CU dishing during damascene formation |
KR20020072996A (ko) | 2001-03-14 | 2002-09-19 | 주성엔지니어링(주) | 금속 플러그 형성방법 |
US6448192B1 (en) | 2001-04-16 | 2002-09-10 | Motorola, Inc. | Method for forming a high dielectric constant material |
US6755945B2 (en) | 2001-05-04 | 2004-06-29 | Tokyo Electron Limited | Ionized PVD with sequential deposition and etching |
US7005372B2 (en) | 2003-01-21 | 2006-02-28 | Novellus Systems, Inc. | Deposition of tungsten nitride |
US7955972B2 (en) | 2001-05-22 | 2011-06-07 | Novellus Systems, Inc. | Methods for growing low-resistivity tungsten for high aspect ratio and small features |
US7589017B2 (en) | 2001-05-22 | 2009-09-15 | Novellus Systems, Inc. | Methods for growing low-resistivity tungsten film |
US6635965B1 (en) | 2001-05-22 | 2003-10-21 | Novellus Systems, Inc. | Method for producing ultra-thin tungsten layers with improved step coverage |
US7141494B2 (en) | 2001-05-22 | 2006-11-28 | Novellus Systems, Inc. | Method for reducing tungsten film roughness and improving step coverage |
JP2002353161A (ja) | 2001-05-25 | 2002-12-06 | Mitsubishi Electric Corp | 半導体装置の製造方法及び半導体装置 |
JP3822804B2 (ja) | 2001-06-18 | 2006-09-20 | 株式会社日立製作所 | 半導体装置の製造方法 |
US6686278B2 (en) | 2001-06-19 | 2004-02-03 | United Microelectronics Corp. | Method for forming a plug metal layer |
US8110489B2 (en) | 2001-07-25 | 2012-02-07 | Applied Materials, Inc. | Process for forming cobalt-containing materials |
US9051641B2 (en) * | 2001-07-25 | 2015-06-09 | Applied Materials, Inc. | Cobalt deposition on barrier surfaces |
US7115516B2 (en) | 2001-10-09 | 2006-10-03 | Applied Materials, Inc. | Method of depositing a material layer |
JP2003142484A (ja) | 2001-10-31 | 2003-05-16 | Mitsubishi Electric Corp | 半導体装置の製造方法 |
US6872323B1 (en) | 2001-11-01 | 2005-03-29 | Novellus Systems, Inc. | In situ plasma process to remove fluorine residues from the interior surfaces of a CVD reactor |
KR100437455B1 (ko) | 2001-12-10 | 2004-06-23 | 삼성전자주식회사 | 반도체 장치 형성 방법 |
KR20030058853A (ko) | 2002-01-02 | 2003-07-07 | 주식회사 하이닉스반도체 | 반도체 소자의 플러그 형성 방법 |
US6828226B1 (en) | 2002-01-09 | 2004-12-07 | Taiwan Semiconductor Manufacturing Company, Limited | Removal of SiON residue after CMP |
JP3971192B2 (ja) | 2002-01-11 | 2007-09-05 | 株式会社アルバック | Cvd装置 |
US6998014B2 (en) | 2002-01-26 | 2006-02-14 | Applied Materials, Inc. | Apparatus and method for plasma assisted deposition |
US6797620B2 (en) | 2002-04-16 | 2004-09-28 | Applied Materials, Inc. | Method and apparatus for improved electroplating fill of an aperture |
WO2003098662A2 (en) | 2002-05-14 | 2003-11-27 | Tokyo Electron Limited | PLASMA ETCHING OF Cu-CONTAINING LAYERS |
KR100446300B1 (ko) | 2002-05-30 | 2004-08-30 | 삼성전자주식회사 | 반도체 소자의 금속 배선 형성 방법 |
DE10224160A1 (de) * | 2002-05-31 | 2003-12-18 | Advanced Micro Devices Inc | Eine Diffusionsbarrierenschicht in Halbleitersubstraten zur Reduzierung der Kupferkontamination von der Rückseite her |
US20030235995A1 (en) | 2002-06-21 | 2003-12-25 | Oluseyi Hakeem M. | Method of increasing selectivity to mask when etching tungsten or tungsten nitride |
US6884730B2 (en) | 2002-07-02 | 2005-04-26 | Headway Technologies, Inc. | Method of etching a film of magnetic material and method of manufacturing a thin-film magnetic head |
US7240564B2 (en) | 2002-07-30 | 2007-07-10 | Alliant Techsystems Inc. | Method and apparatus for detecting and determining event characteristics with reduced data collection |
US6802944B2 (en) | 2002-10-23 | 2004-10-12 | Applied Materials, Inc. | High density plasma CVD process for gapfill into high aspect ratio features |
KR100542740B1 (ko) | 2002-11-11 | 2006-01-11 | 삼성전자주식회사 | 가스 플라즈마 생성 방법 및 장치, 플라즈마 생성용 가스조성물 및 이를 이용한 반도체 장치의 제조 방법 |
US6933239B2 (en) | 2003-01-13 | 2005-08-23 | Applied Materials, Inc. | Method for removing conductive residue |
KR100528073B1 (ko) | 2003-04-07 | 2005-11-15 | 동부아남반도체 주식회사 | 반도체소자 제조방법 |
US6841484B2 (en) | 2003-04-17 | 2005-01-11 | Chentsau Ying | Method of fabricating a magneto-resistive random access memory (MRAM) device |
JP2004332045A (ja) | 2003-05-07 | 2004-11-25 | Renesas Technology Corp | 多層膜材料のドライエッチング方法 |
US6844258B1 (en) | 2003-05-09 | 2005-01-18 | Novellus Systems, Inc. | Selective refractory metal and nitride capping |
TW200428532A (en) * | 2003-06-03 | 2004-12-16 | Silicon Integrated Sys Corp | Method of modifying conductive wiring |
US7205240B2 (en) | 2003-06-04 | 2007-04-17 | Applied Materials, Inc. | HDP-CVD multistep gapfill process |
US20040266174A1 (en) | 2003-06-27 | 2004-12-30 | Chin-Tien Yang | Method and apparatus of preventing tungsten pullout during tungsten chemical mill processing |
US7993460B2 (en) | 2003-06-30 | 2011-08-09 | Lam Research Corporation | Substrate support having dynamic temperature control |
KR20050011479A (ko) | 2003-07-23 | 2005-01-29 | 주식회사 하이닉스반도체 | 반도체 소자의 텅스텐 콘택플러그 형성방법 |
KR100555514B1 (ko) | 2003-08-22 | 2006-03-03 | 삼성전자주식회사 | 저 저항 텅스텐 배선을 갖는 반도체 메모리 소자 및 그제조방법 |
US7005387B2 (en) | 2003-11-08 | 2006-02-28 | Advanced Micro Devices, Inc. | Method for preventing an increase in contact hole width during contact formation |
US7341946B2 (en) | 2003-11-10 | 2008-03-11 | Novellus Systems, Inc. | Methods for the electrochemical deposition of copper onto a barrier layer of a work piece |
US7223693B2 (en) | 2003-12-12 | 2007-05-29 | Samsung Electronics Co., Ltd. | Methods for fabricating memory devices using sacrificial layers and memory devices fabricated by same |
US20050233555A1 (en) | 2004-04-19 | 2005-10-20 | Nagarajan Rajagopalan | Adhesion improvement for low k dielectrics to conductive materials |
US7199045B2 (en) | 2004-05-26 | 2007-04-03 | Taiwan Semiconductor Manufacturing Company, Ltd. | Metal-filled openings for submicron devices and methods of manufacture thereof |
US7582127B2 (en) | 2004-06-16 | 2009-09-01 | Cabot Microelectronics Corporation | Polishing composition for a tungsten-containing substrate |
US7115522B2 (en) | 2004-07-09 | 2006-10-03 | Kabushiki Kaisha Toshiba | Method for manufacturing semiconductor device |
CN100576474C (zh) | 2004-07-20 | 2009-12-30 | 应用材料股份有限公司 | 以钽前驱物taimata进行含钽材料的原子层沉积 |
KR20050013187A (ko) | 2004-12-28 | 2005-02-03 | 삼성전자주식회사 | 가스 플라즈마 생성 방법 및 장치, 플라즈마 생성용 가스조성물 및 이를 이용한 반도체 장치의 제조 방법 |
US7196955B2 (en) | 2005-01-12 | 2007-03-27 | Hewlett-Packard Development Company, L.P. | Hardmasks for providing thermally assisted switching of magnetic memory elements |
US7235492B2 (en) | 2005-01-31 | 2007-06-26 | Applied Materials, Inc. | Low temperature etchant for treatment of silicon-containing surfaces |
KR100642750B1 (ko) | 2005-01-31 | 2006-11-10 | 삼성전자주식회사 | 반도체 소자 및 그 제조 방법 |
JP4860219B2 (ja) | 2005-02-14 | 2012-01-25 | 東京エレクトロン株式会社 | 基板の処理方法、電子デバイスの製造方法及びプログラム |
JP4671729B2 (ja) | 2005-03-28 | 2011-04-20 | 富士通セミコンダクター株式会社 | 半導体装置及びその製造方法 |
JP4945937B2 (ja) | 2005-07-01 | 2012-06-06 | 東京エレクトロン株式会社 | タングステン膜の形成方法、成膜装置及び記憶媒体 |
US20070006893A1 (en) | 2005-07-08 | 2007-01-11 | Bing Ji | Free radical initiator in remote plasma chamber clean |
US7214626B2 (en) | 2005-08-24 | 2007-05-08 | United Microelectronics Corp. | Etching process for decreasing mask defect |
TWI397972B (zh) | 2005-08-26 | 2013-06-01 | Hitachi Ltd | Semiconductor device manufacturing method |
US8747960B2 (en) | 2005-08-31 | 2014-06-10 | Lam Research Corporation | Processes and systems for engineering a silicon-type surface for selective metal deposition to form a metal silicide |
US20070087581A1 (en) | 2005-09-09 | 2007-04-19 | Varian Semiconductor Equipment Associates, Inc. | Technique for atomic layer deposition |
US20070117396A1 (en) | 2005-11-22 | 2007-05-24 | Dingjun Wu | Selective etching of titanium nitride with xenon difluoride |
DE102006001253B4 (de) | 2005-12-30 | 2013-02-07 | Advanced Micro Devices, Inc. | Verfahren zur Herstellung einer Metallschicht über einem strukturierten Dielektrikum mittels einer nasschemischen Abscheidung mit einer stromlosen und einer leistungsgesteuerten Phase |
JP4783169B2 (ja) | 2006-02-13 | 2011-09-28 | パナソニック株式会社 | ドライエッチング方法、微細構造形成方法、モールド及びその製造方法 |
US7906030B2 (en) | 2006-02-13 | 2011-03-15 | Panasonic Corporation | Dry etching method, fine structure formation method, mold and mold fabrication method |
US7276796B1 (en) | 2006-03-15 | 2007-10-02 | International Business Machines Corporation | Formation of oxidation-resistant seed layer for interconnect applications |
US7795148B2 (en) | 2006-03-28 | 2010-09-14 | Tokyo Electron Limited | Method for removing damaged dielectric material |
US20070238301A1 (en) | 2006-03-28 | 2007-10-11 | Cabral Stephen H | Batch processing system and method for performing chemical oxide removal |
US7368393B2 (en) | 2006-04-20 | 2008-05-06 | International Business Machines Corporation | Chemical oxide removal of plasma damaged SiCOH low k dielectrics |
US7828504B2 (en) | 2006-05-12 | 2010-11-09 | Axcellis Technologies, Inc. | Combination load lock for handling workpieces |
KR101254275B1 (ko) | 2006-06-20 | 2013-04-23 | 가부시키가이샤 아루박 | 폴리이미드막 도포 장치 및 방법 |
US8232176B2 (en) | 2006-06-22 | 2012-07-31 | Applied Materials, Inc. | Dielectric deposition and etch back processes for bottom up gapfill |
US7416989B1 (en) | 2006-06-30 | 2008-08-26 | Novellus Systems, Inc. | Adsorption based material removal process |
US7435484B2 (en) | 2006-09-01 | 2008-10-14 | Asm Japan K.K. | Ruthenium thin film-formed structure |
KR100757418B1 (ko) | 2006-09-05 | 2007-09-10 | 삼성전자주식회사 | 반도체 소자 및 그 형성 방법 |
KR100881391B1 (ko) | 2006-09-29 | 2009-02-05 | 주식회사 하이닉스반도체 | 반도체 소자의 게이트 형성방법 |
US20080174021A1 (en) | 2007-01-18 | 2008-07-24 | Samsung Electronics Co., Ltd. | Semiconductor devices having metal interconnections, semiconductor cluster tools used in fabrication thereof and methods of fabricating the same |
CN101308794B (zh) | 2007-05-15 | 2010-09-15 | 应用材料股份有限公司 | 钨材料的原子层沉积 |
KR101330707B1 (ko) | 2007-07-19 | 2013-11-19 | 삼성전자주식회사 | 반도체 장치의 형성 방법 |
US7655567B1 (en) | 2007-07-24 | 2010-02-02 | Novellus Systems, Inc. | Methods for improving uniformity and resistivity of thin tungsten films |
KR101564473B1 (ko) | 2007-11-21 | 2015-10-29 | 램 리써치 코포레이션 | 텅스턴 함유층에 대한 에칭 마이크로로딩을 제어하는 방법 |
KR100939777B1 (ko) | 2007-11-30 | 2010-01-29 | 주식회사 하이닉스반도체 | 텅스텐막 형성방법 및 이를 이용한 반도체 소자의 배선형성방법 |
US7772114B2 (en) | 2007-12-05 | 2010-08-10 | Novellus Systems, Inc. | Method for improving uniformity and adhesion of low resistivity tungsten film |
US8053365B2 (en) | 2007-12-21 | 2011-11-08 | Novellus Systems, Inc. | Methods for forming all tungsten contacts and lines |
US8262800B1 (en) | 2008-02-12 | 2012-09-11 | Novellus Systems, Inc. | Methods and apparatus for cleaning deposition reactors |
US7964504B1 (en) | 2008-02-29 | 2011-06-21 | Novellus Systems, Inc. | PVD-based metallization methods for fabrication of interconnections in semiconductor devices |
US8247030B2 (en) | 2008-03-07 | 2012-08-21 | Tokyo Electron Limited | Void-free copper filling of recessed features using a smooth non-agglomerated copper seed layer |
US7948044B2 (en) | 2008-04-09 | 2011-05-24 | Magic Technologies, Inc. | Low switching current MTJ element for ultra-high STT-RAM and a method for making the same |
US8252194B2 (en) | 2008-05-02 | 2012-08-28 | Micron Technology, Inc. | Methods of removing silicon oxide |
US8133797B2 (en) | 2008-05-16 | 2012-03-13 | Novellus Systems, Inc. | Protective layer to enable damage free gap fill |
US8058170B2 (en) | 2008-06-12 | 2011-11-15 | Novellus Systems, Inc. | Method for depositing thin tungsten film with low resistivity and robust micro-adhesion characteristics |
US8551885B2 (en) | 2008-08-29 | 2013-10-08 | Novellus Systems, Inc. | Method for reducing tungsten roughness and improving reflectivity |
US20100072623A1 (en) | 2008-09-19 | 2010-03-25 | Advanced Micro Devices, Inc. | Semiconductor device with improved contact plugs, and related fabrication methods |
US7964502B2 (en) | 2008-11-25 | 2011-06-21 | Freescale Semiconductor, Inc. | Multilayered through via |
US8129270B1 (en) | 2008-12-10 | 2012-03-06 | Novellus Systems, Inc. | Method for depositing tungsten film having low resistivity, low roughness and high reflectivity |
US20100144140A1 (en) | 2008-12-10 | 2010-06-10 | Novellus Systems, Inc. | Methods for depositing tungsten films having low resistivity for gapfill applications |
KR101263856B1 (ko) | 2008-12-31 | 2013-05-13 | 어플라이드 머티어리얼스, 인코포레이티드 | 비저항이 감소되고 표면 형태가 개선된 텅스텐 필름을 증착하는 방법 |
US8236691B2 (en) | 2008-12-31 | 2012-08-07 | Micron Technology, Inc. | Method of high aspect ratio plug fill |
JP5550843B2 (ja) | 2009-03-19 | 2014-07-16 | ラピスセミコンダクタ株式会社 | 半導体装置の製造方法 |
US8623733B2 (en) | 2009-04-16 | 2014-01-07 | Novellus Systems, Inc. | Methods for depositing ultra thin low resistivity tungsten film for small critical dimension contacts and interconnects |
TWI473726B (zh) * | 2009-07-15 | 2015-02-21 | Nat Univ Tsing Hua | 形成圖案化金屬改質層之方法 |
US8153520B1 (en) | 2009-08-03 | 2012-04-10 | Novellus Systems, Inc. | Thinning tungsten layer after through silicon via filling |
US8124531B2 (en) | 2009-08-04 | 2012-02-28 | Novellus Systems, Inc. | Depositing tungsten into high aspect ratio features |
US10256142B2 (en) | 2009-08-04 | 2019-04-09 | Novellus Systems, Inc. | Tungsten feature fill with nucleation inhibition |
US9548228B2 (en) | 2009-08-04 | 2017-01-17 | Lam Research Corporation | Void free tungsten fill in different sized features |
US9034768B2 (en) * | 2010-07-09 | 2015-05-19 | Novellus Systems, Inc. | Depositing tungsten into high aspect ratio features |
US8119527B1 (en) | 2009-08-04 | 2012-02-21 | Novellus Systems, Inc. | Depositing tungsten into high aspect ratio features |
KR101604054B1 (ko) | 2009-09-03 | 2016-03-16 | 삼성전자주식회사 | 반도체 소자 및 그 형성방법 |
US20110139748A1 (en) | 2009-12-15 | 2011-06-16 | University Of Houston | Atomic layer etching with pulsed plasmas |
US8501629B2 (en) | 2009-12-23 | 2013-08-06 | Applied Materials, Inc. | Smooth SiConi etch for silicon-containing films |
US8227344B2 (en) * | 2010-02-26 | 2012-07-24 | Tokyo Electron Limited | Hybrid in-situ dry cleaning of oxidized surface layers |
US9129945B2 (en) | 2010-03-24 | 2015-09-08 | Applied Materials, Inc. | Formation of liner and barrier for tungsten as gate electrode and as contact plug to reduce resistance and enhance device performance |
US9373500B2 (en) | 2014-02-21 | 2016-06-21 | Lam Research Corporation | Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications |
KR101340793B1 (ko) * | 2010-07-09 | 2013-12-11 | 노벨러스 시스템즈, 인코포레이티드 | 고 종횡비 특징부 내부로 텅스텐 증착하기 |
JP5416280B2 (ja) | 2010-08-19 | 2014-02-12 | 株式会社アルバック | ドライエッチング方法及び半導体装置の製造方法 |
US8778797B2 (en) | 2010-09-27 | 2014-07-15 | Novellus Systems, Inc. | Systems and methods for selective tungsten deposition in vias |
KR101739987B1 (ko) | 2010-12-28 | 2017-05-26 | 에스케이 텔레콤주식회사 | 주변블록의 특징벡터를 이용한 영상 부호화/복호화 방법 및 장치 |
JP2012151187A (ja) | 2011-01-17 | 2012-08-09 | Toshiba Corp | 半導体記憶装置の製造方法 |
US9064815B2 (en) * | 2011-03-14 | 2015-06-23 | Applied Materials, Inc. | Methods for etch of metal and metal-oxide films |
US8546263B2 (en) | 2011-04-27 | 2013-10-01 | Applied Materials, Inc. | Method of patterning of magnetic tunnel junctions |
US8883637B2 (en) | 2011-06-30 | 2014-11-11 | Novellus Systems, Inc. | Systems and methods for controlling etch selectivity of various materials |
JP5829926B2 (ja) | 2011-07-06 | 2015-12-09 | 東京エレクトロン株式会社 | タングステン膜の成膜方法 |
US8617411B2 (en) | 2011-07-20 | 2013-12-31 | Lam Research Corporation | Methods and apparatus for atomic layer etching |
US8916435B2 (en) | 2011-09-09 | 2014-12-23 | International Business Machines Corporation | Self-aligned bottom plate for metal high-K dielectric metal insulator metal (MIM) embedded dynamic random access memory |
US9666414B2 (en) * | 2011-10-27 | 2017-05-30 | Applied Materials, Inc. | Process chamber for etching low k and other dielectric films |
US8808561B2 (en) | 2011-11-15 | 2014-08-19 | Lam Research Coporation | Inert-dominant pulsing in plasma processing systems |
US20130149852A1 (en) * | 2011-12-08 | 2013-06-13 | Tokyo Electron Limited | Method for forming a semiconductor device |
US8883028B2 (en) * | 2011-12-28 | 2014-11-11 | Lam Research Corporation | Mixed mode pulsing etching in plasma processing systems |
US9190323B2 (en) * | 2012-01-19 | 2015-11-17 | GlobalFoundries, Inc. | Semiconductor devices with copper interconnects and methods for fabricating same |
US8785310B2 (en) * | 2012-01-27 | 2014-07-22 | Tokyo Electron Limited | Method of forming conformal metal silicide films |
TWI602283B (zh) | 2012-03-27 | 2017-10-11 | 諾發系統有限公司 | 鎢特徵部塡充 |
JP6195898B2 (ja) | 2012-03-27 | 2017-09-13 | ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated | 核形成の抑制を伴うタングステンによるフィーチャ充填 |
US9330939B2 (en) | 2012-03-28 | 2016-05-03 | Applied Materials, Inc. | Method of enabling seamless cobalt gap-fill |
JP2014049466A (ja) | 2012-08-29 | 2014-03-17 | Tokyo Electron Ltd | エッチング処理方法及び基板処理装置 |
US9230825B2 (en) | 2012-10-29 | 2016-01-05 | Lam Research Corporation | Method of tungsten etching |
US9165783B2 (en) * | 2012-11-01 | 2015-10-20 | Applied Materials, Inc. | Method of patterning a low-k dielectric film |
JP5918108B2 (ja) | 2012-11-16 | 2016-05-18 | 東京エレクトロン株式会社 | プラズマ処理方法及びプラズマ処理装置 |
US9362133B2 (en) * | 2012-12-14 | 2016-06-07 | Lam Research Corporation | Method for forming a mask by etching conformal film on patterned ashable hardmask |
US10214826B2 (en) * | 2013-01-29 | 2019-02-26 | Novellus Systems, Inc. | Low copper electroplating solutions for fill and defect control |
US9006095B2 (en) * | 2013-02-19 | 2015-04-14 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor devices and methods of manufacture thereof |
JP2014160757A (ja) | 2013-02-20 | 2014-09-04 | Toshiba Corp | 不揮発性半導体記憶装置およびその製造方法 |
US20140273451A1 (en) | 2013-03-13 | 2014-09-18 | Applied Materials, Inc. | Tungsten deposition sequence |
JP6049527B2 (ja) * | 2013-04-05 | 2016-12-21 | 東京エレクトロン株式会社 | プラズマ処理方法及びプラズマ処理装置 |
US8906810B2 (en) * | 2013-05-07 | 2014-12-09 | Lam Research Corporation | Pulsed dielectric etch process for in-situ metal hard mask shape control to enable void-free metallization |
US9082826B2 (en) | 2013-05-24 | 2015-07-14 | Lam Research Corporation | Methods and apparatuses for void-free tungsten fill in three-dimensional semiconductor features |
JP6367322B2 (ja) | 2013-06-17 | 2018-08-01 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | 湿式ウエハバックコンタクトを使用したシリコンビアを通した銅メッキのための方法 |
JP6170754B2 (ja) | 2013-06-18 | 2017-07-26 | 株式会社日立国際電気 | 半導体装置の製造方法、基板処理装置およびプログラム |
JP6494940B2 (ja) * | 2013-07-25 | 2019-04-03 | ラム リサーチ コーポレーションLam Research Corporation | 異なるサイズのフィーチャへのボイドフリータングステン充填 |
US8980758B1 (en) * | 2013-09-17 | 2015-03-17 | Applied Materials, Inc. | Methods for etching an etching stop layer utilizing a cyclical etching process |
TWI649803B (zh) | 2013-09-30 | 2019-02-01 | 蘭姆研究公司 | 具有電漿輔助式原子層沉積及電漿輔助式化學氣相沉積合成法之深寬比可變的特徵物之間隙填充 |
CN105814677B (zh) | 2013-10-18 | 2019-06-18 | 布鲁克斯自动化公司 | 处理设备 |
US20150111374A1 (en) | 2013-10-18 | 2015-04-23 | International Business Machines Corporation | Surface treatment in a dep-etch-dep process |
US9435049B2 (en) | 2013-11-20 | 2016-09-06 | Lam Research Corporation | Alkaline pretreatment for electroplating |
JP6347695B2 (ja) | 2013-11-20 | 2018-06-27 | 東京エレクトロン株式会社 | 被エッチング層をエッチングする方法 |
TW201525173A (zh) * | 2013-12-09 | 2015-07-01 | Applied Materials Inc | 選擇性層沉積之方法 |
US9190293B2 (en) | 2013-12-18 | 2015-11-17 | Applied Materials, Inc. | Even tungsten etch for high aspect ratio trenches |
US9299575B2 (en) | 2014-03-17 | 2016-03-29 | Applied Materials, Inc. | Gas-phase tungsten etch |
US9257638B2 (en) | 2014-03-27 | 2016-02-09 | Lam Research Corporation | Method to etch non-volatile metal materials |
US20150345029A1 (en) | 2014-05-28 | 2015-12-03 | Applied Materials, Inc. | Metal removal |
US9773683B2 (en) | 2014-06-09 | 2017-09-26 | American Air Liquide, Inc. | Atomic layer or cyclic plasma etching chemistries and processes |
KR101745686B1 (ko) | 2014-07-10 | 2017-06-12 | 도쿄엘렉트론가부시키가이샤 | 기판의 고정밀 에칭을 위한 방법 |
FR3023971B1 (fr) * | 2014-07-18 | 2016-08-05 | Commissariat Energie Atomique | Procede de formation des espaceurs d'une grille d'un transistor |
US10049921B2 (en) | 2014-08-20 | 2018-08-14 | Lam Research Corporation | Method for selectively sealing ultra low-k porous dielectric layer using flowable dielectric film formed from vapor phase dielectric precursor |
WO2016032468A1 (en) * | 2014-08-27 | 2016-03-03 | Ultratech, Inc. | Improved through silicon via |
US9362131B2 (en) | 2014-08-29 | 2016-06-07 | Applied Materials, Inc. | Fast atomic layer etch process using an electron beam |
US9666447B2 (en) | 2014-10-28 | 2017-05-30 | Tokyo Electron Limited | Method for selectivity enhancement during dry plasma etching |
US9609730B2 (en) | 2014-11-12 | 2017-03-28 | Lam Research Corporation | Adjustment of VUV emission of a plasma via collisional resonant energy transfer to an energy absorber gas |
US10170324B2 (en) | 2014-12-04 | 2019-01-01 | Lam Research Corporation | Technique to tune sidewall passivation deposition conformality for high aspect ratio cylinder etch |
WO2016100873A1 (en) | 2014-12-18 | 2016-06-23 | The Regents Of The University Of Colorado, A Body Corporate | Novel methods of atomic layer etching (ale) using sequential, self-limiting thermal reactions |
US9576811B2 (en) | 2015-01-12 | 2017-02-21 | Lam Research Corporation | Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch) |
US9806252B2 (en) | 2015-04-20 | 2017-10-31 | Lam Research Corporation | Dry plasma etch method to pattern MRAM stack |
US9870899B2 (en) | 2015-04-24 | 2018-01-16 | Lam Research Corporation | Cobalt etch back |
US10056264B2 (en) | 2015-06-05 | 2018-08-21 | Lam Research Corporation | Atomic layer etching of GaN and other III-V materials |
US9449843B1 (en) | 2015-06-09 | 2016-09-20 | Applied Materials, Inc. | Selectively etching metals and metal nitrides conformally |
US9922839B2 (en) | 2015-06-23 | 2018-03-20 | Lam Research Corporation | Low roughness EUV lithography |
US9972504B2 (en) | 2015-08-07 | 2018-05-15 | Lam Research Corporation | Atomic layer etching of tungsten for enhanced tungsten deposition fill |
US9520821B1 (en) | 2015-08-19 | 2016-12-13 | Nidec Motor Corporation | System and method for optimizing flux regulation in electric motors |
US10096487B2 (en) | 2015-08-19 | 2018-10-09 | Lam Research Corporation | Atomic layer etching of tungsten and other metals |
US9978610B2 (en) | 2015-08-21 | 2018-05-22 | Lam Research Corporation | Pulsing RF power in etch process to enhance tungsten gapfill performance |
US9984858B2 (en) | 2015-09-04 | 2018-05-29 | Lam Research Corporation | ALE smoothness: in and outside semiconductor industry |
WO2017099718A1 (en) | 2015-12-08 | 2017-06-15 | Intel Corporation | Atomic layer etching of transition metals by halogen surface oxidation |
US9991128B2 (en) | 2016-02-05 | 2018-06-05 | Lam Research Corporation | Atomic layer etching in continuous plasma |
US20170330764A1 (en) | 2016-05-12 | 2017-11-16 | Lam Research Corporation | Methods and apparatuses for controlling transitions between continuous wave and pulsing plasmas |
US9837312B1 (en) | 2016-07-22 | 2017-12-05 | Lam Research Corporation | Atomic layer etching for enhanced bottom-up feature fill |
US10566211B2 (en) | 2016-08-30 | 2020-02-18 | Lam Research Corporation | Continuous and pulsed RF plasma for etching metals |
US10566212B2 (en) | 2016-12-19 | 2020-02-18 | Lam Research Corporation | Designer atomic layer etching |
US9997371B1 (en) | 2017-04-24 | 2018-06-12 | Lam Research Corporation | Atomic layer etch methods and hardware for patterning applications |
EP3776636A4 (en) | 2018-03-30 | 2021-12-22 | Lam Research Corporation | ATOMIC LAYER ENGRAVING AND SMOOTHING OF REFRACTORY METALS AND OTHER HIGH SURFACE BOND ENERGY MATERIALS |
US11177216B2 (en) * | 2018-09-06 | 2021-11-16 | Raytheon Company | Nitride structures having low capacitance gate contacts integrated with copper damascene structures |
-
2015
- 2015-08-19 US US14/830,683 patent/US9972504B2/en active Active
-
2016
- 2016-08-02 JP JP2016151661A patent/JP2017053024A/ja active Pending
- 2016-08-03 TW TW110125242A patent/TWI828995B/zh active
- 2016-08-03 TW TW105124539A patent/TWI814696B/zh active
- 2016-08-03 TW TW111100554A patent/TWI840731B/zh active
- 2016-08-04 SG SG10201606450VA patent/SG10201606450VA/en unknown
- 2016-08-04 KR KR1020160099470A patent/KR102312951B1/ko active IP Right Grant
- 2016-08-08 CN CN201610643282.6A patent/CN106449360A/zh active Pending
- 2016-08-08 CN CN202110431456.3A patent/CN113380695A/zh active Pending
-
2018
- 2018-04-16 US US15/954,509 patent/US20180240682A1/en not_active Abandoned
-
2020
- 2020-05-26 US US15/929,854 patent/US11069535B2/en active Active
-
2021
- 2021-06-15 US US17/304,174 patent/US20210305059A1/en active Pending
- 2021-10-07 KR KR1020210133296A patent/KR102510612B1/ko active IP Right Grant
- 2021-10-07 KR KR1020210133301A patent/KR20210125968A/ko not_active Application Discontinuation
- 2021-12-22 US US17/645,719 patent/US20220115244A1/en active Pending
-
2022
- 2022-02-21 KR KR1020220022362A patent/KR20220027913A/ko not_active IP Right Cessation
- 2022-12-15 KR KR1020220176130A patent/KR20230005066A/ko not_active Application Discontinuation
-
2024
- 2024-04-29 KR KR1020240056792A patent/KR20240069686A/ko not_active Application Discontinuation
Cited By (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US11721558B2 (en) | 2016-12-19 | 2023-08-08 | Lam Research Corporation | Designer atomic layer etching |
Also Published As
Publication number | Publication date |
---|---|
KR20210125968A (ko) | 2021-10-19 |
US20170040214A1 (en) | 2017-02-09 |
US9972504B2 (en) | 2018-05-15 |
US20200286743A1 (en) | 2020-09-10 |
TWI814696B (zh) | 2023-09-11 |
CN106449360A (zh) | 2017-02-22 |
US20220115244A1 (en) | 2022-04-14 |
TWI828995B (zh) | 2024-01-11 |
TW201717316A (zh) | 2017-05-16 |
KR20220027913A (ko) | 2022-03-08 |
KR20230005066A (ko) | 2023-01-09 |
US20210305059A1 (en) | 2021-09-30 |
KR20170017789A (ko) | 2017-02-15 |
CN113380695A (zh) | 2021-09-10 |
KR20240069686A (ko) | 2024-05-20 |
TWI840731B (zh) | 2024-05-01 |
TW202217927A (zh) | 2022-05-01 |
US20180240682A1 (en) | 2018-08-23 |
JP2017053024A (ja) | 2017-03-16 |
KR102312951B1 (ko) | 2021-10-14 |
SG10201606450VA (en) | 2017-03-30 |
KR102510612B1 (ko) | 2023-03-15 |
US11069535B2 (en) | 2021-07-20 |
KR20210125967A (ko) | 2021-10-19 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
KR102510612B1 (ko) | 향상된 텅스텐 증착 충진을 위한 텅스텐의 원자층 에칭 | |
TWI832325B (zh) | 設計者原子層蝕刻 | |
US10784086B2 (en) | Cobalt etch back | |
US9837312B1 (en) | Atomic layer etching for enhanced bottom-up feature fill | |
TWI695412B (zh) | 蝕刻及平滑化基板表面的方法 | |
TWI724998B (zh) | 用於無空隙鈷間隙塡充的方法 | |
CN107845572B (zh) | 用于蚀刻金属的连续rf等离子体和脉冲rf等离子体 | |
JP6494940B2 (ja) | 異なるサイズのフィーチャへのボイドフリータングステン充填 | |
JP7561123B2 (ja) | エッチングチャンバーにおける方向性堆積 | |
KR20160087348A (ko) | 원자 스케일 프로세스들: ald (atomic layer deposition) 및 ale (atomic layer etch) 의 통합 | |
TWI850276B (zh) | 蝕刻腔室中的方向性沉積 |