CN113380695A - 用于增强钨沉积填充的钨的原子层蚀刻 - Google Patents

用于增强钨沉积填充的钨的原子层蚀刻 Download PDF

Info

Publication number
CN113380695A
CN113380695A CN202110431456.3A CN202110431456A CN113380695A CN 113380695 A CN113380695 A CN 113380695A CN 202110431456 A CN202110431456 A CN 202110431456A CN 113380695 A CN113380695 A CN 113380695A
Authority
CN
China
Prior art keywords
tungsten
feature
metal
substrate
bias
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202110431456.3A
Other languages
English (en)
Inventor
黎照健
克伦·雅各布斯·卡纳里克
萨曼莎·坦
阿南德·查德拉什卡
泰赫-婷·苏
杨文兵
迈克尔·伍德
迈克尔·达内克
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN113380695A publication Critical patent/CN113380695A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Crystallography & Structural Chemistry (AREA)

Abstract

本发明涉及用于增强钨沉积填充的钨的原子层蚀刻。本发明提供了使用沉积‑蚀刻‑沉积工艺将钨沉积到高深宽比的特征中的方法,该工艺整合了多种沉积技术与在蚀刻期间交替的表面改性的脉冲和去除的脉冲。

Description

用于增强钨沉积填充的钨的原子层蚀刻
本申请是申请号为201610643282.6、申请日为2016年8月8日、发明名称为“用于增强钨沉积填充的钨的原子层蚀刻”的发明专利申请的分案申请。
技术领域
本发明总体上涉及半导体领域,更具体地涉及用于增强钨沉积填充的钨的原子层蚀刻。
背景技术
半导体制造工艺通常涉及金属(如钨)沉积到特征(例如通孔或沟槽)内以形成触点或互连件。然而,随着器件的缩小,特征变得更小且更难以填充,特别是在高级的逻辑和存储器的应用中。
发明内容
本发明提供了填充在衬底上的特征的方法。一个方面涉及一种通过以下步骤填充衬底上的特征的方法:(a)在特征内沉积第一数量的金属;以及(b)相对于所述特征的内部区域,通过以下操作定向地蚀刻在所述特征的开口处或附近的所述金属:(i)通过将所述金属暴露于含卤素的气体使所沉积的所述金属的表面改性;以及(ii)使经改性的所述表面暴露于活化气体以选择性地蚀刻所述金属。该方法还可以包括重复(a)和(b)。
在多种实施方式中,所述金属包含钛、钽、镍、钴、或钼中的一种。在一些实施方式中,所述金属包含钨。
在一些实施方式中,所述含卤素的气体可以选自由氯、溴、碘、六氟化硫、四氟化硅、三氯化硼以及它们的组合组成的组。在一些实施方式中,所述活化气体是惰性气体,例如氖、氪、氩或者它们的组合。
所述方法还可以包括在(i)和(ii)中的至少一种期间施加偏置。所述偏置功率可以小于阈值偏置功率。所述偏置功率可以小于约80Vb。
在多种实施方式中,(b)包括自限反应。在一些实施方式中,所述衬底包含具有不同尺寸的开口的特征。所述特征可以具有至少3:1的深宽比。在一些实施方式中,所述开口的宽度小于20nm。
在一些实施方式中,(a)和(b)在不破坏真空的情况下进行。在一些实施方式中,(a)和(b)在同一室中进行。在一些实施方式中,(a)和(b)在同一工具的不同室中进行。
所述方法还可以包括在(i)和(ii)中的至少一种期间点燃等离子体。所述等离子体功率可以介于约0W和约1000W之间。
另一方面可以涉及一种方法,该方法包括:(a)用钨部分地填充特征;(b)通过将衬底暴露于交替的含卤素气体的脉冲和活化气体的脉冲定向地蚀刻在所述特征的开口处或附近的钨;以及(c)用钨填充特征。
在一些实施方式中,在(b)期间施加偏置。在一些实施方式中,在(b)期间以阈值偏置功率施加偏置。
在多种实施方式中,(a)和(b)在不破坏真空的情况下进行。在一些实施方式中,(a)和(b)在同一室中进行。所述方法还可以包括重复(a)和(b)。填充所述特征可以包括重复(a)和(b)。
所述钨可以通过CVD沉积。在一些实施方式中,所述钨通过ALD沉积。所述钨可以通过将所述衬底暴露于交替的含钨前体的脉冲和还原剂的脉冲沉积。所述钨可以使用含氯的钨前体沉积。在一些实施方式中,所述钨是无氟钨。
另一个方面涉及一种用于处理半导体衬底的装置,该装置包括:处理室,其包括喷头和衬底支撑件,等离子体产生器,以及具有至少一个处理器和存储器的控制器,其中,所述至少一个处理器和所述存储器彼此通信地连接,所述至少一个处理器至少操作性地与流量控制硬件连接,并且所述存储器存储用于下述操作的机器可读指令:(i)将含钨前体与还原剂引入所述室以在衬底上沉积钨,(ii)引入含卤素的气体以使所述钨的表面改性,以及(iii)引入活化气体并点燃等离子体以蚀刻所述钨的改性的表面的至少一部分。
所述衬底支撑件可以包括偏置,并且所述存储器还可以存储用于在(iii)期间设定小于约80Vb的偏置功率的机器可读指令。在一些实施方式中,所述存储器还存储用于在(ii)期间点燃等离子体的机器可读指令。
在一些实施方式中,所述存储器还存储用于循环重复(ii)和(iii)的机器可读指令。在一些实施方式中,所述存储器还存储用于在执行(ii)和(iii)后重复(i)的机器可读指令。
具体而言,本发明的一些方面可以阐述如下:
1.一种填充衬底上的特征的方法,所述方法包括:
(a)在特征内沉积第一数量的金属;以及
(b)相对于所述特征的内部区域,通过以下操作定向地蚀刻在所述特征的开口处或附近的所述金属:
(i)通过使所述金属暴露于含卤素的气体使所沉积的所述金属的表面改性;以及
(ii)使经改性的所述表面暴露于活化气体以选择性地蚀刻所述金属。
2.根据条款1所述的方法,其中所述金属包含钛、钽、镍、钴、或钼中的一种。
3.根据条款1所述的方法,其中所述金属包含钨。
4.根据条款1所述的方法,其还包括在(i)和(ii)中的至少一种期间施加偏置。
5.根据条款4所述的方法,其中所述偏置的功率小于阈值偏置功率。
6.根据条款1所述的方法,其中(b)包括自限反应。
7.根据条款1所述的方法,其中所述衬底包含具有不同尺寸的开口的特征。
8.根据条款1所述的方法,其中(a)和(b)在不破坏真空的情况下进行。
9.根据条款1所述的方法,其中(a)和(b)在同一室中进行。
10.根据条款8所述的方法,其中(a)和(b)在同一工具的不同室中进行。
11.根据条款1所述的方法,其中所述活化气体选自由氖、氪、和氩组成的组。
12.根据条款1-11中任一项所述的方法,其还包括在(i)和(ii)中的至少一种期间点燃等离子体。
13.根据条款1-11中任一项所述的方法,其中所述特征具有至少3:1的深宽比。
14.根据条款1-11中任一项所述的方法,其中所述开口的宽度小于20nm。
15.根据条款1-11中任一项所述的方法,其还包括:重复(a)和(b)。
16.根据条款1-11中任一项所述的方法,其中所述含卤素的气体选自由氯、溴、碘、六氟化硫、四氟化硅、三氯化硼以及它们的组合组成的组。
17.根据条款4所述的方法,其中所述偏置功率小于约80Vb。
18.根据条款12所述的方法,其中所述等离子体功率为介于约0W和约1000W之间。
19.一种方法,其包括:
(a)用钨部分地填充特征;
(b)通过将衬底暴露于交替的含卤素的气体的脉冲和活化气体的脉冲定向地蚀刻在所述特征的开口处或附近的钨;以及
(c)用钨填充所述特征。
20.根据条款19所述的方法,其中在(b)期间施加偏置。
21.根据条款19所述的方法,其中在(b)期间以阈值偏置功率施加偏置。
22.根据条款19所述的方法,其中(a)和(b)在不破坏真空的情况下进行。
23.根据条款19所述的方法,其中(a)和(b)在同一室中进行。
24.根据条款19-23中任一项所述的方法,其还包括:重复(a)和(b)。
25.根据条款19-23中任一项所述的方法,其中填充所述特征包括重复(a)和(b)。
26.根据条款19-23中任一项所述的方法,其中所述钨通过CVD沉积。
27.根据条款19-23中任一项所述的方法,其中所述钨通过ALD沉积。
28.根据条款19-23中任一项所述的方法,其中通过将所述衬底暴露于交替的含钨前体的脉冲和和还原剂的脉冲沉积所述钨。
29.根据条款19-23中任一项所述的方法,其中所述钨使用含氯的钨前体沉积。
30.根据条款19-23中任一项所述的方法,其中所述钨是无氟钨。
31.一种用于处理半导体衬底的装置,该装置包括:
处理室,其包括喷头和衬底支撑件,
等离子体产生器,以及
具有至少一个处理器和存储器的控制器,
其中,所述至少一个处理器和所述存储器彼此通信地连接,
所述至少一个处理器至少操作性地与流量控制硬件连接,并且
所述存储器存储用于下述操作的机器可读指令:
(i)将含钨前体与还原剂引入所述室以在衬底上沉积钨;
(ii)引入含卤素的气体以使所述钨的表面改性;以及
(ⅲ)引入活化气体并点燃等离子体以蚀刻所述钨的经改性的表面的至少一部分。
32.根据条款31所述的装置,其中,所述存储器还存储用于在(ii)期间点燃等离子体的机器可读指令。
33.根据条款31所述的装置,其中所述衬底支撑件包括偏置,并且所述存储器还存储用于在(iii)期间设定小于约80Vb的偏置功率的机器可读指令。
34.根据条款31-33所述的装置,其中,所述存储器还存储用于循环重复(ii)和(iii)的机器可读指令。
35.根据条款31-33所述的装置,其中,所述存储器还存储用于在执行(ii)
和(iii)后重复(i)的机器可读指令。
这些和其它特征将在下面参照附图进行描述。
附图说明
图1是原子层刻蚀衬底上的膜的一个示例的示意图。
图2是经历某些公开的实施方式的操作的特征的示意图。
图3是描绘根据某些公开的实施方式执行的操作的处理流程图。
图4是使用氩离子计算的钨的正常入射溅射产量的曲线图。
图5是描绘根据某些公开的实施方式执行的操作的一个示例的时序示意图。
图6是用于执行某些公开的实施方式的示例性的处理室的示意图。
图7是用于执行特定公开的实施方式的示例性处理装置的示意图。
图8是所收集的钨的蚀刻速率与氯化偏置功率的实验数据的关系曲线图。
图9A是具有钨的特征的图像。
图9B是具有根据某些公开的实施方式沉积的钨的特征的图像。
具体实施方式
在下面的描述中,阐述了许多具体细节以提供对所呈现的实施方式的透彻理解。在没有这些具体细节中的一些或全部的情形下可以实施所公开的实施方式。在其他情形下,未详细描述众所周知的处理操作,以避免不必要地模糊所公开的实施方式。虽然将结合具体的实施方式描述所公开的实施方式,但是应理解的是并非意在限制所公开的实施方式。
半导体制造工艺通常涉及将金属沉积到例如通孔或沟槽等特征内,以形成触点或互连件。钨经常使用化学气相沉积(CVD)沉积到这样的特征内,由此将包含待填充的特征的衬底暴露于含钨前体和还原剂以沉积钨到特征内。然而,随着器件的缩小,特征变得更小并且更难以通过CVD来填充,特别是在先进的逻辑和存储器的应用中。例如,特征可以具有高的深宽比,例如至少约3:1。某些特征可以具有小于约20nm的小的开口。某些特征也可以包括内凹(re-entrant)特征轮廓,内凹特征轮廓在下面参照图2进一步描述。对于先进的技术节点中的特征,在特征的开口处或附近的沉积速率可以比在特征的底部的沉积速率快,从而导致开口在整个特征被填充之前关闭,进而在特征中留下空隙或间隙。这种间隙的存在对于半导体器件的性能和可靠性可能是有害的,并最终对于半导体产品的性能和可靠性可能是有害的。
此外,一些衬底可包括各种尺寸的特征。其结果是,相比于在较大的特征中,在较小的特征内特征被较快地填满,或者特征的开口被较快地关闭,并且较大的特征可能不会被完全填满。特征的小开口和高深宽比也可能导致在特征中钨的非保形沉积。另外,在由常规沉积技术造成的内凹特征轮廓中以及由于在特征上的非保形覆盖导致的下伏的阻挡或胶粘层的可能的突出端中,特征可具有净的内凹侧壁轮廓,这使得完全填充该特征是挑战性的。
沉积钨到具有小开口的特征内的一种方法包括使部分被填充的特征暴露于在远程等离子体产生器中产生的反应性物质(例如含氟物质)并在质量转移受限的处理方案中操作以去除先前在该特征的开口沉积的钨,且由此打开该特征以使钨能进一步沉积到特征内,从而促进完整的无空隙的填充。然而,虽然这样的方法在将无空隙钨沉积到小特征中会是有效的,但用于填充较大特征的沉积和蚀刻处理的数量可以变化。此外,含氟反应性物质是非常活跃的,因此快速地蚀刻钨,以致调整蚀刻条件以防止蚀刻过多的钨。如果去除所沉积的全部钨,则随后难以用钨重新核化暴露的表面以填充特征。例如,在沉积任何钨之前,特征通常衬有阻挡层,如氮化钛阻挡层,并且该特征可暴露于前体以通过例如原子层沉积(ALD)之类的方法沉积钨核化层。然而,如果含氟反应性物质由于其高反应性和蚀刻速率去除整个核化层,则氮化钛阻挡层暴露,并且钨第二次在表面上核化,从而减少吞吐量。在一些实施方式中,含氟反应性物质可以蚀刻阻挡层中的至少一些或全部,这可能会导致第二次钨沉积是不完整的,因为在衬底上一些钨核化层可能缺失。
本发明提供了使用集成的沉积和原子层蚀刻(ALE)工艺用钨填充特征的方法。ALE是使用顺序的自限反应去除材料薄层的技术。一般而言,可使用任何合适的技术执行ALE。原子层蚀刻技术的示例在2014年11月11日公告的美国专利No.8,883,028和2014年8月19日公告的美国专利No.8,808,561中进行了描述,这些专利在此通过引用并入本文,用于描述示例性原子层蚀刻技术的目的。在多个实施方式中,ALE可以利用等离子体进行,或者可以利用热量进行。“ALE循环”的构思与本文的多个实施方式的讨论相关。通常,ALE循环是用于实施一次蚀刻工艺(例如蚀刻单层)的最小的一组操作。一个循环的结果是蚀刻在衬底表面上的至少一些膜层。通常,ALE循环包括形成反应层的改性操作,随后是仅去除或蚀刻此改性层的去除操作。该循环可包括某些辅助操作,例如扫除反应物或副产物之一。通常,循环包括独特系列的操作的一个示例。举例而言,ALE循环可包括以下操作:(i)输送反应物气体,其可以是处于等离子体状态,(ii)从室清扫反应物气体,(iii)输送去除气体和可选的等离子体,以及(iv)清扫室。在一些实施方式中,蚀刻可以在衬底(例如具有地貌状(topography)和/或特征的衬底)上非保形地执行。
图1示出了ALE循环的两个示例性示意图。图形171a-171e示出了通用的ALE循环。在171a中,提供衬底。在171b中,使衬底的表面改性。在171c中,清扫用于使衬底改性的化学品。在171d中,蚀刻改性层。在171e中,去除改性层。类似地,图形172a-172e示出了用于蚀刻钨膜的ALE循环的示例。在172a中,提供钨衬底,其包括许多个钨原子。在172b中,将反应物气体氯气引入到衬底,从而使衬底表面改性。在一些实施方式中,氯反应物也可以作为不会导致钨衬底的直接蚀刻的等离子体状态的原子氯输送。172b的示意图作为示例示出了一些氯气被吸附在衬底表面上。虽然在图1中描述了氯气(Cl2),但是任何含氯化合物或其他合适的反应物均可以使用。在172c中,从室清扫反应物气体氯气。在172d中,引入含有方向性等离子体的去除气体氩气,如Ar+等离子体物质和箭头所示,以去除改性的衬底表面。活化的蚀刻涉及使用以在溅射阈值以下的能量操作的惰性离子(例如,Ar+)来激励吸附物质(例如,Cl物质)以每次蚀刻掉衬底的一个单层。在该操作过程中,将偏置施加在衬底上以朝其吸引离子。在172e中,清扫室并去除副产物。
用于ALE工艺的蚀刻速率比基于氟的远程等离子体的蚀刻速率低,但由于表面反应的自限性质,所以ALE蚀刻更均匀。因此,ALE方法提供对蚀刻操作(特别是在较大的特征中)的更多的控制,使得在每个循环中去除的材料的量是有限的,并且不会被太快地蚀刻,从而防止完全蚀刻特征的表面上的材料。本文中所描述的沉积工艺可以通过切换室的压强和衬底的温度来控制,在ALE期间,室的压强和衬底的温度这两者都影响改性化学品的吸附。工艺还可以通过调节在ALE中执行的一个或多个操作期间的衬底偏置以及调节改性化学品流量和化学过程来控制。沉积工艺还可以依赖于待沉积到特征内的金属的化学性质。
所公开的实施方式可涉及通过任何合适的方法在特征内沉积金属,如钨,合适的方法包括ALD、CVD、等离子体增强ALD(PEALD)、等离子体增强CVD(PECVD)、或物理气相沉积(PVD);吸附含卤素气体以及可选地暴露于等离子体以使所沉积的金属的表面改性;暴露于活化气体以去除改性的表面;以及进一步沉积金属以填充特征。图2根据所公开实施方式提供经历多个操作的特征的示例性示意图。在201,衬底210被示出具有特征212,特征212包括保形地沉积在特征中的TiN阻挡层214以及通过ALD保形地沉积在TiN阻挡层214上的钨216。在203中,在特征212暴露于含卤素气体以使所沉积的钨216的表面改性后,特征212暴露于活化气体,例如暴露于包括氩离子、或氖、或氪的气体,该活化气体可以例如通过使用低偏置定向蚀刻在特征212的开口218a处或附近的钨216。在205,特征212已经打开,留下特征开口218b。在207中,特征212随后通过CVD用钨填充,以得到无空隙钨填充的特征。
图3根据所公开的实施方式提供了描述方法的操作的处理流程图。尽管下面的描述集中于钨特征填充,但本公开的方面也可以在用其他材料填充特征中实现。例如,使用本文所述的一种或多种技术的特征填充可用于用其他材料填充特征,其他材料包括其它含钨材料(例如,氮化钨(WN)和碳化钨(WC))、含钛材料(如钛(Ti)、氮化钛(TiN)、硅化钛(TiSi)、碳化钛(TiC)、和铝化钛(TiAl))、含钽材料(例如,钽(Ta)、和氮化钽(TaN))、含钼材料、含钴材料、和含镍材料(例如,镍(Ni)和硅化镍(NiSi))。在多种实施方式中,特征可以用另一种金属代替钨填充或用另一种金属与钨组合来填充。例如,钴或钼可以用于填充特征。
在图3的操作301中,将衬底提供至室。所述衬底可以是硅晶片,例如,200mm的晶片,300mm的晶片或450mm的晶片,包括具有一个或更多个材料层的晶片,该材料例如沉积在晶片上的介电材料、导电材料或半导电材料。图案化的衬底可具有“特征”,例如通孔或接触孔,其可表征为一个或更多个狭窄的和/或内凹的(re-entrant)开口、特征内收缩部和高深宽比。所述特征可以在一个或更多个上述层中形成。特征的一个示例是半导体衬底或该衬底上的层中的孔或通孔。另一个示例是衬底或层中的沟槽。在多个实施方式中,所述特征可以具有下层,例如阻挡层或粘合层。下层的非限制性实施例包括介电层和导电层,例如,硅氧化物、硅氮化物、硅碳化物、金属氧化物、金属氮化物、金属碳化物和金属层。
应用的实例包括逻辑与内存触点填充、DRAM埋入式字线填充、垂直集成内存栅极/字线填充、以及具有穿硅通孔(TSV)的3-D集成。本文所述的这些方法可以用于填充例如在钨通孔中的垂直特征,以及水平特征,例如垂直NAND(VNAND)字线。
在多种实施方式中,通过执行所公开的实施方式制造的衬底的类型可以依赖于在执行所公开的实施方式之前在衬底上的特征的深宽比。在一些实施方式中,在操作301中提供的衬底上的特征可以具有至少约2:1、至少约3:1、至少约4:1、至少约6:1、至少约10:1、或更高的深宽比。该特征也可具有接近开口的尺寸,例如,介于约5纳米至500纳米之间的开口直径或线宽度,例如介于约25纳米至约300纳米之间的开口直径或线宽度。所公开的方法可以在具有特征的衬底上进行,特征具有小于约20nm的开口。“小”特征可以被定义为具有比相对的术语“大”特征的开口直径或线宽度小的开口直径或线宽度的特征。大特征可具有比小特征的关键尺寸大至少1.5倍、或至少2倍、或至少5倍、或至少10倍或超过10倍以上的开口直径或关键尺寸。“小”特征的示例包括具有介于约1nm和约2nm之间的开口直径的特征。“大”特征的示例包括具有几百纳米至约1微米的数量级的开口直径的特征。
通孔、沟槽或其他凹入特征可以称为未填充特征或特征。根据多种实施方式,特征轮廓可以逐步变窄和/或在特征开口处包括突出端。内凹轮廓为从特征的底部、封闭端、或内部往特征开口变窄的轮廓。内凹轮廓可以通过在图案化期间的不对称蚀刻动力学和/或由于在前面的膜沉积(例如扩散阻挡层的沉积)中的非保形膜的台阶覆盖而导致的突出部而产生。在不同的实施例中,特征可以具有比特征的中部和/或底部的宽度小的在特征的顶部处的开口的宽度。
在操作中303,例如通过将衬底暴露于含钨前体和还原剂,以部分地填充在衬底上的特征,将钨沉积在衬底上。示例性的含钨前体包括含钨的卤化物前体,含钨的卤化物前体可以包括:钨氟化物,如WF6;和钨氯化物,如WCl6、W(CO)6、以及WCl5。在一些实施方式中,可使用含钨有机金属前体。示例性的还原剂包括氢、硼烷(如B2H6)、硅烷(如SiH4)、和锗烷(如GeH4)。
在一些实施方式中,钨保形地沉积。在一些实现方式中,操作303涉及钨核化层的沉积,随后是本体沉积。
可以使用用于沉积钨的任何合适的方法,如ALD、CVD、PECVD、PEALD、或PVD。对于本文所提供的示例,钨可以通过ALD保形地沉积到特征内。例如,在一些实施方式中,钨核化层通过连续地施以含钨前体和一种或多种还原剂的脉冲以通过ALD或脉冲核化层(PNL)工艺形成钨核化层来沉积。在一些实现方式中,如果,例如,特征包括支撑钨沉积的下层,则操作303可以仅涉及本体沉积,而无核化层沉积。本体沉积可以通过化学气相沉积来沉积并在下面进一步进行说明。
在包含收缩部或以其他方式容易夹止(pinch-off)的特征中,操作303可以执行至少直至特征被夹止。具有不同尺寸的特征可以在不同的时间夹止。在保形沉积中,沉积从每个表面开始,并大致垂直于表面逐渐生长。在特征中的钨生长从每个侧壁开始,一直进行直到生长使特征夹止。在一些实现方式中,操作303所沉积的钨的量可以基于最窄的特征尺寸来确定。
在多种实施方式中,可以执行操作303,使得特征的开口被关闭。在一些实施方式中,接缝可在特征的开口处或附近形成。针对本说明书的目的,“在开口附近”定义为特征内的对应于从场区测得的特征深度的约0-10%之间的(即,沿着该特征的侧壁的)大致位置或区域。在某些实施方式中,开口附近的区域对应于在开口处的区域。另外,“在特征内”或“特征的内部”被定义为在特征内的对应于从在特征的顶部的场区测得的特征深度的约20%-60%之间的大致位置或区域。通常情况下,当用于某些参数(例如,厚度)的值被指定为“在开口附近”或“在特征内”时,这些值表示在这些位置/区域内获取的测量值或多个测量值的平均值。
在操作305中,通过原子层蚀刻来定向或优先地蚀刻衬底。这里使用的“定向”或“优先”可以被定义为在特征的顶部或顶部附近比在特征的其余部分(如特征内或内部)蚀刻较多的材料。原子层蚀刻涉及表面改性和活化操作。在一些实施方式中,载气(其可以包括N2、Ar、Ne、He及其组合)在操作305期间连续流动。在一些实施方式中,载气仅在操作305期间的去除过程中使用。在一些操作中载气可被用作清扫气体,如下所述。在一些实施方式中,另一种反应气体(例如氧气)在操作305期间用于去除经改性的层。在一些实施方式中,载气在去除过程中不流动。
在操作315中,衬底被暴露于改性化学品以使衬底的表面改性。改性化学品可以是气体或等离子体或反应性物质。改性操作形成有一定厚度的薄的反应性表面层,其比未改性的材料更容易去除。改性操作可被执行,以便防止衬底的自发蚀刻。
在改性操作中,可以使用含卤素的化学品使衬底改性。例如,衬底可以通过将氯气引入到室中被氯化。氯气用作所公开的实施方式中的示例性改性化学品,但是应当理解的是,在一些实施方式中,将不同的改性化学品引入到室中。示例包括溴、碘、六氟化硫、四氟化硅、三氯化硼(BCl3)。由ALE蚀刻金属的另外的示例在于2015年8月19日提交的、名称为“ATOMIC LAYER ETCHING OF TUNGSTEN AND OTHER METALS”(律师案卷No.LAMRP209P/3706-1US)的美国专利申请No.62/207,250中进一步描述,通过引用将其整体并入本文。
在多种实施方式中,不用氟化学品以防止不会是按单层蚀刻的化学蚀刻。例如,三氟化氮(NF3)可以处于高反应性的等离子体状态,并且可以自发地蚀刻衬底而不是按层保形地蚀刻衬底。然而,在一些实施方式中,高反应性的含卤素的化学品(诸如ClF3)可用于蚀刻其它材料,如不容易自发蚀刻的材料。
可以根据待蚀刻的衬底的类型和化学性质选择改性化学品。在一些实施方式中,氯气可以与衬底反应或者可以被吸附在衬底表面上。在多个实施方式中,氯气以气体形式被引入到室中,并且可以任选地伴随着载气,该载气可以是任何上述的那些。
在一些实施方式中,可以在此操作期间产生基于氯的等离子体。由基于氯的等离子体产生的物质可以通过在容纳衬底的处理室中形成等离子体而原位产生或者它们可以远程地在未容纳衬底的处理室(例如远程等离子体产生器)中产生,并且可被供给到容纳衬底的处理室中。在多种实施方式中,等离子体可以是感应耦合等离子体或电容耦合等离子体或微波等离子体。用于感应耦合等离子体的功率可以设置在约50W与约2000W之间,例如约900W。功率可以被设定在足够低的水平,以便不导致直接等离子体刻蚀衬底。
在一些实施方式中,不使用等离子体,并且可以将氯用热的方法引入室。Cl2解离成Cl的能量为2.51eV。在一些实施方式中,这种能量可以在此操作期间利用热的或其他辐射能量源施加。在一些实施方式中,氯可以在足够高的温度下被加热以将氯气分解成能够吸附到衬底的表面上的氯原子。
在多种实施方式中,在操作315期间施加偏置。可以使用低偏置功率以防止由改性化学品在衬底的表面上的自发蚀刻,同时使得改性化学品能吸附到所沉积的金属的表面上,并进入可在特征的开口处或附近形成的接缝。例如,可以施加在约0V和约200V之间的偏置。应理解的是,术语“偏置功率”和“偏置电压”在本文中可互换使用以描述当偏置施加到基座时基座被设置的电压。阈值偏置功率或阈值偏置电压是指在基座的衬底的表面上的材料被溅射之前施加到基座上的偏置的最大电压。因此,阈值偏置功率部分地取决于待蚀刻的材料、用于产生等离子体的气体、用于点燃等离子体的等离子体功率和等离子体频率。如本文所述的偏置功率或偏压以伏特进行计量,伏特是由单位“V”或“Vb”表示,其中b指偏置。电子伏特(eV)为一个电子通过1伏特的电位差加速取得的能量的量。相对于图4描述的电子伏特指一个电子通过1伏特的电位差加速取得的能量的量。对于图4,用于确定溅射率(例如,对于每个朝向钨加速的氩离子,多少钨原子被溅射离开表面)的计算取决于单位为电子伏特的氩离子能量。
该偏置可以被用来建立在整个特征深度的改性化学品的梯度。通过适当地控制偏置以及其它参数,如压强,可以控制整个特征深度的改性(和ALE)的程度。在一个实例中,相比于在特征的底部和侧壁上,较多的氯会被吸附到特征的顶部处或附近,或特征的开口处或附近。以不造成对衬底的物理溅射这样的方式施加偏置。在一些实施方式中,可以不使用偏置。在一些实施方式中,如果特征的开口足够大,则可以不使用偏置。操作315中,示例性的压强范围可以在约30毫托和约80毫托之间。
在一些实施方式中,清扫可在改性操作之后进行。在清扫操作中,非表面结合的活性氯物质可以从处理室中去除。这可以通过清扫和/或排空处理室以去除未被吸附的改性化学品而不去除所吸附的层来完成。基于氯的等离子体中产生的物质可以通过停止等离子体并允许剩余的物质衰变,任选地与清扫和/或排空室相组合来去除。清扫可使用任何惰性气体(例如N2、Ar、Ne、He及其组合)来完成。
在操作335中,使用活化的去除气体,诸如活化气体、离子轰击气体、或化学反应性气体,从衬底去除改性层。活化的去除气体可以是惰性气体。例如,可以使用氩气。在一些实施方式中,可以使用氖气或氪气。在去除操作中,衬底可暴露于能量源(例如,活化或离子轰击气体或诱导去除的化学反应性物质),例如氩气或氦气,以通过定向离子轰击蚀刻衬底。在一些实施方式中,去除操作可通过低能离子轰击来进行。在一些实施方式中,去除可以是各向同性的。
可以控制去除气体的量以便仅蚀刻目标量的材料。在多个实施方式中,在改性和去除操作之间可以改变室的压强。去除气体的压强可取决于室的大小、去除气体的流速、反应器的温度、衬底的种类、任何载气的流率以及待蚀刻的钨的量。在操作335期间的示例性的压强范围可以介于约1毫托和约15毫托之间。
在去除期间,偏置可任选地施加以促进定向离子轰击。选择偏置功率以防止溅射但使得去除气体能进入特征并蚀刻在特征的开口处或附近的钨,从而打开它。偏置功率可以根据活化去除气体对衬底上沉积的金属的阈值溅射率来选择。本文所使用的溅射可以指物理去除衬底的表面的至少一些。离子轰击可以指物质对衬底的表面的物理轰击。
图4示出了基于“Energy Dependence of the Yields of Ion-InducedSputtering of Monatomic Solids”,N.Matsunami,Y.Yamamura,Y.Itikawa,N.Itoh,Y.Kazumata,S.Miyagawa,K.Morita,R.Shimizu,和H.Tawara,IPPJ-AM-32(Institute ofPlasma Physics,Nagoya University,Japan,1983)计算的示例溅射率。
该图显示了利用氩原子溅射钨的所计算出的垂直入射溅射率与氩离子能量(或阈值偏置功率)的关系曲线。计算使用值为32eV的溅射阈值。稍微高于阈值,即在40eV的氩离子能量下,溅射率似乎是约0.001原子/离子。然而,在80eV的氩离子能量下,它已增大到30倍。此示例性曲线指明足以蚀刻金属同时防止氩对衬底的溅射的最大氩离子能量。尽管图4提供溅射阈值曲线的定性表示,但对于特定的系统和最大可容许溅射率,溅射阈值可通过实验来确定。对于一个系统,在80Vb的氩离子下观察到其对钨的溅射。应该理解的是,单位“Vb”或“Vb”或“Vbias”是单位伏特,而“b”或“bias(偏置)”是用来表征该功率是偏置功率。这样,在利用氩离子进行钨去除的期间的偏置功率可以设定在小于约80Vb,或小于约50Vb,或介于约50Vb和80Vb之间。在一些实施方式中,如果少量的一些溅射是可以容忍的,则操作335可以在高于阈值的偏置功率下执行。此外,还可以有去除阈值电压,低于该去除阈值电压,去除就不发生,具体取决于特定工艺。应当指出的是,溅射阈值根据金属、金属化合物、或待被蚀刻的其它材料不同而变化。
在一些实施方式中,室可在去除操作后被清扫。清扫工艺可以是用于操作315之后的清扫的那些清扫工艺中的任何一种。
回到图3,操作315和335可任选地根据需要重复以填充特征。在操作307中,确定特征是否已被充分填充。如果不是,则可以重复操作303和305。在一些实施方式中,重复操作303,并且特征可以充分地填充,使得操作305可以不再次执行。在一些实施方式中,执行操作303和305,直到特征被充分填充。在一些实施方式中,特征可以在重复操作中的一个中执行操作303后充分地填充,使得在特征被填充后,不执行操作305。在一些实施方式中,操作303和305中在同一室中进行。在一些实施方式中,操作303和305在同一工具中执行。在一些实施方式中,操作303和305在不破坏真空的情况下进行。在一些实施方式中,重复的操作303的循环相比于之前的操作303的循环可涉及不同的沉积方法和前体。例如在一种工艺中,钨可以通过ALD沉积到特征内,可以执行ALE以蚀刻沉积的钨,从而打开特征,并且这时可以通过使用含钨前体和还原剂执行钨的CVD来重复钨的沉积以完全填充特征。在另一实施例中,通过交替的WF6的脉冲和BH4的脉冲沉积钨,在特征的开口处或附近的钨可以在等离子体的存在下通过交替的Cl2的脉冲和Ar的脉冲并施加偏置来蚀刻,并且钨可以是通过同时暴露于WCl5和H2来沉积。
图5提供了可以根据所公开的实施方式来执行的时序方案的示例图。工艺500包括沉积循环520A、蚀刻循环505A、和重复的沉积循环520B和蚀刻循环505B。沉积循环520A包括W的CVD阶段503A,其可对应于图3的操作503。虽然CVD沉积在图5中提供,但在一些实施方式中,此操作可涉及例如通过ALD进行的金属的循环沉积。在W的CVD阶段503A,载气可以流动,而改性化学品流被关断,并且去除气体被关闭。CVD前体可以连续流动以沉积钨,并且偏置被关断。蚀刻循环505A可对应于图3的操作315和335。蚀刻循环505A包括表面改性515A,其可对应于图3的操作315。在表面改性515A期间,改性化学品与载气流动,而去除气体和CVD前体流被关断。偏置可以接通,如图5所示。表面改性515A之后可以是清扫阶段525A,其如上所述是可选的操作。在清扫阶段525A期间,载气连续地流动,以去除未吸附到衬底上的任何改性化学品。因此,改性化学品、去除气体和CVD前体流被关断,并且偏置也被关断。在去除阶段535A中,在去除气体流动时,载气连续地流动,而改性化学品和CVD前体流被关断。偏置也可以在去除阶段535A期间接通。去除阶段535A可对应于图3的操作335。在多种实施方式中,等离子体在该阶段点燃。清扫阶段545A可以涉及使载气流动,而改性化学品、去除气体和CVD前体流被关断,并且偏置也被关断。
根据图3的操作307,操作可以如图5所示地重复。沉积循环520B涉及W的CVD阶段503B,其在本示例中包括与在W的CVD阶段503A中的流相同的流。这里,载气与CVD前体流动以沉积钨,而去除气体和改性化学品流被关断,且偏置被关断。在一些实施方式中,这可以进一步部分地填充特征。虽然在W的CVD阶段503B中可以使用与在W的CVD阶段503A中使用的前体相同的前体,但在一些实施方式中,如上所述,图3的303的重复操作会涉及不同的沉积技术或前体。蚀刻循环505B可以对应于图3的在重复的循环中的操作305。蚀刻循环505B涉及表面改性515B,由此载气和改性化学品流动,而去除气体和CVD前体流被关断,并且偏置被接通。吹扫阶段525B包括,载气流动,而所有其他流被关断,且偏置被关断。去除阶段535B涉及使载气与去除气体流动,而改性化学品和CVD前体流被关断。在多种实施方式中,等离子体在此阶段点燃。偏置接通,以定向蚀刻衬底。清扫阶段545B涉及使载气流动,而不使改性化学品、去除气体和CVD前体流动,同时偏置被关断。
本文描述的实施方式可以与其他工艺集成。例如,ALE蚀刻可以在MSSD(Multi-Station-Sequential-Deposition(多站-连续沉积))室结构上集成,其中沉积站之一可以被ALE站替换,以使得能用相似的化学品集成沉积/蚀刻/沉积,从而填充更好,并且有更快的吞吐能力。所公开的实施方式可以在一些实施方式中在不破坏真空的条件下进行。例如,在一些实施方式中,所公开的实施方式可以在同一室或在同一工具中进行。适合于执行所公开的实施方式的装置的进一步的实施例在下面进一步描述。
装置
现在描述在某些实施方式中可适用于原子层蚀刻(ALE)操作和原子层沉积(ALD)操作的感应耦合等离子体(ICP)反应器。这样的ICP反应器还已描述在2013年12月10日提交的并且名称为“IMAGE REVERSAL WITH AHM GAP FILL FOR MULTIPLE PATTERNING”的美国专利申请公开No.2014/0170853中,其在此通过引用整体并入本文并用于所有目的。尽管本文描述了ICP反应器,但是应该理解的是,在一些实施方式中也可以使用电容耦合等离子体反应器。
图6示意性地示出了适于实施本文的某些实施方式的感应耦合等离子体集成蚀刻和沉积设备600的横截面图,其示例是
Figure BDA0003031514320000181
反应器,由加利福尼亚州弗里蒙特的LamResearch Corp.生产。所述感应耦合等离子体设备600包括由室壁601和窗611结构上限定的总处理室624。室壁601可以由不锈钢或铝制成。窗611可以由石英或其他介电材料制成。任选的内部等离子体栅格650将总处理室624分为上副室602和下副室603。在大多数实施方式中,等离子体栅格650可以被移除,从而利用由副室602和603构成的室空间。卡盘617定位在下副室603中在底部内表面附近。卡盘617被配置成接收和保持在其上执行蚀刻和沉积工艺的半导体衬底或晶片619。卡盘617可以是当晶片619存在时用于支撑晶片619的静电卡盘。在一些实施方式中,边缘环(未示出)围绕卡盘617,并具有大致与晶片619(当晶片存在于卡盘617上方时)的顶面在同一平面的上表面。卡盘617还包括用于夹紧和放松晶片619的静电电极。可设置过滤器和DC钳位功率源(未示出)用于此目的。也可以提供其他的控制系统用于提升晶片619使其离开卡盘617。卡盘617可以用RF功率源623充电。RF功率源623通过连接件627被连接到匹配电路621。匹配电路621通过连接件625连接到卡盘617。以这种方式,RF功率源623被连接到卡盘617上。
用于等离子体产生的元件包括位于窗611上方的线圈633。在一些实施方式中,所公开的实施方式中未使用线圈。线圈633由导电材料制成,并包括至少一整匝。在图6中所示的线圈633的示例包括三匝。线圈633的横截面用符号示出,具有“X”符号的线圈表示线圈633旋转地延伸到页面内,相反,具有“●”符号的线圈表示线圈旋转地延伸出页面。用于等离子体产生的元件还包括被配置为提供RF功率至线圈633的RF功率源641。一般地,RF功率源641通过连接件645被连接到匹配电路639。匹配电路639通过连接件643连接到线圈633。以这种方式,RF功率源641被连接到线圈633。可选的法拉第屏蔽件649被定位在线圈633和窗611之间。法拉第屏蔽件649以相对于线圈633成隔开的关系被保持。法拉第屏蔽件649被设置在窗611的正上方。线圈633、法拉第屏蔽件649和窗611各自被配置为基本上彼此平行。法拉第屏蔽件649可以防止金属或其它物质沉积在处理室624的窗611上。
工艺气体(例如金属前体,如含钨前体、还原剂、载气、含卤素的气体、氯气、氩气等)可以通过位于上副室602的一个或更多个主气体流入口660和/或通过一个或更多个侧气体流入口670流入处理室。同样,虽然未明确示出,但是类似的气流入口可用于向电容耦合等离子体处理室供应工艺气体。真空泵640,例如,一级或两级干式机械泵和/或涡轮分子泵,可用于将工艺气体从处理室624抽出并维持处理室624内的压强。例如,真空泵640可用于在ALE清扫操作过程中排空下副室603。阀控制的导管可用于使真空泵流体连接在处理室624上,以便选择性地控制由真空泵提供的真空环境的应用。在操作等离子体处理过程中,这可以使用封闭环控制的流量限制装置例如节流阀(未示出)或钟摆阀(未示出)进行。同样,也可以使用受控地流体连接在电容耦合等离子体处理室上的真空泵和阀。
在装置600的操作过程中,一种或多种工艺气体可通过气体流入口660和/或670供给。在某些实施方式中,工艺气体可以仅通过主气体流入口660供给,或者仅通过侧气体流入口670供给。在一些情况下,在图中所示的气体流入口可以由较复杂的气体流入口替代,例如,由一个或多个喷头替代。法拉第屏蔽件649和/或任选的格栅650可以包括使工艺气体能输送至处理室624的内部通道和孔。法拉第屏蔽件649和任选的格栅650中的一者或两者可以作为用于输送工艺气体的喷头。在一些实施方式中,液体蒸发和输送系统可位于处理室624的上游,使得一旦液体反应物或前体被蒸发,那么蒸发的反应物或前体通过气体流入口660和/或670引入到处理室624中。
射频功率从RF功率源641供给到线圈633以使RF电流流过线圈633。流过线圈633的RF电流产生围绕线圈633的电磁场。电磁场产生在上副室602内的感应电流。所生成的各离子和自由基与晶片619的物理和化学相互作用蚀刻晶片619上的特征和沉积层。
挥发性的蚀刻和/或沉积的副产物可通过端口622从下副室603去除。本文所公开的卡盘617可在约10℃至约250℃之间的升高的温度范围内操作。该温度将取决于工艺操作和具体配方。
装置600当安装在干净的房间或制造厂中时可连接在设施(未示出)上。设施包括管道,管道提供处理气体、真空、温度控制和环境微粒控制。这些设施当安装在目标制造厂时连接在装置600上。此外,装置600可耦合在传送室上,从而允许使用典型的自动化由机器人进出装置600传送半导体晶片。
在一些实施方式中,系统控制器630(其可包括一个或更多个物理或逻辑控制器)控制处理室624的一些或所有操作。系统控制器630可包括一个或更多个存储器设备和一个或更多个处理器。例如,存储器可以包括用以在改性化学品(如含氯改性化学品)流和去除气体(如氩气)流之间交替的指令,或用以点燃等离子体或施加偏置的指令。例如,在一些操作期间,存储器可以包括用以将偏置功率设置在介于约0V和约200V之间的指令。在一些实施方式中,所述装置600包括当进行所公开的实施方式时用于控制流速和持续时间的开关系统。在一些实施方式中,所述装置600可具有高达约500ms或高达约750ms的切换时间。切换时间可取决于流动化学品、配方选择、反应器的体系结构和其他因素。
在一些实施方式中,所公开的实施方式可以集成在MSSD(多站-连续沉积)室结构上,其中沉积站之一可以被ALE站替换,以使得能用相似的化学品集成沉积/蚀刻/沉积工艺,从而填充更好,并且有更快的吞吐能力。
在一些实现方式中,系统控制器630是系统的一部分,该系统可以是上述实例的一部分。这种系统可以包括半导体处理设备,其包括一个或多个处理工具、一个或多个处理室、用于处理的一个或多个平台和/或具体的处理组件(晶片基座、气流系统等)。这些系统可以与用于控制它们在处理半导体晶片或衬底之前、期间和之后的操作的电子器件一体化。电子器件可以集成到系统控制器630,该系统控制器630可以控制一个或多个系统的各种元件或子部件。根据处理参数和/或系统的类型,系统控制器630可以被编程以控制本文公开的任何工艺,包括控制工艺气体输送、温度设置(例如,加热和/或冷却)、压强设置、真空设置、功率设置、射频(RF)产生器设置、RF匹配电路设置、频率设置、流速设置、流体输送设置、位置及操作设置、晶片转移进出工具和其他转移工具和/或与具体系统连接或通过接口连接的装载锁。
宽泛地讲,系统控制器630可以被定义为接收指令、发布指令、控制操作、启用清洁操作、启用端点测量等等的具有各种集成电路、逻辑、存储器和/或软件的电子器件。集成电路可以包括存储程序指令的固件形式的芯片、数字信号处理器(DSP)、定义为专用集成电路(ASIC)的芯片和/或一个或多个微处理器或执行程序指令(例如,软件)的微控制器。程序指令可以是以各种单独设置(或程序文件)的形式通信到控制器的指令,该设置定义用于在半导体晶片或系统上或针对半导体晶片或系统执行特定过程的操作参数。在一些实施方式中,操作参数可以是由工艺工程师定义的用于在制备或去除晶片的一个或多个(种)层、材料、金属、氧化物、硅、二氧化硅、表面、电路和/或管芯期间完成一个或多个处理步骤的配方(recipe)的一部分。
在一些实现方式中,系统控制器630可以是与系统集成、耦合或者说是通过网络连接系统或它们的组合的计算机的一部分或者与该计算机耦合。例如,控制器可以在“云”中或者是fab主机系统的全部或一部分,其可以允许远程访问晶片处理。计算机可以启用对系统的远程访问以监测制造操作的当前进程,检查过去的制造操作的历史,检查多个制造操作的趋势或性能标准,改变当前处理的参数,设置处理步骤以跟随当前的处理或者开始新的工艺。在一些实例中,远程计算机(例如,服务器)可以通过网络给系统提供工艺配方,网络可以包括本地网络或互联网。远程计算机可以包括能够输入或编程参数和/或设置的用户界面,该参数和/或设置然后从远程计算机通信到系统。在一些实例中,系统控制器630接收数据形式的指令,该指令指明在一个或多个操作期间将要执行的每个处理步骤的参数。应当理解,参数可以针对将要执行的工艺类型以及工具类型,控制器被配置成连接或控制该工具类型。因此,如上所述,系统控制器630可以例如通过包括一个或多个分立的控制器而分布,这些分立的控制器通过网络连接在一起并且朝着共同的目标(例如,本文所述的工艺和控制)工作。用于这些目的的分布式控制器的实例可以是与结合以控制室内工艺的一个或多个远程集成电路(例如,在平台水平或作为远程计算机的一部分)通信的室上的一个或多个集成电路。
在非限制性的条件下,示例的系统可以包括等离子体蚀刻室或模块、沉积室或模块、旋转清洗室或模块、金属电镀室或模块、清洁室或模块、倒角边缘蚀刻室或模块、物理气相沉积(PVD)室或模块、化学气相沉积(CVD)室或模块、ALD室或模块、ALE室或模块、离子注入室或模块、轨道室或模块、以及在半导体晶片的制备和/或制造中可以关联上或使用的任何其他的半导体处理系统。
如上所述,根据工具将要执行的一个或多个工艺步骤,控制器可以与一个或多个其他的工具电路或模块、其他工具组件、群集工具、其他工具界面、相邻的工具、邻接工具、位于整个工厂中的工具、主机、另一个控制器、或者在将晶片的容器往来于半导体制造工厂中的工具位置和/或装载口搬运的材料搬运中使用的工具通信。
图7描述了半导体工艺集群结构,其中各个模块与真空传送模块738(VTM)接口。在多个存储设备和处理模块之间“传送”晶片的多个模块装置可以被称为“集群工具架构”系统。气密室730(也被称为装载锁或传送模块)与VTM 738连接,VTM 738进而与四个处理模块720a-720d连接,四个处理模块720a-720d可以被单独优化以执行各种制造工艺。例如,处理模块720a-720d可以被实现以执行衬底蚀刻、沉积、离子注入、晶片清洁、溅射和/或其它半导体工艺。在一些实施方式中,ALD和ALE在相同的模块中进行。在一些实施方式中,ALD和ALE在相同工具中的不同模块中进行。衬底蚀刻处理模块中的一个或多个(720a-720d中的任意一个)可以如本文所公开的被实施,即,用于沉积保形膜,通过ALE定向蚀刻膜,蚀刻图案,以及根据所公开的实施方式所述的其它合适的功能。气密室730和处理模块720a-720d可以被称为“站”。每个站具有将站与VTM 738连接的小面736(facet 736)。在每个小面内部,传感器1-18被用于在衬底726在各站之间移动时检测衬底726的通过。
机械手722将晶片726在各站之间传输。在一个实施方式中,机械手722具有一个臂,而在另一实施方式中,机械手具有两个臂,其中每个臂具有端部执行器724以拾取晶片(例如晶片726)以供运输。在大气传送模块(ATM)740中,前端机械手732用于从在负载端口模块(LPM)742中的晶片盒或前开式晶片盒(FOUP)734传送晶片726到气密室730。处理模块720a-720d内的模块中心728是用于放置晶片726的一个位置。在ATM 740中的对准器744用于对齐晶片。
在一示例性的处理方法中,晶片被放置在LPM 742中的多个FOUP 734中的一个中。前端机械手732将晶片从FOUP 734传送到对准器744,其允许晶片726在被蚀刻或处理之前适当地居中。对准后,晶片726由前端机械手732移动到气密室730中。由于气密室730具有匹配ATM 740和VTM 738之间的环境的能力,因此晶片726能够在两种压强环境之间移动而不被破坏。从气密室730,晶片726通过机械手722移动通过VTM 738并进入处理模块720a-720d中的一个。为了实现这种晶片移动,机械手722在其每一个臂上使用端部执行器724。一旦晶片726已被处理,则通过机械手722将其从处理模块720a-720d移动到气密室730中。晶片726可以从这里通过前端机械手722移动到多个FOUP 734中的一个中或到对准器744。
应当注意的是,控制晶片运动的计算机对于集群架构可以是本地的,或者它可以位于在制造工厂中的集群架构的外部,或在远程位置并通过网络连接到集群架构。如上参照图6所述的控制器可以用图7中的工具实施。
实验
实验1
钨的蚀刻速率针对氯化偏置功率绘图,其针对在氯气吸附而无氩溅射下的蚀刻,以及针对在有氯吸附与氩溅射下的原子层蚀刻(ALE)处理。该结果绘于图8中。虚线描绘了钨的蚀刻速率与氯化偏置(例如,在氯吸附期间的偏置功率)的关系,其针对涉及吸附氯气并在900W下点燃等离子体、且没有氩溅射的工艺。实线描绘了钨的蚀刻速率与氯化偏置的关系曲线,其针对涉及吸附氯气并在900W下点燃等离子体、随后在60V的偏置功率下进行氩轰击的工艺。如在图8中所示的氯化偏置阈值电压为约60V。注意如果氯化偏置小于60V,则在不使用氩的离子轰击的情况下钨不会被蚀刻。如果氯化偏置大于60V,则在没有氩离子轰击的情况下,钨的蚀刻速率比用氩离子轰击时要低得多。这些结果表明,氩离子轰击可被用于调节在各种实施方式中由ALE方法进行的钨的蚀刻的速率,由此1)在氯化期间,没有蚀刻时,氯被吸附在钨衬底上,和2)在氩离子轰击期间,偏置功率被控制以通过设置比溅射阈值低的偏置功率来减少或防止物理去除(或溅射)。
实验2
在具有待用钨填充的特征的衬底上进行实验。该特征用氮化钛(TiN)阻挡层作衬里。钨在特征的表面上核化,并且钨通过原子层沉积(交替WF6的脉冲和B2H6的脉冲)来沉积。图9A示出了衬底910中的衬有TiN阻挡层914和保形钨层916的20纳米的特征912。开口918a显示在特征的顶部。
在图9A中的衬底暴露于10个ALE循环,这些循环涉及交替的(1)Cl2/BCl3(900W的原位感应耦合等离子体功率,没有偏置,60℃)的脉冲,和(2)氩气(压强比(1)低,300W的等离子体,60℃,60Vb的偏置)的脉冲。所得衬底示于图9B。注意,开口918b打开,由此使得随后钨能沉积到特征中以完全填充特征。下面的表1示出了在衬底的不同部分以及沟槽开口沉积的钨的厚度和TiN阻挡层的平均厚度的测量结果。测量结果以纳米为单位显示。
表1.ALE前和后的测量结果
Figure BDA0003031514320000241
将衬底进一步暴露于5个ALE循环,这些循环涉及交替的(1)Cl2/BCl3(900W的原位感应耦合等离子体功率,没有偏置,60℃)的脉冲,和(2)氩气(压强比(1)低,300W的等离子体,60℃,60Vb的偏置)的脉冲。所得测量结果示于下表2。
表2.ALE前和后的测量结果
Figure BDA0003031514320000251
这些结果表明,所公开的实施方式使得能根据循环的数量、参数以及其他因素对被蚀刻的钨膜的量进行精确控制。例如,为了蚀刻更多的钨,可执行多个循环。表2中的结果表明一些由于ALE工艺导致的钨凹槽,但后续的钨的沉积循环可以恢复在ALE中蚀刻掉的钨。TiN阻挡层保留在衬底上,并且可以调节ALE的蚀刻循环,以确保有足够的钨保持在特征的表面上,以便不暴露TiN阻挡层。
结论
虽然为了清楚理解的目的已经相当详细地描述了前述的实施方式,但是显而易见的是,可在所附权利要求书的范围内实施某些变化和修改。应当注意的是,具有实施本发明实施方式的工艺、系统和装置的许多替代方式。因此,本发明的实施方式应被视为是说明性的而不是限制性的,并且所述实施方式并不限于本文所给出的细节。

Claims (19)

1.一种方法,所述方法包括:
相对于特征的内部区域,通过以下操作优先蚀刻在衬底上的所述特征的开口处或附近的第一数量的金属:
(i)通过使所述特征暴露于含卤素的气体以形成所述第一数量的金属的改性的表面;
(ii)使所述改性的表面暴露于活化气体;
(iii)在(i)和(ii)中的至少一种期间使用偏置功率对所述衬底施加偏置。
2.根据权利要求1所述的方法,其中所述金属包含钨或钼。
3.根据权利要求1所述的方法,其中当在(i)期间施加偏置时,所述偏置功率大于0V并且小于约200V。
4.根据权利要求1所述的方法,其中当在(ii)期间施加偏置时,所述偏置功率小于阈值偏置功率。
5.根据权利要求1所述的方法,其中所述第一数量的金属的所述改性表面的形成是自限反应。
6.根据权利要求1所述的方法,还包括将所述第一数量的金属沉积到所述衬底上的所述特征内,其中所述沉积和所述优先蚀刻在不破坏真空的情况下进行。
7.根据权利要求6所述的方法,其中所述沉积和所述优先蚀刻在相同工具的不同室中进行。
8.根据权利要求1所述的方法,还包括在(i)和(ii)的至少一个期间点燃等离子体。
9.根据权利要求1所述的方法,还包括在优先蚀刻所述第一数量的金属以后在所述特征内沉积第二数量的金属。
10.根据权利要求9所述的方法,其中所述金属是使用一种或多种钨前体或其组合沉积的钨。
11.根据权利要求9所述的方法,其中,所述金属选自无氟钨、钛、钽、镍和钴所组成的组。
12.根据权利要求1所述的方法,其中,所述含卤素的气体选自氯,溴、碘及其组合所组成的组。
13.如权利要求1所述的方法,其中所述活化气体选自氦、氖、氪和氩所组成的组。
14.一种方法,其包括:
相对于特征的内部区域,通过以下操作优先蚀刻在衬底上的所述特征的开口处或附近的第一数量的金属:(i)通过使所述特征暴露于含卤素的气体以形成所述第一数量的金属的改性的表面;以及
(ii)在大约1mTorr和大约15mTorr之间的室压力下将所述改性的表面暴露于活化气体。
15.根据权利要求14所述的方法,其中所述金属包含钨或钼。
16.根据权利要求14所述的方法,其中所述第一数量的金属的所述改性表面的形成是自限反应。
17.根据权利要求14所述的方法,还包括将所述第一数量的金属沉积到所述衬底上的所述特征内,其中所述沉积和所述优先蚀刻在不破坏真空的情况下进行。
18.根据权利要求14所述的方法,还包括在(i)和(ii)的至少一个期间点燃等离子体。
19.根据权利要求14所述的方法,还包括在优先蚀刻所述第一数量的金属以后在所述特征内沉积第二数量的金属。
CN202110431456.3A 2015-08-07 2016-08-08 用于增强钨沉积填充的钨的原子层蚀刻 Pending CN113380695A (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201562202670P 2015-08-07 2015-08-07
US62/202,670 2015-08-07
US14/830,683 US9972504B2 (en) 2015-08-07 2015-08-19 Atomic layer etching of tungsten for enhanced tungsten deposition fill
US14/830,683 2015-08-19
CN201610643282.6A CN106449360A (zh) 2015-08-07 2016-08-08 用于增强钨沉积填充的钨的原子层蚀刻

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN201610643282.6A Division CN106449360A (zh) 2015-08-07 2016-08-08 用于增强钨沉积填充的钨的原子层蚀刻

Publications (1)

Publication Number Publication Date
CN113380695A true CN113380695A (zh) 2021-09-10

Family

ID=58053530

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201610643282.6A Pending CN106449360A (zh) 2015-08-07 2016-08-08 用于增强钨沉积填充的钨的原子层蚀刻
CN202110431456.3A Pending CN113380695A (zh) 2015-08-07 2016-08-08 用于增强钨沉积填充的钨的原子层蚀刻

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN201610643282.6A Pending CN106449360A (zh) 2015-08-07 2016-08-08 用于增强钨沉积填充的钨的原子层蚀刻

Country Status (6)

Country Link
US (5) US9972504B2 (zh)
JP (1) JP2017053024A (zh)
KR (6) KR102312951B1 (zh)
CN (2) CN106449360A (zh)
SG (1) SG10201606450VA (zh)
TW (3) TWI828995B (zh)

Families Citing this family (172)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
TWI602283B (zh) 2012-03-27 2017-10-11 諾發系統有限公司 鎢特徵部塡充
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9576811B2 (en) 2015-01-12 2017-02-21 Lam Research Corporation Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch)
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9806252B2 (en) 2015-04-20 2017-10-31 Lam Research Corporation Dry plasma etch method to pattern MRAM stack
US9870899B2 (en) 2015-04-24 2018-01-16 Lam Research Corporation Cobalt etch back
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US9972504B2 (en) * 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US10096487B2 (en) 2015-08-19 2018-10-09 Lam Research Corporation Atomic layer etching of tungsten and other metals
US9978610B2 (en) 2015-08-21 2018-05-22 Lam Research Corporation Pulsing RF power in etch process to enhance tungsten gapfill performance
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9984858B2 (en) 2015-09-04 2018-05-29 Lam Research Corporation ALE smoothness: in and outside semiconductor industry
CN107026113B (zh) * 2016-02-02 2020-03-31 中芯国际集成电路制造(上海)有限公司 半导体装置的制造方法和系统
US10115601B2 (en) * 2016-02-03 2018-10-30 Tokyo Electron Limited Selective film formation for raised and recessed features using deposition and etching processes
US10727073B2 (en) 2016-02-04 2020-07-28 Lam Research Corporation Atomic layer etching 3D structures: Si and SiGe and Ge smoothness on horizontal and vertical surfaces
US10229837B2 (en) * 2016-02-04 2019-03-12 Lam Research Corporation Control of directionality in atomic layer etching
US9991128B2 (en) 2016-02-05 2018-06-05 Lam Research Corporation Atomic layer etching in continuous plasma
US10269566B2 (en) 2016-04-29 2019-04-23 Lam Research Corporation Etching substrates using ale and selective deposition
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US9837312B1 (en) * 2016-07-22 2017-12-05 Lam Research Corporation Atomic layer etching for enhanced bottom-up feature fill
US10269926B2 (en) * 2016-08-24 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Purging deposition tools to reduce oxygen and moisture in wafers
JP6759004B2 (ja) * 2016-08-29 2020-09-23 東京エレクトロン株式会社 被処理体を処理する方法
US10566211B2 (en) 2016-08-30 2020-02-18 Lam Research Corporation Continuous and pulsed RF plasma for etching metals
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) * 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566212B2 (en) 2016-12-19 2020-02-18 Lam Research Corporation Designer atomic layer etching
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
JP6847202B2 (ja) * 2017-03-31 2021-03-24 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US10559461B2 (en) 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
US10832909B2 (en) 2017-04-24 2020-11-10 Lam Research Corporation Atomic layer etch, reactive precursors and energetic sources for patterning applications
US9997371B1 (en) 2017-04-24 2018-06-12 Lam Research Corporation Atomic layer etch methods and hardware for patterning applications
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US10950498B2 (en) 2017-05-31 2021-03-16 Applied Materials, Inc. Selective and self-limiting tungsten etch process
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
JP2020522130A (ja) 2017-05-31 2020-07-27 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 3d−nandデバイスでのワードライン分離のための方法
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
KR102370620B1 (ko) 2017-07-10 2022-03-04 삼성전자주식회사 반도체 메모리 장치 및 도전체 구조물
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
CN111095513B (zh) 2017-08-18 2023-10-31 应用材料公司 高压高温退火腔室
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
TWI778118B (zh) * 2017-09-05 2022-09-21 美商應用材料股份有限公司 來自次氧化物的自對準結構
US10269559B2 (en) * 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10763083B2 (en) * 2017-10-06 2020-09-01 Lam Research Corporation High energy atomic layer etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
CN117936417A (zh) 2017-11-11 2024-04-26 微材料有限责任公司 用于高压处理腔室的气体输送系统
WO2019099255A2 (en) 2017-11-17 2019-05-23 Applied Materials, Inc. Condenser system for high pressure processing system
JP7018748B2 (ja) * 2017-11-28 2022-02-14 東京エレクトロン株式会社 成膜方法及び成膜条件の算出方法
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
WO2019173006A1 (en) 2018-03-09 2019-09-12 Applied Materials, Inc. High pressure annealing process for metal containing materials
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11217456B2 (en) 2018-03-26 2022-01-04 Intel Corporation Selective etching and controlled atomic layer etching of transition metal oxide films for device fabrication
EP3776636A4 (en) * 2018-03-30 2021-12-22 Lam Research Corporation ATOMIC LAYER ENGRAVING AND SMOOTHING OF REFRACTORY METALS AND OTHER HIGH SURFACE BOND ENERGY MATERIALS
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10572697B2 (en) 2018-04-06 2020-02-25 Lam Research Corporation Method of etch model calibration using optical scatterometry
WO2019199697A1 (en) 2018-04-10 2019-10-17 Lam Research Corporation Resist and etch modeling
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
KR102708927B1 (ko) 2018-04-10 2024-09-23 램 리써치 코포레이션 피처들을 특징화하기 위한 머신 러닝의 광학 계측
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
WO2019213604A1 (en) * 2018-05-03 2019-11-07 Lam Research Corporation Method of depositing tungsten and other metals in 3d nand structures
KR20200141522A (ko) * 2018-05-04 2020-12-18 어플라이드 머티어리얼스, 인코포레이티드 금속 필름들의 증착
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
KR102466724B1 (ko) * 2018-06-19 2022-11-15 주식회사 원익아이피에스 박막 형성 방법
JP7126381B2 (ja) * 2018-05-21 2022-08-26 東京エレクトロン株式会社 成膜装置および成膜方法
TWI740046B (zh) 2018-05-28 2021-09-21 國立清華大學 原子層沉積方法及鈷金屬膜
WO2019246500A1 (en) 2018-06-22 2019-12-26 Applied Materials, Inc. Catalyzed deposition of metal films
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10727046B2 (en) * 2018-07-06 2020-07-28 Lam Research Corporation Surface modified depth controlled deposition for plasma based deposition
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
JP7542939B2 (ja) * 2018-08-20 2024-09-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面および関連する半導体デバイス構造上のギャップ特徴を充填するための方法
US10535523B1 (en) 2018-08-30 2020-01-14 Taiwan Semiconductor Manufacturing Co., Ltd. Formation and in-situ etching processes for metal layers
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
CN112740364B (zh) * 2018-09-14 2024-02-27 株式会社国际电气 半导体装置的制造方法、基板处理装置和记录介质
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
JP7195106B2 (ja) * 2018-10-12 2022-12-23 東京エレクトロン株式会社 成膜方法及び基板処理システム
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US10930493B2 (en) 2018-10-29 2021-02-23 Applied Materials, Inc. Linerless continuous amorphous metal films
WO2020106649A1 (en) 2018-11-19 2020-05-28 Lam Research Corporation Molybdenum templates for tungsten
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
JP2022513479A (ja) 2018-12-14 2022-02-08 ラム リサーチ コーポレーション 3d nand構造上の原子層堆積
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
KR20210105439A (ko) * 2019-01-15 2021-08-26 램 리써치 코포레이션 금속-프리 리간드들을 사용하는 금속 원자 층 에칭 및 증착 장치들과 프로세스들
WO2020159882A1 (en) 2019-01-28 2020-08-06 Lam Research Corporation Deposition of metal films
US10977405B2 (en) * 2019-01-29 2021-04-13 Lam Research Corporation Fill process optimization using feature scale modeling
WO2020185618A1 (en) 2019-03-11 2020-09-17 Lam Research Corporation Precursors for deposition of molybdenum-containing films
KR20210141762A (ko) 2019-04-11 2021-11-23 램 리써치 코포레이션 고 단차 커버리지 (step coverage) 텅스텐 증착
KR20210151229A (ko) * 2019-04-29 2021-12-13 램 리써치 코포레이션 서브트랙티브 (subtractive) 금속 에칭을 위한 원자 층 에칭
WO2020222853A1 (en) 2019-05-01 2020-11-05 Lam Research Corporation Modulated atomic layer deposition
WO2020230522A1 (ja) * 2019-05-15 2020-11-19 昭和電工株式会社 金属除去方法、ドライエッチング方法、及び半導体素子の製造方法
CN114207858A (zh) * 2019-07-31 2022-03-18 朗姆研究公司 用于mram图案化的化学蚀刻非挥发性材料
US11024537B2 (en) * 2019-08-09 2021-06-01 Applied Materials, Inc. Methods and apparatus for hybrid feature metallization
JP2022544931A (ja) 2019-08-12 2022-10-24 ラム リサーチ コーポレーション タングステン堆積
US11101174B2 (en) 2019-10-15 2021-08-24 Applied Materials, Inc. Gap fill deposition process
JP7486588B2 (ja) * 2020-01-16 2024-05-17 インテグリス・インコーポレーテッド エッチングまたは堆積のための方法
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
CN115244666A (zh) * 2020-03-06 2022-10-25 朗姆研究公司 钼的原子层蚀刻
US11776980B2 (en) * 2020-03-13 2023-10-03 Applied Materials, Inc. Methods for reflector film growth
KR102428642B1 (ko) * 2020-06-01 2022-08-02 인하대학교 산학협력단 구리 박막의 건식 식각방법
WO2022025644A1 (ko) * 2020-07-30 2022-02-03 주성엔지니어링(주) 박막 형성 방법
KR20220030456A (ko) * 2020-09-01 2022-03-11 삼성전자주식회사 반도체 장치
KR20220030455A (ko) * 2020-09-01 2022-03-11 삼성전자주식회사 반도체 장치
CN116034456A (zh) 2020-09-03 2023-04-28 应用材料公司 选择性各向异性金属蚀刻
US20230113514A1 (en) * 2021-10-08 2023-04-13 Applied Materials, Inc. Methods for seamless gap filling using gradient oxidation
TWI790028B (zh) 2021-12-09 2023-01-11 財團法人工業技術研究院 沉積設備及沉積方法
US20230187355A1 (en) * 2021-12-15 2023-06-15 International Business Machines Corporation Method to Produce Buried Nb Lines Surrounded by Ti
CN117941038A (zh) * 2021-12-28 2024-04-26 株式会社国际电气 衬底处理方法、半导体器件的制造方法、衬底处理装置及程序
US20230268223A1 (en) * 2022-02-24 2023-08-24 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices and methods of manufacture
JP2023146703A (ja) * 2022-03-29 2023-10-12 東京エレクトロン株式会社 埋込方法及び基板処理システム
US20230343643A1 (en) * 2022-04-25 2023-10-26 Applied Materials, Inc. Gradient oxidation and etch for pvd metal as bottom liner in bottom up gap fill
US20230420295A1 (en) * 2022-06-22 2023-12-28 Applied Materials, Inc. Treatment of tungsten surface for tungsten gap-fill
WO2024005892A1 (en) * 2022-06-30 2024-01-04 Applied Materials, Inc. Plasma enhanced tungsten nucleation for low resistivity
US20240055270A1 (en) * 2022-08-11 2024-02-15 Tokyo Electron Limited Substrate processing with material modification and removal
WO2024112078A1 (ko) * 2022-11-21 2024-05-30 고려대학교 세종산학협력단 활성화된 프로톤 어시스트 플라즈마 식각을 포함하는 박막공정 방법 및 장치
KR102688218B1 (ko) * 2023-10-31 2024-07-25 인하대학교 산학협력단 순환 식각을 이용한 코발트 박막의 식각 방법

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120009785A1 (en) * 2010-07-09 2012-01-12 Anand Chandrashekar Depositing Tungsten Into High Aspect Ratio Features
CN104272441A (zh) * 2012-03-27 2015-01-07 诺发系统公司 钨特征填充

Family Cites Families (261)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5416280B2 (zh) 1971-12-30 1979-06-21
JPH061769B2 (ja) 1983-08-10 1994-01-05 株式会社日立製作所 アルミナ膜のパターニング方法
US4714520A (en) 1985-07-25 1987-12-22 Advanced Micro Devices, Inc. Method for filling a trench in an integrated circuit structure without producing voids
JPS62216224A (ja) 1986-03-17 1987-09-22 Fujitsu Ltd タングステンの選択成長方法
US4713141A (en) 1986-09-22 1987-12-15 Intel Corporation Anisotropic plasma etching of tungsten
US4874723A (en) 1987-07-16 1989-10-17 Texas Instruments Incorporated Selective etching of tungsten by remote and in situ plasma generation
US5147500A (en) 1987-07-31 1992-09-15 Hitachi, Ltd. Dry etching method
US4891550A (en) 1987-10-15 1990-01-02 Duro-Test Corporation Phosphor blend for broad spectrum fluorescent lamp
US4997520A (en) 1988-06-10 1991-03-05 Texas Instruments Incorporated Method for etching tungsten
US5037775A (en) 1988-11-30 1991-08-06 Mcnc Method for selectively depositing single elemental semiconductor material on substrates
JPH02187031A (ja) 1989-01-14 1990-07-23 Sharp Corp 半導体装置
US4988644A (en) 1989-05-23 1991-01-29 Texas Instruments Incorporated Method for etching semiconductor materials using a remote plasma generator
JP3019367B2 (ja) 1990-06-21 2000-03-13 日本電気株式会社 半導体装置の製造方法
JPH04142061A (ja) 1990-10-02 1992-05-15 Sony Corp タングステンプラグの形成方法
US5164330A (en) 1991-04-17 1992-11-17 Intel Corporation Etchback process for tungsten utilizing a NF3/AR chemistry
JPH05226280A (ja) 1992-02-14 1993-09-03 Matsushita Electric Ind Co Ltd 半導体装置の製造方法
CA2067565C (en) 1992-04-29 1999-02-16 Ismail T. Emesh Deposition of tungsten
JPH06151382A (ja) 1992-11-11 1994-05-31 Toshiba Corp ドライエッチング方法
DE4241045C1 (de) 1992-12-05 1994-05-26 Bosch Gmbh Robert Verfahren zum anisotropen Ätzen von Silicium
JPH06326060A (ja) 1993-05-12 1994-11-25 Hitachi Ltd 固体表面加工方法
JP2881371B2 (ja) 1993-09-20 1999-04-12 東京エレクトロン株式会社 真空処理装置及び真空処理装置集合体のクリーニング方法
US5616208A (en) 1993-09-17 1997-04-01 Tokyo Electron Limited Vacuum processing apparatus, vacuum processing method, and method for cleaning the vacuum processing apparatus
JP3014019B2 (ja) 1993-11-26 2000-02-28 日本電気株式会社 半導体装置の製造方法
US5431774A (en) 1993-11-30 1995-07-11 Texas Instruments Incorporated Copper etching
KR0179677B1 (ko) 1993-12-28 1999-04-15 사토 후미오 반도체장치 및 그 제조방법
JP3291889B2 (ja) 1994-02-15 2002-06-17 ソニー株式会社 ドライエッチング方法
US6022806A (en) 1994-03-15 2000-02-08 Kabushiki Kaisha Toshiba Method of forming a film in recess by vapor phase growth
JPH0831935A (ja) 1994-07-13 1996-02-02 Nkk Corp 半導体装置の製造方法
US5489552A (en) 1994-12-30 1996-02-06 At&T Corp. Multiple layer tungsten deposition process
JP2737764B2 (ja) 1995-03-03 1998-04-08 日本電気株式会社 半導体装置及びその製造方法
JP3538970B2 (ja) 1995-05-24 2004-06-14 ヤマハ株式会社 配線形成法
JPH0922896A (ja) 1995-07-07 1997-01-21 Toshiba Corp 金属膜の選択的形成方法
DE19681602T1 (de) 1995-10-19 1998-11-26 Massachusetts Inst Technology Verfahren zum Entfernen von Metall
US5747379A (en) 1996-01-11 1998-05-05 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating seamless tungsten plug employing tungsten redeposition and etch back
US5833817A (en) 1996-04-22 1998-11-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method for improving conformity and contact bottom coverage of sputtered titanium nitride barrier layers
JP3511802B2 (ja) 1996-05-27 2004-03-29 ソニー株式会社 金属配線の形成方法
JPH09326436A (ja) 1996-06-06 1997-12-16 Sony Corp 配線形成方法
US5677237A (en) 1996-06-21 1997-10-14 Taiwan Semiconductor Manufacturing Company Ltd. Process for removing seams in tungsten plugs
US5893758A (en) 1996-06-26 1999-04-13 Micron Technology, Inc. Etching method for reducing cusping at openings
US5963833A (en) 1996-07-03 1999-10-05 Micron Technology, Inc. Method for cleaning semiconductor wafers and
KR100214852B1 (ko) 1996-11-02 1999-08-02 김영환 반도체 디바이스의 금속 배선 형성 방법
JP3869089B2 (ja) 1996-11-14 2007-01-17 株式会社日立製作所 半導体集積回路装置の製造方法
JP2891952B2 (ja) 1996-12-17 1999-05-17 芝浦メカトロニクス株式会社 半導体装置の製造方法
US6184158B1 (en) 1996-12-23 2001-02-06 Lam Research Corporation Inductively coupled plasma CVD
KR100239442B1 (ko) 1996-12-26 2000-01-15 김영환 콘택홀 내의 전도성 플로그 형성방법
EP0856877A1 (en) 1997-01-31 1998-08-05 Texas Instruments Incorporated Process for forming integrated circuits using multistep plasma etching
JPH10256187A (ja) 1997-03-14 1998-09-25 Nippon Steel Corp 半導体装置及びその製造方法
US5866483A (en) 1997-04-04 1999-02-02 Applied Materials, Inc. Method for anisotropically etching tungsten using SF6, CHF3, and N2
US5807786A (en) 1997-07-30 1998-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making a barrier layer to protect programmable antifuse structure from damage during fabrication sequence
TW359884B (en) 1998-01-07 1999-06-01 Nanya Technology Co Ltd Multi-level interconnects with I-plug and production process therefor
US6323132B1 (en) 1998-01-13 2001-11-27 Applied Materials, Inc. Etching methods for anisotropic platinum profile
EP1048064A1 (en) 1998-01-13 2000-11-02 Applied Materials, Inc. Etching methods for anisotropic platinum profile
US6110822A (en) 1998-03-25 2000-08-29 Taiwan Semiconductor Manufacturing Company Method for forming a polysilicon-interconnect contact in a TFT-SRAM
US6030881A (en) 1998-05-05 2000-02-29 Novellus Systems, Inc. High throughput chemical vapor deposition process capable of filling high aspect ratio structures
US6432830B1 (en) 1998-05-15 2002-08-13 Applied Materials, Inc. Semiconductor fabrication process
WO1999067056A1 (en) 1998-06-23 1999-12-29 Arch Specialty Chemicals, Inc. Composition for the chemical mechanical polishing of metal layers
US6140233A (en) 1998-06-25 2000-10-31 Samsung Electronics Co., Ltd. Method of manufacturing semiconductor devices, etching compositions for manufacturing semiconductor devices, and semiconductor devices thereby
TW436366B (en) 1998-08-21 2001-05-28 United Microelectronics Corp Method of fabricating a plug
US6177353B1 (en) 1998-09-15 2001-01-23 Infineon Technologies North America Corp. Metallization etching techniques for reducing post-etch corrosion of metal lines
US6245654B1 (en) 1999-03-31 2001-06-12 Taiwan Semiconductor Manufacturing Company, Ltd Method for preventing tungsten contact/via plug loss after a backside pressure fault
US6294468B1 (en) 1999-05-24 2001-09-25 Agere Systems Guardian Corp. Method of chemical vapor depositing tungsten films
US6503843B1 (en) 1999-09-21 2003-01-07 Applied Materials, Inc. Multistep chamber cleaning and film deposition process using a remote plasma that also enhances film gap fill
US8696875B2 (en) * 1999-10-08 2014-04-15 Applied Materials, Inc. Self-ionized and inductively-coupled plasma for sputtering and resputtering
US6458694B2 (en) 2000-01-24 2002-10-01 Ebara Corporation High energy sputtering method for forming interconnects
JP2001274114A (ja) 2000-03-28 2001-10-05 Toshiba Corp 半導体装置の製造方法
JP3662472B2 (ja) 2000-05-09 2005-06-22 エム・エフエスアイ株式会社 基板表面の処理方法
JP2002009017A (ja) 2000-06-22 2002-01-11 Mitsubishi Electric Corp 半導体装置の製造方法
JP2002016066A (ja) 2000-06-27 2002-01-18 Mitsubishi Electric Corp 半導体装置およびその製造方法
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
JP2002043201A (ja) 2000-07-28 2002-02-08 Mitsubishi Electric Corp 半導体装置の製造方法及び半導体装置
US6527855B2 (en) 2000-10-10 2003-03-04 Rensselaer Polytechnic Institute Atomic layer deposition of cobalt from cobalt metallorganic compounds
US20020058409A1 (en) 2000-11-16 2002-05-16 Ching-Te Lin Elimination of overhang in liner/barrier/seed layers using post-deposition sputter etch
KR100399417B1 (ko) 2001-01-08 2003-09-26 삼성전자주식회사 반도체 집적 회로의 제조 방법
US6376376B1 (en) 2001-01-16 2002-04-23 Chartered Semiconductor Manufacturing Ltd. Method to prevent CU dishing during damascene formation
KR20020072996A (ko) 2001-03-14 2002-09-19 주성엔지니어링(주) 금속 플러그 형성방법
US6448192B1 (en) 2001-04-16 2002-09-10 Motorola, Inc. Method for forming a high dielectric constant material
US6755945B2 (en) 2001-05-04 2004-06-29 Tokyo Electron Limited Ionized PVD with sequential deposition and etching
US7005372B2 (en) 2003-01-21 2006-02-28 Novellus Systems, Inc. Deposition of tungsten nitride
US7955972B2 (en) 2001-05-22 2011-06-07 Novellus Systems, Inc. Methods for growing low-resistivity tungsten for high aspect ratio and small features
US7589017B2 (en) 2001-05-22 2009-09-15 Novellus Systems, Inc. Methods for growing low-resistivity tungsten film
US6635965B1 (en) 2001-05-22 2003-10-21 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
US7141494B2 (en) 2001-05-22 2006-11-28 Novellus Systems, Inc. Method for reducing tungsten film roughness and improving step coverage
JP2002353161A (ja) 2001-05-25 2002-12-06 Mitsubishi Electric Corp 半導体装置の製造方法及び半導体装置
JP3822804B2 (ja) 2001-06-18 2006-09-20 株式会社日立製作所 半導体装置の製造方法
US6686278B2 (en) 2001-06-19 2004-02-03 United Microelectronics Corp. Method for forming a plug metal layer
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
US9051641B2 (en) * 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US7115516B2 (en) 2001-10-09 2006-10-03 Applied Materials, Inc. Method of depositing a material layer
JP2003142484A (ja) 2001-10-31 2003-05-16 Mitsubishi Electric Corp 半導体装置の製造方法
US6872323B1 (en) 2001-11-01 2005-03-29 Novellus Systems, Inc. In situ plasma process to remove fluorine residues from the interior surfaces of a CVD reactor
KR100437455B1 (ko) 2001-12-10 2004-06-23 삼성전자주식회사 반도체 장치 형성 방법
KR20030058853A (ko) 2002-01-02 2003-07-07 주식회사 하이닉스반도체 반도체 소자의 플러그 형성 방법
US6828226B1 (en) 2002-01-09 2004-12-07 Taiwan Semiconductor Manufacturing Company, Limited Removal of SiON residue after CMP
JP3971192B2 (ja) 2002-01-11 2007-09-05 株式会社アルバック Cvd装置
US6998014B2 (en) 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6797620B2 (en) 2002-04-16 2004-09-28 Applied Materials, Inc. Method and apparatus for improved electroplating fill of an aperture
WO2003098662A2 (en) 2002-05-14 2003-11-27 Tokyo Electron Limited PLASMA ETCHING OF Cu-CONTAINING LAYERS
KR100446300B1 (ko) 2002-05-30 2004-08-30 삼성전자주식회사 반도체 소자의 금속 배선 형성 방법
DE10224160A1 (de) * 2002-05-31 2003-12-18 Advanced Micro Devices Inc Eine Diffusionsbarrierenschicht in Halbleitersubstraten zur Reduzierung der Kupferkontamination von der Rückseite her
US20030235995A1 (en) 2002-06-21 2003-12-25 Oluseyi Hakeem M. Method of increasing selectivity to mask when etching tungsten or tungsten nitride
US6884730B2 (en) 2002-07-02 2005-04-26 Headway Technologies, Inc. Method of etching a film of magnetic material and method of manufacturing a thin-film magnetic head
US7240564B2 (en) 2002-07-30 2007-07-10 Alliant Techsystems Inc. Method and apparatus for detecting and determining event characteristics with reduced data collection
US6802944B2 (en) 2002-10-23 2004-10-12 Applied Materials, Inc. High density plasma CVD process for gapfill into high aspect ratio features
KR100542740B1 (ko) 2002-11-11 2006-01-11 삼성전자주식회사 가스 플라즈마 생성 방법 및 장치, 플라즈마 생성용 가스조성물 및 이를 이용한 반도체 장치의 제조 방법
US6933239B2 (en) 2003-01-13 2005-08-23 Applied Materials, Inc. Method for removing conductive residue
KR100528073B1 (ko) 2003-04-07 2005-11-15 동부아남반도체 주식회사 반도체소자 제조방법
US6841484B2 (en) 2003-04-17 2005-01-11 Chentsau Ying Method of fabricating a magneto-resistive random access memory (MRAM) device
JP2004332045A (ja) 2003-05-07 2004-11-25 Renesas Technology Corp 多層膜材料のドライエッチング方法
US6844258B1 (en) 2003-05-09 2005-01-18 Novellus Systems, Inc. Selective refractory metal and nitride capping
TW200428532A (en) * 2003-06-03 2004-12-16 Silicon Integrated Sys Corp Method of modifying conductive wiring
US7205240B2 (en) 2003-06-04 2007-04-17 Applied Materials, Inc. HDP-CVD multistep gapfill process
US20040266174A1 (en) 2003-06-27 2004-12-30 Chin-Tien Yang Method and apparatus of preventing tungsten pullout during tungsten chemical mill processing
US7993460B2 (en) 2003-06-30 2011-08-09 Lam Research Corporation Substrate support having dynamic temperature control
KR20050011479A (ko) 2003-07-23 2005-01-29 주식회사 하이닉스반도체 반도체 소자의 텅스텐 콘택플러그 형성방법
KR100555514B1 (ko) 2003-08-22 2006-03-03 삼성전자주식회사 저 저항 텅스텐 배선을 갖는 반도체 메모리 소자 및 그제조방법
US7005387B2 (en) 2003-11-08 2006-02-28 Advanced Micro Devices, Inc. Method for preventing an increase in contact hole width during contact formation
US7341946B2 (en) 2003-11-10 2008-03-11 Novellus Systems, Inc. Methods for the electrochemical deposition of copper onto a barrier layer of a work piece
US7223693B2 (en) 2003-12-12 2007-05-29 Samsung Electronics Co., Ltd. Methods for fabricating memory devices using sacrificial layers and memory devices fabricated by same
US20050233555A1 (en) 2004-04-19 2005-10-20 Nagarajan Rajagopalan Adhesion improvement for low k dielectrics to conductive materials
US7199045B2 (en) 2004-05-26 2007-04-03 Taiwan Semiconductor Manufacturing Company, Ltd. Metal-filled openings for submicron devices and methods of manufacture thereof
US7582127B2 (en) 2004-06-16 2009-09-01 Cabot Microelectronics Corporation Polishing composition for a tungsten-containing substrate
US7115522B2 (en) 2004-07-09 2006-10-03 Kabushiki Kaisha Toshiba Method for manufacturing semiconductor device
CN100576474C (zh) 2004-07-20 2009-12-30 应用材料股份有限公司 以钽前驱物taimata进行含钽材料的原子层沉积
KR20050013187A (ko) 2004-12-28 2005-02-03 삼성전자주식회사 가스 플라즈마 생성 방법 및 장치, 플라즈마 생성용 가스조성물 및 이를 이용한 반도체 장치의 제조 방법
US7196955B2 (en) 2005-01-12 2007-03-27 Hewlett-Packard Development Company, L.P. Hardmasks for providing thermally assisted switching of magnetic memory elements
US7235492B2 (en) 2005-01-31 2007-06-26 Applied Materials, Inc. Low temperature etchant for treatment of silicon-containing surfaces
KR100642750B1 (ko) 2005-01-31 2006-11-10 삼성전자주식회사 반도체 소자 및 그 제조 방법
JP4860219B2 (ja) 2005-02-14 2012-01-25 東京エレクトロン株式会社 基板の処理方法、電子デバイスの製造方法及びプログラム
JP4671729B2 (ja) 2005-03-28 2011-04-20 富士通セミコンダクター株式会社 半導体装置及びその製造方法
JP4945937B2 (ja) 2005-07-01 2012-06-06 東京エレクトロン株式会社 タングステン膜の形成方法、成膜装置及び記憶媒体
US20070006893A1 (en) 2005-07-08 2007-01-11 Bing Ji Free radical initiator in remote plasma chamber clean
US7214626B2 (en) 2005-08-24 2007-05-08 United Microelectronics Corp. Etching process for decreasing mask defect
TWI397972B (zh) 2005-08-26 2013-06-01 Hitachi Ltd Semiconductor device manufacturing method
US8747960B2 (en) 2005-08-31 2014-06-10 Lam Research Corporation Processes and systems for engineering a silicon-type surface for selective metal deposition to form a metal silicide
US20070087581A1 (en) 2005-09-09 2007-04-19 Varian Semiconductor Equipment Associates, Inc. Technique for atomic layer deposition
US20070117396A1 (en) 2005-11-22 2007-05-24 Dingjun Wu Selective etching of titanium nitride with xenon difluoride
DE102006001253B4 (de) 2005-12-30 2013-02-07 Advanced Micro Devices, Inc. Verfahren zur Herstellung einer Metallschicht über einem strukturierten Dielektrikum mittels einer nasschemischen Abscheidung mit einer stromlosen und einer leistungsgesteuerten Phase
JP4783169B2 (ja) 2006-02-13 2011-09-28 パナソニック株式会社 ドライエッチング方法、微細構造形成方法、モールド及びその製造方法
US7906030B2 (en) 2006-02-13 2011-03-15 Panasonic Corporation Dry etching method, fine structure formation method, mold and mold fabrication method
US7276796B1 (en) 2006-03-15 2007-10-02 International Business Machines Corporation Formation of oxidation-resistant seed layer for interconnect applications
US7795148B2 (en) 2006-03-28 2010-09-14 Tokyo Electron Limited Method for removing damaged dielectric material
US20070238301A1 (en) 2006-03-28 2007-10-11 Cabral Stephen H Batch processing system and method for performing chemical oxide removal
US7368393B2 (en) 2006-04-20 2008-05-06 International Business Machines Corporation Chemical oxide removal of plasma damaged SiCOH low k dielectrics
US7828504B2 (en) 2006-05-12 2010-11-09 Axcellis Technologies, Inc. Combination load lock for handling workpieces
KR101254275B1 (ko) 2006-06-20 2013-04-23 가부시키가이샤 아루박 폴리이미드막 도포 장치 및 방법
US8232176B2 (en) 2006-06-22 2012-07-31 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
US7416989B1 (en) 2006-06-30 2008-08-26 Novellus Systems, Inc. Adsorption based material removal process
US7435484B2 (en) 2006-09-01 2008-10-14 Asm Japan K.K. Ruthenium thin film-formed structure
KR100757418B1 (ko) 2006-09-05 2007-09-10 삼성전자주식회사 반도체 소자 및 그 형성 방법
KR100881391B1 (ko) 2006-09-29 2009-02-05 주식회사 하이닉스반도체 반도체 소자의 게이트 형성방법
US20080174021A1 (en) 2007-01-18 2008-07-24 Samsung Electronics Co., Ltd. Semiconductor devices having metal interconnections, semiconductor cluster tools used in fabrication thereof and methods of fabricating the same
CN101308794B (zh) 2007-05-15 2010-09-15 应用材料股份有限公司 钨材料的原子层沉积
KR101330707B1 (ko) 2007-07-19 2013-11-19 삼성전자주식회사 반도체 장치의 형성 방법
US7655567B1 (en) 2007-07-24 2010-02-02 Novellus Systems, Inc. Methods for improving uniformity and resistivity of thin tungsten films
KR101564473B1 (ko) 2007-11-21 2015-10-29 램 리써치 코포레이션 텅스턴 함유층에 대한 에칭 마이크로로딩을 제어하는 방법
KR100939777B1 (ko) 2007-11-30 2010-01-29 주식회사 하이닉스반도체 텅스텐막 형성방법 및 이를 이용한 반도체 소자의 배선형성방법
US7772114B2 (en) 2007-12-05 2010-08-10 Novellus Systems, Inc. Method for improving uniformity and adhesion of low resistivity tungsten film
US8053365B2 (en) 2007-12-21 2011-11-08 Novellus Systems, Inc. Methods for forming all tungsten contacts and lines
US8262800B1 (en) 2008-02-12 2012-09-11 Novellus Systems, Inc. Methods and apparatus for cleaning deposition reactors
US7964504B1 (en) 2008-02-29 2011-06-21 Novellus Systems, Inc. PVD-based metallization methods for fabrication of interconnections in semiconductor devices
US8247030B2 (en) 2008-03-07 2012-08-21 Tokyo Electron Limited Void-free copper filling of recessed features using a smooth non-agglomerated copper seed layer
US7948044B2 (en) 2008-04-09 2011-05-24 Magic Technologies, Inc. Low switching current MTJ element for ultra-high STT-RAM and a method for making the same
US8252194B2 (en) 2008-05-02 2012-08-28 Micron Technology, Inc. Methods of removing silicon oxide
US8133797B2 (en) 2008-05-16 2012-03-13 Novellus Systems, Inc. Protective layer to enable damage free gap fill
US8058170B2 (en) 2008-06-12 2011-11-15 Novellus Systems, Inc. Method for depositing thin tungsten film with low resistivity and robust micro-adhesion characteristics
US8551885B2 (en) 2008-08-29 2013-10-08 Novellus Systems, Inc. Method for reducing tungsten roughness and improving reflectivity
US20100072623A1 (en) 2008-09-19 2010-03-25 Advanced Micro Devices, Inc. Semiconductor device with improved contact plugs, and related fabrication methods
US7964502B2 (en) 2008-11-25 2011-06-21 Freescale Semiconductor, Inc. Multilayered through via
US8129270B1 (en) 2008-12-10 2012-03-06 Novellus Systems, Inc. Method for depositing tungsten film having low resistivity, low roughness and high reflectivity
US20100144140A1 (en) 2008-12-10 2010-06-10 Novellus Systems, Inc. Methods for depositing tungsten films having low resistivity for gapfill applications
KR101263856B1 (ko) 2008-12-31 2013-05-13 어플라이드 머티어리얼스, 인코포레이티드 비저항이 감소되고 표면 형태가 개선된 텅스텐 필름을 증착하는 방법
US8236691B2 (en) 2008-12-31 2012-08-07 Micron Technology, Inc. Method of high aspect ratio plug fill
JP5550843B2 (ja) 2009-03-19 2014-07-16 ラピスセミコンダクタ株式会社 半導体装置の製造方法
US8623733B2 (en) 2009-04-16 2014-01-07 Novellus Systems, Inc. Methods for depositing ultra thin low resistivity tungsten film for small critical dimension contacts and interconnects
TWI473726B (zh) * 2009-07-15 2015-02-21 Nat Univ Tsing Hua 形成圖案化金屬改質層之方法
US8153520B1 (en) 2009-08-03 2012-04-10 Novellus Systems, Inc. Thinning tungsten layer after through silicon via filling
US8124531B2 (en) 2009-08-04 2012-02-28 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US10256142B2 (en) 2009-08-04 2019-04-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US9548228B2 (en) 2009-08-04 2017-01-17 Lam Research Corporation Void free tungsten fill in different sized features
US8119527B1 (en) 2009-08-04 2012-02-21 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
KR101604054B1 (ko) 2009-09-03 2016-03-16 삼성전자주식회사 반도체 소자 및 그 형성방법
US20110139748A1 (en) 2009-12-15 2011-06-16 University Of Houston Atomic layer etching with pulsed plasmas
US8501629B2 (en) 2009-12-23 2013-08-06 Applied Materials, Inc. Smooth SiConi etch for silicon-containing films
US8227344B2 (en) * 2010-02-26 2012-07-24 Tokyo Electron Limited Hybrid in-situ dry cleaning of oxidized surface layers
US9129945B2 (en) 2010-03-24 2015-09-08 Applied Materials, Inc. Formation of liner and barrier for tungsten as gate electrode and as contact plug to reduce resistance and enhance device performance
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
KR101340793B1 (ko) * 2010-07-09 2013-12-11 노벨러스 시스템즈, 인코포레이티드 고 종횡비 특징부 내부로 텅스텐 증착하기
JP5416280B2 (ja) 2010-08-19 2014-02-12 株式会社アルバック ドライエッチング方法及び半導体装置の製造方法
US8778797B2 (en) 2010-09-27 2014-07-15 Novellus Systems, Inc. Systems and methods for selective tungsten deposition in vias
KR101739987B1 (ko) 2010-12-28 2017-05-26 에스케이 텔레콤주식회사 주변블록의 특징벡터를 이용한 영상 부호화/복호화 방법 및 장치
JP2012151187A (ja) 2011-01-17 2012-08-09 Toshiba Corp 半導体記憶装置の製造方法
US9064815B2 (en) * 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8546263B2 (en) 2011-04-27 2013-10-01 Applied Materials, Inc. Method of patterning of magnetic tunnel junctions
US8883637B2 (en) 2011-06-30 2014-11-11 Novellus Systems, Inc. Systems and methods for controlling etch selectivity of various materials
JP5829926B2 (ja) 2011-07-06 2015-12-09 東京エレクトロン株式会社 タングステン膜の成膜方法
US8617411B2 (en) 2011-07-20 2013-12-31 Lam Research Corporation Methods and apparatus for atomic layer etching
US8916435B2 (en) 2011-09-09 2014-12-23 International Business Machines Corporation Self-aligned bottom plate for metal high-K dielectric metal insulator metal (MIM) embedded dynamic random access memory
US9666414B2 (en) * 2011-10-27 2017-05-30 Applied Materials, Inc. Process chamber for etching low k and other dielectric films
US8808561B2 (en) 2011-11-15 2014-08-19 Lam Research Coporation Inert-dominant pulsing in plasma processing systems
US20130149852A1 (en) * 2011-12-08 2013-06-13 Tokyo Electron Limited Method for forming a semiconductor device
US8883028B2 (en) * 2011-12-28 2014-11-11 Lam Research Corporation Mixed mode pulsing etching in plasma processing systems
US9190323B2 (en) * 2012-01-19 2015-11-17 GlobalFoundries, Inc. Semiconductor devices with copper interconnects and methods for fabricating same
US8785310B2 (en) * 2012-01-27 2014-07-22 Tokyo Electron Limited Method of forming conformal metal silicide films
JP6195898B2 (ja) 2012-03-27 2017-09-13 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 核形成の抑制を伴うタングステンによるフィーチャ充填
US9330939B2 (en) 2012-03-28 2016-05-03 Applied Materials, Inc. Method of enabling seamless cobalt gap-fill
JP2014049466A (ja) 2012-08-29 2014-03-17 Tokyo Electron Ltd エッチング処理方法及び基板処理装置
US9230825B2 (en) 2012-10-29 2016-01-05 Lam Research Corporation Method of tungsten etching
US9165783B2 (en) * 2012-11-01 2015-10-20 Applied Materials, Inc. Method of patterning a low-k dielectric film
JP5918108B2 (ja) 2012-11-16 2016-05-18 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US9362133B2 (en) * 2012-12-14 2016-06-07 Lam Research Corporation Method for forming a mask by etching conformal film on patterned ashable hardmask
US10214826B2 (en) * 2013-01-29 2019-02-26 Novellus Systems, Inc. Low copper electroplating solutions for fill and defect control
US9006095B2 (en) * 2013-02-19 2015-04-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
JP2014160757A (ja) 2013-02-20 2014-09-04 Toshiba Corp 不揮発性半導体記憶装置およびその製造方法
US20140273451A1 (en) 2013-03-13 2014-09-18 Applied Materials, Inc. Tungsten deposition sequence
JP6049527B2 (ja) * 2013-04-05 2016-12-21 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US8906810B2 (en) * 2013-05-07 2014-12-09 Lam Research Corporation Pulsed dielectric etch process for in-situ metal hard mask shape control to enable void-free metallization
US9082826B2 (en) 2013-05-24 2015-07-14 Lam Research Corporation Methods and apparatuses for void-free tungsten fill in three-dimensional semiconductor features
JP6367322B2 (ja) 2013-06-17 2018-08-01 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 湿式ウエハバックコンタクトを使用したシリコンビアを通した銅メッキのための方法
JP6170754B2 (ja) 2013-06-18 2017-07-26 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
JP6494940B2 (ja) * 2013-07-25 2019-04-03 ラム リサーチ コーポレーションLam Research Corporation 異なるサイズのフィーチャへのボイドフリータングステン充填
US8980758B1 (en) * 2013-09-17 2015-03-17 Applied Materials, Inc. Methods for etching an etching stop layer utilizing a cyclical etching process
TWI649803B (zh) 2013-09-30 2019-02-01 蘭姆研究公司 具有電漿輔助式原子層沉積及電漿輔助式化學氣相沉積合成法之深寬比可變的特徵物之間隙填充
CN105814677B (zh) 2013-10-18 2019-06-18 布鲁克斯自动化公司 处理设备
US20150111374A1 (en) 2013-10-18 2015-04-23 International Business Machines Corporation Surface treatment in a dep-etch-dep process
US9435049B2 (en) 2013-11-20 2016-09-06 Lam Research Corporation Alkaline pretreatment for electroplating
JP6347695B2 (ja) 2013-11-20 2018-06-27 東京エレクトロン株式会社 被エッチング層をエッチングする方法
TW201525173A (zh) * 2013-12-09 2015-07-01 Applied Materials Inc 選擇性層沉積之方法
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9257638B2 (en) 2014-03-27 2016-02-09 Lam Research Corporation Method to etch non-volatile metal materials
US20150345029A1 (en) 2014-05-28 2015-12-03 Applied Materials, Inc. Metal removal
US9773683B2 (en) 2014-06-09 2017-09-26 American Air Liquide, Inc. Atomic layer or cyclic plasma etching chemistries and processes
KR101745686B1 (ko) 2014-07-10 2017-06-12 도쿄엘렉트론가부시키가이샤 기판의 고정밀 에칭을 위한 방법
FR3023971B1 (fr) * 2014-07-18 2016-08-05 Commissariat Energie Atomique Procede de formation des espaceurs d'une grille d'un transistor
US10049921B2 (en) 2014-08-20 2018-08-14 Lam Research Corporation Method for selectively sealing ultra low-k porous dielectric layer using flowable dielectric film formed from vapor phase dielectric precursor
WO2016032468A1 (en) * 2014-08-27 2016-03-03 Ultratech, Inc. Improved through silicon via
US9362131B2 (en) 2014-08-29 2016-06-07 Applied Materials, Inc. Fast atomic layer etch process using an electron beam
US9666447B2 (en) 2014-10-28 2017-05-30 Tokyo Electron Limited Method for selectivity enhancement during dry plasma etching
US9609730B2 (en) 2014-11-12 2017-03-28 Lam Research Corporation Adjustment of VUV emission of a plasma via collisional resonant energy transfer to an energy absorber gas
US10170324B2 (en) 2014-12-04 2019-01-01 Lam Research Corporation Technique to tune sidewall passivation deposition conformality for high aspect ratio cylinder etch
WO2016100873A1 (en) 2014-12-18 2016-06-23 The Regents Of The University Of Colorado, A Body Corporate Novel methods of atomic layer etching (ale) using sequential, self-limiting thermal reactions
US9576811B2 (en) 2015-01-12 2017-02-21 Lam Research Corporation Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch)
US9806252B2 (en) 2015-04-20 2017-10-31 Lam Research Corporation Dry plasma etch method to pattern MRAM stack
US9870899B2 (en) 2015-04-24 2018-01-16 Lam Research Corporation Cobalt etch back
US10056264B2 (en) 2015-06-05 2018-08-21 Lam Research Corporation Atomic layer etching of GaN and other III-V materials
US9449843B1 (en) 2015-06-09 2016-09-20 Applied Materials, Inc. Selectively etching metals and metal nitrides conformally
US9922839B2 (en) 2015-06-23 2018-03-20 Lam Research Corporation Low roughness EUV lithography
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US9520821B1 (en) 2015-08-19 2016-12-13 Nidec Motor Corporation System and method for optimizing flux regulation in electric motors
US10096487B2 (en) 2015-08-19 2018-10-09 Lam Research Corporation Atomic layer etching of tungsten and other metals
US9978610B2 (en) 2015-08-21 2018-05-22 Lam Research Corporation Pulsing RF power in etch process to enhance tungsten gapfill performance
US9984858B2 (en) 2015-09-04 2018-05-29 Lam Research Corporation ALE smoothness: in and outside semiconductor industry
WO2017099718A1 (en) 2015-12-08 2017-06-15 Intel Corporation Atomic layer etching of transition metals by halogen surface oxidation
US9991128B2 (en) 2016-02-05 2018-06-05 Lam Research Corporation Atomic layer etching in continuous plasma
US20170330764A1 (en) 2016-05-12 2017-11-16 Lam Research Corporation Methods and apparatuses for controlling transitions between continuous wave and pulsing plasmas
US9837312B1 (en) 2016-07-22 2017-12-05 Lam Research Corporation Atomic layer etching for enhanced bottom-up feature fill
US10566211B2 (en) 2016-08-30 2020-02-18 Lam Research Corporation Continuous and pulsed RF plasma for etching metals
US10566212B2 (en) 2016-12-19 2020-02-18 Lam Research Corporation Designer atomic layer etching
US9997371B1 (en) 2017-04-24 2018-06-12 Lam Research Corporation Atomic layer etch methods and hardware for patterning applications
EP3776636A4 (en) 2018-03-30 2021-12-22 Lam Research Corporation ATOMIC LAYER ENGRAVING AND SMOOTHING OF REFRACTORY METALS AND OTHER HIGH SURFACE BOND ENERGY MATERIALS
US11177216B2 (en) * 2018-09-06 2021-11-16 Raytheon Company Nitride structures having low capacitance gate contacts integrated with copper damascene structures

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120009785A1 (en) * 2010-07-09 2012-01-12 Anand Chandrashekar Depositing Tungsten Into High Aspect Ratio Features
CN104272441A (zh) * 2012-03-27 2015-01-07 诺发系统公司 钨特征填充

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
COLIN 等: "Atomic Layer Etching:An Industry Perspectice", 《ESC JOURNAL OF SOLID STATE SCIENCE AND TECHNOLOGY》, vol. 4, no. 6, 20 February 2015 (2015-02-20), pages 5005 *

Also Published As

Publication number Publication date
KR20210125968A (ko) 2021-10-19
US20170040214A1 (en) 2017-02-09
US9972504B2 (en) 2018-05-15
US20200286743A1 (en) 2020-09-10
TWI814696B (zh) 2023-09-11
CN106449360A (zh) 2017-02-22
US20220115244A1 (en) 2022-04-14
TWI828995B (zh) 2024-01-11
TW201717316A (zh) 2017-05-16
KR20220027913A (ko) 2022-03-08
KR20230005066A (ko) 2023-01-09
US20210305059A1 (en) 2021-09-30
KR20170017789A (ko) 2017-02-15
TW202141696A (zh) 2021-11-01
KR20240069686A (ko) 2024-05-20
TWI840731B (zh) 2024-05-01
TW202217927A (zh) 2022-05-01
US20180240682A1 (en) 2018-08-23
JP2017053024A (ja) 2017-03-16
KR102312951B1 (ko) 2021-10-14
SG10201606450VA (en) 2017-03-30
KR102510612B1 (ko) 2023-03-15
US11069535B2 (en) 2021-07-20
KR20210125967A (ko) 2021-10-19

Similar Documents

Publication Publication Date Title
KR102510612B1 (ko) 향상된 텅스텐 증착 충진을 위한 텅스텐의 원자층 에칭
TWI811199B (zh) 設計者原子層蝕刻
US10784086B2 (en) Cobalt etch back
US9837312B1 (en) Atomic layer etching for enhanced bottom-up feature fill
KR102609125B1 (ko) 리모트 플라즈마 프로세스를 위한 챔버 컨디셔닝
US9349637B2 (en) Method for void-free cobalt gap fill
CN112970096A (zh) 蚀刻室中的方向性沉积
JP2015029097A (ja) 異なるサイズのフィーチャへのボイドフリータングステン充填

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination