US20230343643A1 - Gradient oxidation and etch for pvd metal as bottom liner in bottom up gap fill - Google Patents

Gradient oxidation and etch for pvd metal as bottom liner in bottom up gap fill Download PDF

Info

Publication number
US20230343643A1
US20230343643A1 US17/868,475 US202217868475A US2023343643A1 US 20230343643 A1 US20230343643 A1 US 20230343643A1 US 202217868475 A US202217868475 A US 202217868475A US 2023343643 A1 US2023343643 A1 US 2023343643A1
Authority
US
United States
Prior art keywords
substrate
features
layer
seed layer
molybdenum
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
US17/868,475
Inventor
Chih-Hsun Hsu
Shiyu Yue
Wei Lei
Yi Xu
Jiang Lu
Yu Lei
Ziye XIONG
Tsung-Han Yang
Zhimin QI
Aixi ZHANG
Jie Zhang
Liqi Wu
Rongjun Wang
ShihChung Chen
Meng-Shan WU
Chun-Chieh Wang
Annamalai Lakshmanan
Yixiong Yang
Xianmin Tang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to US17/868,475 priority Critical patent/US20230343643A1/en
Assigned to APPLIED MATERIALS, INC. reassignment APPLIED MATERIALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: WANG, CHUN-CHIEH, LEI, YU, WU, LIQI, WU, MENG-SHAN, XIONG, ZIYE, CHEN, SHIHCHUNG, HSU, CHIH-HSUN, LAKSHMANAN, ANNAMALAI, LEI, WEI, LU, JIANG, QI, ZHIMIN, TANG, XIANMIN, WANG, RONGJUN, XU, YI, YANG, TSUNG-HAN, YANG, YIXIONG, YUE, Shiyu, ZHANG, Aixi, ZHANG, JIE
Priority to PCT/US2023/015613 priority patent/WO2023211583A1/en
Publication of US20230343643A1 publication Critical patent/US20230343643A1/en
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/2855Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by physical means, e.g. sputtering, evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76865Selective removal of parts of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • H01L23/53266Additional layers associated with refractory-metal layers, e.g. adhesion, barrier, cladding layers

Abstract

A method and apparatus for a gap-fill in semiconductor devices are provided. The method includes forming a metal seed layer on an exposed surface of the substrate, wherein the substrate has features in the form of trenches or vias formed in a top surface of the substrate, the features having sidewalls and a bottom surface extending between the sidewalls. A gradient oxidation process is performed to oxidize exposed portions of the metal seed layer to form a metal oxide, wherein the gradient oxidation process preferentially oxidizes a field region of the substrate over the bottom surface of the features. An etch back process removes or reduces the oxidized portion of the seed layer. A metal gap-fill process fills or partially fills the features with a gap fill material.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • This application claims benefit of U.S. Provisional Application Ser. No. 63/334,428, filed Apr. 26, 2022 (Attorney Docket No. APPM/44020791US02), of which is incorporated by reference in its entirety.
  • TECHNICAL FIELD
  • Embodiments of the invention relate to a method and apparatus of forming thin films. More particularly, the disclosures relate to a method and apparatus for metal gap fill in semiconductor devices.
  • BACKGROUND
  • The fabrication of microelectronic devices typically involves a complicated process sequence requiring hundreds of individual processes performed on semi-conductive, dielectric and conductive substrates. Examples of these processes include oxidation, diffusion, ion implantation, thin film deposition, cleaning, etching, lithography among other operations. Each operation is time consuming and expensive.
  • With ever-decreasing critical dimensions for microelectronic devices, the design and fabrication for these devices on substrates is becoming or has become increasingly complex. Control of the critical dimensions and process uniformity becomes increasingly more significant. Complex multilayer stacks used to make micro electronic devices involve precise process monitoring of the critical dimensions for the thickness, roughness, stress, density, and potential defects. Process recipes for forming the devices have multiple incremental processes to ensure critical dimensions are maintained. Typically, each incremental process may utilize one or more processing chambers that adds additional time for forming the devices incremental and also increases opportunities for forming defects. Thus, each process adds to the overall fabrication cost and risk for defects completed microelectronic device.
  • Additionally, as critical dimensions on these devices shrink, past fabrication techniques encounter new hurdles. For example, as a liner and/or seed layer is prepared to grow a metal gap-fill, the liner and/or seed layer may be still be present on the sides of the gap, potentially causing the fill material to close off the gap prior to completely filling at the bottom. Conventional methods for removing the seed layer from the sides of the gap and the top surface may additionally result in the removal of the seed layer at the bottom of the gap intended for seeding the fill material.
  • For at least the foregoing reasons, there is an ongoing need for improved gap fill fabrication methods.
  • SUMMARY
  • The present disclosure relates to a method and apparatus for forming thin-films. More particularly, the disclosure relates to a method and apparatus for filling a feature on a substrate.
  • In one example, a method of filling a feature on a substrate is provided. The method includes forming a metal seed layer on an exposed surface of the substrate, wherein the substrate has features in the form of trenches or vias formed in a top surface of the substrate, the features having sidewalls and a bottom surface extending between the sidewalls. A gradient oxidation process is performed to oxidize exposed portions of the metal seed layer to form a metal oxide, wherein the gradient oxidation process preferentially oxidizes a field region of the substrate over the bottom surface of the features. An etch back process removes or reduces the oxidized portion of the seed layer. A metal gap-fill process fills or partially fills the features with a gap fill material.
  • In another example, another a method of filling a feature on a substrate is provided. The method includes depositing a molybdenum-containing layer over an exposed surface of a substrate, wherein the substrate comprises a plurality of features formed in a top surface of the substrate, each of the plurality of features having a sidewall surface and a bottom surface, and the deposited molybdenum-containing layer is formed over the top surface of the substrate, and the sidewall surface and bottom surface of the plurality of features. The exposed surface of the substrate is exposed to a gradient oxidizing process, wherein the gradient oxidizing process forms oxidized regions of the molybdenum-containing. The oxidized regions are preferentially etching of the deposited molybdenum-containing layer, wherein after preferentially etching the oxidized regions, a first portion of the deposited molybdenum-containing layer remains on the bottom surface in each of the plurality of features and a second portion of the deposited molybdenum-containing layer remains on the sidewall surface in each of the plurality of features. The features are filled with a second molybdenum layer, wherein filling the features with the second molybdenum layer comprises growing the second molybdenum layer from the second portion of the deposited molybdenum-containing layer on the sidewall surface and the first portion of the deposited molybdenum-containing layer on the bottom surface in each of the features.
  • In yet another example, another a cluster tool for filling a feature on a substrate is provided. The cluster tool includes an oxygen source that is fluidly coupled to a processing region of the first process chamber, wherein the oxygen source is configured to deliver an oxygen-containing gas to the processing region. The cluster tool has a first flow control valve that is configured to control the flow of oxygen-containing gas provided from the oxygen source to the processing region, an etching gas source that is fluidly coupled to the processing region of the first process chamber, wherein the etching gas source is configured to deliver an etching gas to the processing region, a third flow control valve that is configured to control the flow of the etching gas provided from the etching gas source to the processing region, and an inductively coupled plasma source that is configured to generate a plasma in the processing region, wherein the plasma comprises the oxygen-containing gas, and a controller. The controller is configured to form a metal seed layer on an exposed surface of a substrate, wherein the substrate has features in the form of trenches or vias formed in a top surface of the substrate, the features having sidewalls and a bottom surface extending between the sidewalls. The controller is further configured to perform a gradient oxidation process to oxidize exposed portions of the metal seed layer to form a metal oxide, wherein the gradient oxidation process preferentially oxidizes a field region of the substrate over the bottom surface of the features. The controller performs an etch back process to remove or reduce the oxidized portion of the seed layer and performs a molybdenum gap-fill process to fill or partially fill the features with a gap fill material.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • So that the manner in which the above-recited features of the present disclosure can be understood in detail, a more particular description of the aspects, briefly summarized above, may be had by reference to implementations, some of which are illustrated in the appended drawings. It is to be noted, however, that the appended drawings illustrate only typical implementations of this disclosure and are therefore not to be considered limiting of its scope, for the disclosure may admit to other equally effective implementations.
  • FIG. 1 illustrates a schematic top view of one example of a multi-chamber processing tool in accordance with one or more embodiments of the present disclosure.
  • FIGS. 2A-2E illustrate views of a semiconductor device during different stages of fabrication in accordance with one or more embodiments of the present disclosure.
  • FIG. 3 illustrates a flow diagram of a method for filling a feature on a substrate in accordance with one or more embodiments of the present disclosure.
  • To facilitate understanding, identical reference numerals have been used, where possible, to designate identical elements that are common to the figures. It is contemplated that elements and features of one implementation may be beneficially incorporated in other implementations without further recitation.
  • DETAILED DESCRIPTION
  • In the summary above, the detailed description, the claims below, and in the accompanying drawings, reference is made to particular features (including method operations) of the present disclosure. It is to be understood that the disclosure in this specification includes all possible combinations of such particular features. For example, where a particular feature is disclosed in the context of a particular aspect or implementation of the present disclosure, or a particular claim, that feature can also be used, to the extent possible in combination with and/or in the context of other particular aspects and implementations of the present disclosure, and in the present disclosure generally.
  • The term “comprises” and grammatical equivalents thereof are used herein to mean that other components, ingredients, operations, etc. are optionally present. For example, an article “comprising” (or “which comprises”) components A, B, and C can consist of (i.e., contain only) components A, B, and C, or can contain not only components A, B, and C but also one or more other components.
  • Where reference is made herein to a method comprising two or more defined operations, the defined operations can be carried out in any order or simultaneously (except where the context excludes that possibility), and the method can include one or more other operations which are carried out before any of the defined operations, between two of the defined operations, or after all of the defined operations (except where the context excludes that possibility).
  • Embodiments of the present disclosure relate to a method and apparatus for filling a feature on a substrate. For example, the method may perform a high selectivity gradient oxidation and etch for physical vapor deposition (PVD) metal as a bottom seed layer in a bottom up gap fill. The metal gap fill process may be used to deposit different metals, such as tungsten (W), molybdenum (Mo), ruthenium (Ru), and other metals. The disclosure contains separate process sequences that include a metal oxidation (e.g. WOx, MoOx) process and a selective removal process for the metal oxide.
  • PVD metal deposition typically leads to a thicker film on the field surrounding a via (or trench) and a thinner film at the bottom of the via. The oxidation and etch gradient process selectively removes the field metal. The metal disposed in the field surrounding the via is ‘selectively’ oxidized at a rate up to seven times faster than the metal disposed at the bottom of the via. The selective oxidation permits a subsequent etch process to remove the field metal oxides while leaving a small bottom metal layer as a seed for a metal fill. A very high selectivity for the etch removal of the oxidized metal in the field relative to the bottom (non-oxidized) metal results in a thin metal seed layer remaining at the bottom of the via. The oxidation process uses an inductively coupled (ICP) oxygen (O2) plasma having a low power, low O2 flow, and high temperature reaction that produces a high ion ratio at low ion energy which enhances the selectivity that preferentially oxidizes the metal in the field over the metal at the bottom of the via. Using this approach, the field metal can be completely removed while leaving a good quality metal seed layer at the bottom of the structure for a seam-free bottom up gap fill.
  • Examples of a processing system that may be suitably modified in accordance with the teachings provided herein include an integrated processing system or other suitable processing systems commercially available from Applied Materials, Inc., located in Santa Clara, California. It is contemplated that other processing systems (including those from other manufacturers) may be adapted to benefit from aspects described herein.
  • FIG. 1 illustrates a schematic top-view diagram of an example multi-chamber processing system 100, or cluster tool, which can be used to complete a gradient oxidation and etch of a PVD metal according to implementations of the present disclosure.
  • The processing system 100 includes a plurality of process chambers 102 coupled to a first transfer chamber 104. The first transfer chamber 104 is also coupled to a first pair of pass-through chambers 106. The first transfer chamber 104 has a centrally disposed transfer robot (not shown) for transferring substrates between the pass-through chambers 106 and the process chambers 102. The pass-through chambers 106 are coupled to a second transfer chamber 110, which is coupled to a process chamber 114 that is configured to perform pre-clean process and a process chamber 116 that is configured to perform a PVD deposition process, or alternatively, an epitaxial growth process or atomic deposition chamber. The second transfer chamber 110 has a centrally disposed transfer robot (not shown) for transferring substrates between a set of load lock chambers 112 and the process chamber 114 or the process chamber 116. A factory interface 120 is connected to the second transfer chamber 110 by the load lock chambers 112. The factory interface 120 is coupled to one or more pods 130 on the opposite side of the load lock chambers 112. The pods 130 may be front opening unified pods (FOUP) or similar device for storing and transporting substrates.
  • Prior to various operations, a substrate may first be transferred from one of the pods 130 to the process chamber 114 where a pre-clean process is performed to remove contaminants, such as carbon or oxide contaminants from an exposed surface of a source/drain region of a transistor to be formed on the substrate.
  • The substrate is then transferred to one or more of the process chambers 102. In some implementations, the process chamber 102 may etch a via or a trench in a dielectric material layer of the substrate. In some implementations, the substrate is provided to an etch chamber, which is not a part of the processing system 100, to perform the trench formation process. In other operations, the substrate is provided with trenches formed therein. Once the trench is formed in the dielectric material, the substrate is transferred to the process chamber 114 for cleaning.
  • Then the substrate is transferred to the process chamber 116 and/or at least one of the process chambers 102 where one or more operations are performed. For example, the substrate is transferred to one of the process chambers 102 where a metal deposition operation is performed to form a seed layer. The metal can be deposited in any suitable chamber such as a PVD chamber, atomic layer deposition (ALD) chamber, epitaxial (EPI) chamber or other suitable chamber.
  • After deposition of the seed layer, the substrate may be transferred to one of the process chambers 102 where a gradient oxidation operation may be performed. The gradient oxidation may be performed in an inductively coupled plasma (ICP) reactor or other suitable plasma-processing chamber. The gradient oxidation operation is configured to oxidize unwanted portions of the metal layer formed on the substrate. For example, the metal formed in a bottom and a sidewall of a trench or via may be oxidized along with the metal disposed in on a field region, i.e., top side, of the substrate.
  • The substrate is transferred to one of the process chambers 102 where an etch operation is performed to selectively remove the oxidized portions of the deposited metal layer. For example, the etch operation may be performed in an etch chamber. Alternately, the etch operation may be performed in the ICP reactor in which the gradient oxidation was performed.
  • After the etch operation, a portion of the deposited metal layer (e.g., seed material) will remain along the bottom surfaces of the via or trench. In some embodiments, the seed material may additionally be present along the sidewall of the feature. The substrate can then be transferred to one of the process chambers 102 or 116 where a gap-fill operation is performed. The gap-fill operation may be performed in a CVD chamber, ALD chamber or other suitable chamber. For example, process chamber 102 or 116 may deposit a metal such as tungsten (W), molybdenum (Mo), ruthenium (Ru) or other suitable material that grows on the seed layer disposed on the bottom of the trench or feature for forming a portion of a microelectronic device.
  • A system controller 180 is coupled to the processing system 100 for controlling the processing system 100 or components thereof. For example, the system controller 180 may control the operations of the processing system 100 using a direct control of the process chambers 102, 104, 106, 110, 112, 114, 116, 120, 130 of the processing system 100 or by controlling controllers associated with the process chambers 102, 104, 106, 110, 112, 114, 116, 120, 130, 160. In operation, the system controller 180 enables data collection and feedback from the respective chambers to coordinate performance of the processing system 100.
  • The system controller 180 generally includes a central processing unit (CPU) 182, memory 184, and support circuits 186. The CPU 182 may be one of any form of a general-purpose processor that can be used in an industrial setting. The memory 184, non-transitory computer-readable medium, or machine-readable storage device, is accessible by the CPU 182 and may be one or more of memory such as random access memory (RAM), read only memory (ROM), floppy disk, hard disk, or any other form of digital storage, local or remote. The support circuits 186 are coupled to the CPU 182 and may comprise cache, clock circuits, input/output subsystems, power supplies, and the like. The various implementations disclosed in this disclosure may generally be implemented under the control of the CPU 182 by executing computer instruction code stored in the memory 184 (or in memory of a particular process chamber) as, e.g., a computer program product or software routine. That is, the computer program product is tangibly embodied on the memory 184 (or non-transitory computer-readable medium or machine-readable storage device). When the CPU 182 executes the computer instruction code, the CPU 182 controls the chambers to perform operations in accordance with the various implementations.
  • The system controller 180 is configured to perform methods such as a method 300 (described further below) stored in the memory 184.
  • In some embodiments, the first process chamber 102 includes an oxygen source 132 that is fluidly coupled to a processing region 140 of the first process chamber 102, wherein the oxygen source 132 is configured to deliver an oxygen-containing gas to the processing region 140. The first process chamber 102 may further include a first flow control valve 133 that is configured to control the flow of oxygen-containing gas provided from the oxygen source 132 to the processing region 140. The first process chamber 102 may further include an etching gas source 136 that is fluidly coupled to the processing region 140 of the first process chamber 102, wherein the etching gas source 136 is configured to deliver an etching gas to the processing region 140. The first process chamber 102 may further include a third flow control valve 137 that is configured to control the flow of the etching gas provided from the etching gas source 136 to the processing region 140. The first process chamber 102 may further include an inductively coupled plasma source 138 that is configured to generate a plasma in the processing region 140, wherein the plasma comprises the hydrogen-containing gas and the oxygen-containing gas.
  • In some embodiments, the system controller 180 is configured to control the first flow control valve 133 so that an amount of oxygen-containing gas provided to a surface of a substrate, disposed in the processing region 140 of the first processing chamber 102. The oxygen-containing gas preferentially oxidizes one or more metal-containing layers disposed on a field region and sidewalls of features formed in the substrate. The system controller 180 is additionally configured to control the third flow control valve 137 so that an amount of etching gas provided to the surface of the substrate preferentially etches the oxidized portions of the one or more metal-containing layers disposed on the field region and sidewalls of the features formed in the substrate.
  • FIGS. 2A-2F and FIG. 3 will be discussed together. FIGS. 2A-2E illustrate cross-sectional views of some embodiments of a device structure for semiconductor devices at various stages of manufacture provided to illustrate the method 300 of FIG. 3 . The method 300 can be used to fill various features, for example, trenches or vias with a gap-fill metal. Although FIGS. 2A-2E are described in relation to the method 300, it will be appreciated that the structure disclosed in FIGS. 2A-2E are not limited to the method 300, but instead may stand alone as structures independent of method 300. Similarly, although the method 300 is described in relation to FIGS. 2A-2E, it will be appreciated that the method 300 is not limited to the structures disclosed in FIGS. 2A-2E, but instead may stand alone independent of the structures disclosed in FIGS. 2A-2E.
  • For the sake of clarity, some item numbers in later figures illustrating the subsequent stages have been omitted. The item numbers can be gleaned from the earlier figures when the discussion calls out those shown features in the later figures. For example, item numbers in FIG. 2B may be omitted from certain features disclosed in FIG. 2A.
  • The method 300 begins at operation 310 where, a substrate is provided having trenches or vias formed in a top surface. The substrate may be a device substrate or a semiconductor substrate described herein. FIG. 2A illustrates a cross-sectional view of a semiconductor device structure 200 during intermediate stages of manufacturing corresponding to operation 310, in accordance with some embodiments. The semiconductor device structure 200 includes a body 210 having a dielectric layer 220 formed thereon.
  • The body 210 of the semiconductor device structure 200 may be or include a bulk semiconductor substrate, a semiconductor-on-insulator (SOI) substrate, or the like, which may be doped (e.g., with a p-type dopant or an n-type dopant) or undoped. In some embodiments, the semiconductor material of the body 210 may include an elemental semiconductor, for example, such as silicon (Si) or germanium (Ge); a compound semiconductor including, for example, silicon carbide, gallium arsenide, gallium phosphide, indium phosphide, indium arsenide, and/or indium antimonide; an alloy semiconductor including, for example, SiGe, GaAsP, AlInAs, GaInAs, GaInP, and/or GaInAsP; a combination thereof, or the like. The body 210 may include additional materials, for example, silicide layers, metal silicide layers, metal layers, dielectric layers, or a combination thereof.
  • The dielectric layer 220 may include multiple layers. The dielectric layer 220 includes a top surface 229. In some embodiments, the dielectric layer 220 is silicon oxide, silicon oxynitride, silicon nitride, or a combination thereof. In some embodiments, the dielectric layer 220 consists essentially of silicon oxide. It is noted that the foregoing descriptors (e.g., silicon oxide) should not be interpreted to disclose any particular stoichiometric ratio. Accordingly, “silicon oxide” and the like will be understood by one skilled in the art as a material consisting essentially of silicon and oxygen without disclosing any specific stoichiometric ratio.
  • The dielectric layer 220 is patterned with one or more feature(s) 222. In some embodiments, the feature 222 can be selected from a trench, a via, a hole, or combinations thereof. In particular embodiments, the feature 222 is a via.
  • At operation 320, a liner layer 225 is formed over the surfaces of the one or more features. A PVD process, an ALD process, an EPI process, or other suitable deposition process may form the liner layer 225. The liner layer 225 may be formed from a titanium silicon (nitride) or other suitable material. The liner layer 225 may be formed on exposed surfaces of the substrate. As shown in FIG. 2A, the liner layer 225 is disposed in the feature 222 and along the top surface 229 of the dielectric layer 220. The liner layer 225 may be disposed inside the feature 222 when the feature 222 is a trench structure. Alternately, the liner layer 225 may be optional when the feature 222 is a via. The liner layer 225 may be disposed on the top surface 229 of the dielectric layer 220 includes an upper surface or field region 227. In discussions below, when the liner layer 225 is absent, the field region 227 corresponds to the top surface 229 of the dielectric layer 220.
  • The liner layer 225 may have an initial thickness in a range from about 1 Å to about 100 Å, for example, in a range from about 20 Å to about 50 Å. In some embodiments, the liner layer 225 may be discontinuous along for example, the sidewall surface 223 and/or the bottom surface 224. Any suitable metal deposition process may be used to deposit the liner layer 225. In one example, a PVD process is used to deposit the liner layer 225.
  • The feature 222 has a first depth as measured from the field region 227 to the bottom surface 224 and a width defined between the two-sidewall surfaces 223. In some embodiments, the depth is in a range of about 2 nm to 200 nm, 3 nm to 200 nm, 5 nm to 100 nm, 2 nm to 100 nm, or 50 nm to 100 nm. In some embodiments, the width is in a range of about 10 nm to 100 nm, 10 nm to 20 nm, 10 nm to 50 nm, or 50 nm to 100 nm. In some embodiments, the feature 222 has an aspect ratio (depth/width) in a range of about 1 to 20, 5 to 20, 10 to 20, or 15 to 20.
  • In some embodiments, the feature 222 extends from the field region 227 downwards into the body 210. The feature 222 includes sidewall surface 223 and a bottom surface 224 extending between the sidewall surfaces 223. The sidewall surface 223 and the bottom surface 224 are formed from the exposed liner layer 225 when the liner is present and on the dielectric layer 220 when the liner layer 225 is absent. In some embodiments, the sidewall surfaces 223 are tapered.
  • At operation 330, a seed layer 230 is formed over the surfaces of the one or more features, for example, over the surfaces of the liner layer 225. FIG. 2B illustrates a cross-sectional view of the semiconductor device structure 200 during intermediate stages of manufacturing corresponding to operation 330, in accordance with some embodiments. The seed layer 230 may be formed on exposed surfaces of the substrate, i.e., over the sidewall surface 223 and the bottom surface 224 of the feature 222 and on the field region 227.
  • The seed layer 230 is a metal material suitable to function as a seed layer for subsequent deposition of a metal gap-fill material. The seed layer 230 may be a molybdenum (Mo) or molybdenum-containing layer, a tungsten (W) or tungsten-containing layer, or a ruthenium (Ru) or ruthenium-containing layer. In one example, seed layer 230 is a molybdenum (Mo) or molybdenum-containing layer. The seed layer 230 may be formed over the sidewall surface 223 and the bottom surface 224 of the one or more features 222 and on the field region 227. The seed layer 230 may be a conformal layer. Any suitable deposition process 232 may be used to deposit the seed layer 230. In one example, a physical vapor deposition (PVD) process is used to deposit the seed layer 230. Alternately, a low temperature and low-pressure CVD process may be used to form the seed layer 230. The seed layer 230 may be used to repair any damage or discontinuities in the liner layer. In one example, the deposition process 232 may be cycled and is repeated for 3 to 5 cycles.
  • The seed layer 230 may create an overhang portion 234 in the field region 227, which obstructs or blocks the top openings of the one or more features 222. The overhang portion reduces the width of the top opening from a first larger width to a second narrower width at the top of the feature 222.
  • At operation 340, the seed layer is exposed to a gradient oxidation process. FIG. 2C illustrates a cross-sectional view of the semiconductor device structure 200 during intermediate stages of manufacturing corresponding to operation 340, in accordance with some embodiments. The gradient oxidation process oxidizes portions of the seed layer 230 to form an oxidized seed layer 240.
  • In some embodiments, the gradient oxidation process includes the use of an O2 inductively coupled plasma (ICP) that includes a limited gas flow to create an oxygen starvation reaction mode on the exposed metal seed layer 230 (e.g., Mo layer). The O2 ICP provides a low power O2 plasma with a high ion/radical ratio, which enhances the field oxidation and deactivates the reactive species before reaching the moly-containing seed layer 230 over the bottom surface 224. In this mode the field region 227 and the overhang portion 234 are oxidized, or more heavily oxidized, which allows for preferential etching of the oxidized regions of the oxidized seed layer 240 while maintaining the seed layer 230 along the bottom surface 224 of the feature 222. In one example, the oxidation of the seed layer 230 has a selectivity at the field region 227 that is seven times greater than the selectivity at the bottom surface 224. Thus, the oxidized seed layer 240 is preferentially formed at in the field region 227. In one example, the gradient oxidation of Mo seed layer 230 results in the formation of the MoOx oxidized seed layer 240.
  • In some embodiments, the gradient oxidation process includes a reduction process followed by an oxidation process. In some embodiments, the gradient oxidation process includes the oxidation process without the reduction process. The reduction process includes exposing the substrate to a reducing gas, for example, hydrogen. The oxidation process includes exposing the substrate to an oxidizing gas, for example, oxygen. In some embodiments, during the reduction process, the processing region is maintained at a pressure of less than about 120 mTorr, such as in a range from about 50 mTorr to about 110 mTorr, in a range from about 60 mTorr to about 100 Torr, or for example, in a range from about 70 mTorr to about 90 mTorr. Exposing the semiconductor device structure 200 to the reducing gas includes flowing the reducing gas into the processing region at a flow rate of about 200 sccm or less, such as in a range from about 100 sccm to about 170 sccm, or in a range from about 120 sccm to about 80 sccm. Exposing the semiconductor device structure 200 to the reducing agent may further include flowing a carrier gas, for example, an inert gas such as argon into the processing region at a flow rate of about 300 sccm or less, such as in a range from about 100 sccm to about 200 sccm, or in a range from about 120 sccm to about 150 sccm. During the reduction process, the semiconductor device structure 200 may be maintained a temperature of about 450 degrees Celsius or less, such as in a range from about 200 degrees Celsius to about 450 degrees Celsius, in a range from about 250 degrees Celsius to about 400 degrees Celsius, or for example, in a range from about 300 degrees Celsius to about 350 degrees Celsius. During the reduction process, ICP plasma power of 2000 Watts or less, such as in a range from about 500 Watts to 1500 Watts, or for example, in a range from about 850 Watts to about 1000 Watts is applied to maintain the plasma. The reduction process may be performed for a time period of 60 seconds or less, such as in a range from about 10 seconds to about 40 seconds, or for example, in a range from about 10 seconds to about 30 seconds.
  • In some embodiments, during the oxidation process, the processing region is maintained at a pressure of less than about 10 mTorr, such as in a range from about 1 mTorr to about 5 mTorr, or for example, in a range from about 1 mTorr to about 2 mTorr. Exposing the seed layer 240 to the oxidizing gas includes flowing the oxidizing gas into the processing region at a flow rate of about 20 sccm or less, such as in a range from about 1 sccm to about 10 sccm, or in a range from about 1 sccm to about 5 sccm. Exposing the seed layer 240 to the reducing agent may further include flowing a carrier gas, for example, an inert gas such as argon into the processing region at a flow rate of about 100 sccm or less, such as in a range from about 50 sccm to about 100 sccm, or in a range from about 50 sccm to about 100 sccm. During the oxidation process, the semiconductor device structure 200 may be maintained a temperature of about 450 degrees Celsius or less, such as in a range from about 200 degrees Celsius to about 450 degrees Celsius, in a range from about 250 degrees Celsius to about 400 degrees Celsius, or for example, in a range from about 300 degrees Celsius to about 350 degrees Celsius. During the oxidation process, ICP plasma power of 300 Watts or less, such as in a range from about 100 Watts to 300 Watts, or for example, in a range from about 180 Watts to about 210 Watts. The oxidation process may be performed for a time period of 60 seconds or less, such as in a range from about 10 seconds to about 40 seconds, or for example, in a range from about 12 seconds to about 30 seconds.
  • In some embodiments, the oxidation process is performed at a pressure in a range from about 2 mTorr to about 7 mTorr, at an ICP power in a range from about 210 Watts to about 350 Watts, at a flow rate of argon gas into the processing region in a range from about 900 sccm to about 1000 sccm, at a flow rate of oxygen gas into the processing region in a range from about 5 sccm to about 10 sccm, at a temperature in a range from about 300 degrees Celsius to about 400 degrees Celsius, and for a time period from about 90 seconds to about 180 seconds.
  • At operation 350, the oxidized seed layer 240 is exposed to an etch back process. FIG. 2D illustrates a cross-sectional view of the semiconductor device structure 200 during intermediate stages of manufacturing corresponding to operation 350, in accordance with some embodiments. The etch back process selectively targets the oxidized seed layer 240 over seed layer 230.
  • The etch back process includes flowing an etching gas and optional inert gas into the processing region. The etching gas can include chlorine or fluorine containing gas, or a combination thereof, wherein the etchant is selected to be reactive to the metal oxide, i.e., the oxidized seed layer 240, over the non-oxide metal, i.e., the seed layer 230. In some embodiments, the etch back process is performed at a pressure in a range from about 5 mTorr to about 20 mTorr, at an ICP power in a range from about 300 Watts to about 400 Watts, at a flow rate of argon gas into the processing region in a range from about 450 sccm to about 500 sccm, at a flow rate of WF6 gas into the processing region in a range from about 5 sccm to about 10 sccm, at a temperature in a range from about 300 degrees Celsius to about 400 degrees Celsius, and for a time period from about 15 seconds to about 30 seconds.
  • The etch back process exposes the oxidized seed layer 240 to an etchant process to selectively remove the oxidized seed layer 240 with minimal removal of the underlying seed layer 230. The gradient oxidation process and the etch back process may be a cyclic process. For example, one cycle may include the gradient oxidation process followed by the etch back process. The gradient oxidation and etch back process may be repeated for a number of cycles sufficient to reduce the thickness of the seed layer 230 from the initial thickness to a targeted reduced thickness. For example, the gradient oxidation and etch back process may be repeated for two to four cycles, for example, two cycles. The gradient oxidation process of operation 340 and the etch back process of operation 350 may be repeated until the molybdenum-containing layer, for example, the seed layer 230 is reduced in thickness as shown in FIG. 2D and completely removed from the field region 227 of the dielectric layer 220.
  • The thickness of the molybdenum-containing layer formed over the field region is reduced at a greater rate than a thickness of the molybdenum-containing layers formed over the sidewall surfaces and the bottom surfaces of the feature 222. Additionally, the thickness of the molybdenum-containing seed layer 230 formed over the sidewall surfaces 223 are reduced at a greater rate than a thickness of the molybdenum-containing seed layer 230 formed over the bottom surface 224.
  • The gradient oxidation process (operation 340) and etch back process (operation 350) substantially inhibits the formation of seams and voids, present during conventional process, during the subsequent metal gap-fill by removing metal from the field region 227 while maintaining seeding metal along the sidewall surface 223 and along the bottom surface 224 of the feature 222. Thus, a substantially seam-free metal gap-fill can be achieved.
  • At operation 360, the one or more features are filled with a metal material. FIG. 2E illustrates a cross-sectional view of the semiconductor device structure 200 during intermediate stages of manufacturing corresponding to operation 360, in accordance with some embodiments. A metal may be formed on the seed layer 230 to fill the feature 222 to form a gap-fill layer 250. Any suitable metal deposition process may be used to deposit the gap-fill layer 250. The gap-fill layer 250 may be deposited via a chemical vapor deposition (CVD) gap-fill process. The gap-fill layer 250 may partially or completely fill the one or more features. The gap-fill layer 250 is formed from a metal such as tungsten (W), molybdenum (Mo), or ruthenium (Ru), among others. In one example, the gap-fill layer 250 is formed of molybdenum (Mo).
  • In some embodiments, the gap-fill layer 250 is formed using a chemical vapor deposition (CVD) process comprising concurrently flowing (co-flowing) a molybdenum-containing precursor gas, and a reducing agent, into the processing region and exposing the semiconductor device structure 200 thereto.
  • In another embodiment, the gap-fill layer 250 is deposited at operation 360 using an atomic layer deposition (ALD) process. The molybdenum gap-fill ALD process includes repeating cycles of alternately exposing the semiconductor device structure 200 to a molybdenum-containing precursor gas and a reducing agent and purging the processing region between the alternating exposures.
  • In other embodiments, the gap-fill layer 250 is deposited using a pulsed CVD method that includes repeating cycles of alternately exposing the semiconductor device structure 200 to a molybdenum-containing precursor gas and a reducing gas without purging the processing region.
  • The previously described embodiments of the present disclosure have many advantages, including the metal oxidation process and selective metal oxide removal process can be completed in two process chambers or in a single process chamber, thus reducing fabrication times and the potential for handling induced defects. The method utilizes an inductively coupled plasma (ICP) O2 plasma that includes a diffusion limited gas flow within features (e.g., trenches or vias) formed on a substrate to create an oxygen-starved reaction. In one embodiment, the use of an ICP O2 plasma, a low weak energy O2 plasma with high ion/radical ratio, is created to enhance the field oxidation and deplete the reactive oxygen species before reaching the bottom of a trench structure or gap. This gives good selectivity (>7) in the trench structure and top-field metal removal is achieved while seed material remains in the bottom of a trench structure or gap for a bottom-up growth metal fill. The methods enable multi-cycling capability with high wafer throughput. The methods addresses the challenges of seam and voids during conventional metal gap fill by removing metal from the field region and the sidewall, while maintaining the seeding metal at the bottom of the gap or trenches. In this manner, a substantially seam-free bottom up metal gap fill can be performed.
  • While the foregoing is directed to embodiments of the present disclosure, other and further embodiments of the disclosure may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow.

Claims (20)

1. A method of filling a feature on a substrate, the method comprising:
forming a metal seed layer on an exposed surface of the substrate, wherein the substrate has features in the form of trenches or vias formed in a top surface of the substrate, the features having sidewalls and a bottom surface extending between the sidewalls;
performing a gradient oxidation process to oxidize exposed portions of the metal seed layer to form a metal oxide, wherein the gradient oxidation process preferentially oxidizes a field region of the substrate over the bottom surface of the features,
performing an etch back process to remove or reduce the oxidized portion of the seed layer; and
performing a metal gap-fill process to fill or partially fill the features with a gap fill material.
2. The method of claim 1, wherein the seed layer is a molybdenum-containing layer, the metal oxide is molybdenum oxide, and the metal gap fill material contains molybdenum.
3. The method of claim 2, wherein an overhang portion of the seed layer extending into an opening of one or more features formed along the field region of the substrate, and the overhang portion is preferentially oxidized relative to the metal seed layer.
4. The method of claim 2, wherein the gradient oxidation process and the etch back process are performed in two separate chambers.
5. The method of claim 2, further comprising:
forming a liner layer on the exposed surface of the substrate, wherein the seed layer is formed on the liner layer and the liner layer extends into the features.
6. The method of claim 3, further comprising:
repeating the oxidation process and etch back process on the substrate.
7. The method of claim 3, wherein the seed layer is removed from the field region and the overhang portion.
8. A method of filling a feature formed on a substrate, the method comprising:
depositing a molybdenum-containing layer over an exposed surface of a substrate, wherein
the substrate comprises a plurality of features formed in a top surface of the substrate,
each of the plurality of features having a sidewall surface and a bottom surface, and
the deposited molybdenum-containing layer is formed over the top surface of the substrate, and the sidewall surface and bottom surface of the plurality of features;
exposing the exposed surface of the substrate to a gradient oxidizing process, wherein the gradient oxidizing process forms oxidized regions of the molybdenum-containing;
preferentially etching the oxidized regions of the deposited molybdenum-containing layer, wherein after preferentially etching the oxidized regions, a first portion of the deposited molybdenum-containing layer remains on the bottom surface in each of the plurality of features and a second portion of the deposited molybdenum-containing layer remains on the sidewall surface in each of the plurality of features; and
filling the features with a second molybdenum layer, wherein filling the features with the second molybdenum layer comprises growing the second molybdenum layer from the second portion of the deposited molybdenum-containing layer on the sidewall surface and the first portion of the deposited molybdenum-containing layer on the bottom surface in each of the features.
9. The method of claim 8, wherein an overhang portion of the seed extending into an opening of one or more features formed along the top surface of a substrate, and the overhang portion is preferentially oxidized relative to the metal seed layer.
10. The method of claim 8, wherein the gradient oxidation process and the etch back process are performed in two separate chambers.
11. The method of claim 8, further comprising:
forming a liner layer on the exposed surface of the substrate, wherein the liner layer is formed on the seed layer and the liner layer extends into the features.
12. The method of claim 8, further comprising:
repeating the step of oxidation process and etch back process on the substrate.
13. The method of claim 12, wherein the seed layer is removed from the top surface and the overhang portion.
14. A cluster tool for filling a feature on a substrate, the cluster tool comprising:
a first process chamber, comprising:
an oxygen source that is fluidly coupled to a processing region of the first process chamber, wherein the oxygen source is configured to deliver an oxygen-containing gas to the processing region;
a first flow control valve that is configured to control the flow of oxygen-containing gas provided from the oxygen source to the processing region;
an etching gas source that is fluidly coupled to the processing region of the first process chamber, wherein the etching gas source is configured to deliver an etching gas to the processing region;
a third flow control valve that is configured to control the flow of the etching gas provided from the etching gas source to the processing region; and
an inductively coupled plasma source that is configured to generate a plasma in the processing region, wherein the plasma comprises the oxygen-containing gas; and
a controller that is configured to:
form a metal seed layer on an exposed surface of a substrate, wherein the substrate has features in the form of trenches or vias formed in a top surface of the substrate, the features having sidewalls and a bottom surface extending between the sidewalls;
perform a gradient oxidation process to oxidize exposed portions of the metal seed layer to form a metal oxide, wherein the gradient oxidation process preferentially oxidizes a field region of the substrate over the bottom surface of the features,
perform an etch back process to remove or reduce the oxidized portion of the seed layer; and
perform a molybdenum gap-fill process to fill or partially fill the features with a gap fill material.
15. The cluster tool of claim 14, wherein the seed layer is a molybdenum-containing layer and the metal oxide is molybdenum oxide.
16. The cluster tool of claim 15, wherein an overhang portion of the seed layer obstructs or blocks top openings of one or more features formed along the field region of a substrate, and the overhang portion is preferentially oxidized.
17. The cluster tool of claim 16, wherein the gradient oxidation process and the etch back process are performed in two separate chambers.
18. The cluster tool of claim 17, wherein the controller is further configured to:
form a liner layer on an exposed surface of the substrate, wherein the seed layer is formed on the liner layer and the liner layer extends into the features.
19. The cluster tool of claim 18, wherein the controller is further configured to:
repeat the step of oxidation process and etch back process on the substrate.
20. The cluster tool of claim 19, wherein the seed layer is removed from the field region and the overhang portion.
US17/868,475 2022-04-25 2022-07-19 Gradient oxidation and etch for pvd metal as bottom liner in bottom up gap fill Pending US20230343643A1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US17/868,475 US20230343643A1 (en) 2022-04-25 2022-07-19 Gradient oxidation and etch for pvd metal as bottom liner in bottom up gap fill
PCT/US2023/015613 WO2023211583A1 (en) 2022-04-25 2023-03-20 Gradient oxidation and etch for pvd metal as bottom liner in bottom up gap fill

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US202263334428P 2022-04-25 2022-04-25
US17/868,475 US20230343643A1 (en) 2022-04-25 2022-07-19 Gradient oxidation and etch for pvd metal as bottom liner in bottom up gap fill

Publications (1)

Publication Number Publication Date
US20230343643A1 true US20230343643A1 (en) 2023-10-26

Family

ID=88415804

Family Applications (3)

Application Number Title Priority Date Filing Date
US17/868,475 Pending US20230343643A1 (en) 2022-04-25 2022-07-19 Gradient oxidation and etch for pvd metal as bottom liner in bottom up gap fill
US18/070,383 Pending US20230343644A1 (en) 2022-04-25 2022-11-28 Method of in-situ selective metal removal via gradient oxidation for gapfill
US18/128,389 Pending US20230343645A1 (en) 2022-04-25 2023-03-30 Gradient oxidation and etch of pvd molybdenum for bottom up gap fill

Family Applications After (2)

Application Number Title Priority Date Filing Date
US18/070,383 Pending US20230343644A1 (en) 2022-04-25 2022-11-28 Method of in-situ selective metal removal via gradient oxidation for gapfill
US18/128,389 Pending US20230343645A1 (en) 2022-04-25 2023-03-30 Gradient oxidation and etch of pvd molybdenum for bottom up gap fill

Country Status (2)

Country Link
US (3) US20230343643A1 (en)
WO (2) WO2023211583A1 (en)

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7405143B2 (en) * 2004-03-25 2008-07-29 Asm International N.V. Method for fabricating a seed layer
US9972504B2 (en) * 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US10692735B2 (en) * 2017-07-28 2020-06-23 Lam Research Corporation Electro-oxidative metal removal in through mask interconnect fabrication
US20190067014A1 (en) * 2017-08-30 2019-02-28 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor device structures
WO2020061417A1 (en) * 2018-09-21 2020-03-26 Applied Materials, Inc. Gap-fill with aluminum-containing films
SG11202109796QA (en) * 2019-03-11 2021-10-28 Lam Res Corp Precursors for deposition of molybdenum-containing films
JP2022545217A (en) * 2019-08-21 2022-10-26 ラム リサーチ コーポレーション Reduced line bending during metal filling process
JP2023517291A (en) * 2020-03-06 2023-04-25 ラム リサーチ コーポレーション Atomic layer etching of molybdenum
US11417568B2 (en) * 2020-04-10 2022-08-16 Applied Materials, Inc. Methods for selective deposition of tungsten atop a dielectric layer for bottom up gapfill
CN115668480A (en) * 2020-05-22 2023-01-31 朗姆研究公司 Low resistivity contacts and interconnects

Also Published As

Publication number Publication date
US20230343644A1 (en) 2023-10-26
US20230343645A1 (en) 2023-10-26
WO2023211624A1 (en) 2023-11-02
WO2023211583A1 (en) 2023-11-02

Similar Documents

Publication Publication Date Title
US11094544B2 (en) Methods of forming self-aligned vias
US10319604B2 (en) Methods for self-aligned patterning
US10395916B2 (en) In-situ pre-clean for selectivity improvement for selective deposition
US8586479B2 (en) Methods for forming a contact metal layer in semiconductor devices
TW201911378A (en) Self-aligned high aspect ratio structures and methods of making
US20230343643A1 (en) Gradient oxidation and etch for pvd metal as bottom liner in bottom up gap fill
US20230420295A1 (en) Treatment of tungsten surface for tungsten gap-fill
TW202407841A (en) Gradient oxidation and etch for pvd metal as bottom liner in bottom up gap fill
WO2013086087A1 (en) Chemical vapor deposition (cvd) of ruthenium films and applications for same
US20240006236A1 (en) Plasma enhanced tungsten nucleation for low resistivity
TW202406016A (en) Gradient oxidation and etch of pvd molybdenum for bottom up gap fill
US20240014072A1 (en) Nitrogen plasma treatment for bottom-up growth
US11515200B2 (en) Selective tungsten deposition within trench structures
TW202412182A (en) Nitrogen plasma treatment for bottom-up growth
US20230323543A1 (en) Integrated cleaning and selective molybdenum deposition processes
US20240035149A1 (en) Bottom up molybdenum gapfill
US20230326744A1 (en) Field suppressed metal gapfill
US20240038859A1 (en) Metal cap for contact resistance reduction
US20220165852A1 (en) Methods and apparatus for metal fill in metal gate stack
TW202407133A (en) Integrated cleaning and selective molybdenum deposition processes
WO2023200466A1 (en) Self field-suppression cvd tungsten (w) fill on pvd w liner
WO2023229612A1 (en) Selective metal removal with flowable polymer
TW202135148A (en) Method and apparatus for low resistance contact interconnection
WO2019236909A1 (en) Reduction of diffusion across film interfaces

Legal Events

Date Code Title Description
STPP Information on status: patent application and granting procedure in general

Free format text: DOCKETED NEW CASE - READY FOR EXAMINATION

AS Assignment

Owner name: APPLIED MATERIALS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:HSU, CHIH-HSUN;YUE, SHIYU;LEI, WEI;AND OTHERS;SIGNING DATES FROM 20220427 TO 20220503;REEL/FRAME:061382/0932