TW202217927A - 用於增強的鎢沉積填充之鎢的原子層蝕刻 - Google Patents

用於增強的鎢沉積填充之鎢的原子層蝕刻 Download PDF

Info

Publication number
TW202217927A
TW202217927A TW111100554A TW111100554A TW202217927A TW 202217927 A TW202217927 A TW 202217927A TW 111100554 A TW111100554 A TW 111100554A TW 111100554 A TW111100554 A TW 111100554A TW 202217927 A TW202217927 A TW 202217927A
Authority
TW
Taiwan
Prior art keywords
metal
feature
tungsten
substrate
chamber
Prior art date
Application number
TW111100554A
Other languages
English (en)
Other versions
TWI840731B (zh
Inventor
照健 黎
凱倫 賈考柏思 凱那瑞克
暹華 陳
阿南德 嘉德瑞什卡
泰天 蘇
楊文兵
麥可 伍德
米歇爾 丹納克
Original Assignee
美商蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商蘭姆研究公司 filed Critical 美商蘭姆研究公司
Publication of TW202217927A publication Critical patent/TW202217927A/zh
Application granted granted Critical
Publication of TWI840731B publication Critical patent/TWI840731B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Crystallography & Structural Chemistry (AREA)

Abstract

本說明書提供使用沉積-蝕刻-沉積(dep-etch-dep)製程將鎢沉積至高縱橫比之特徵部中的方法,其中該製程整合各種沉積技術與蝕刻期間之表面改質及移除的交替脈衝。

Description

用於增強的鎢沉積填充之鎢的原子層蝕刻
本揭露內容係關於在基板上填充特徵部的方法。
半導體製程通常涉及使金屬(例如:鎢)沉積至特徵部(例如:穿孔或凹槽)中,以形成接點或內連線。然而,當元件縮小,特徵部會變得更小且更難以填充,尤其是在先進邏輯與記憶體的應用中。
本說明書中所提供的係為在基板上填充特徵部的方法。一態樣涉及一種在基板上填充特徵部的方法,其係藉由(a)在特徵部中沉積最初之量的金屬;及(b)藉由下列步驟,相對於在該特徵部之內部區域,在該特徵部之開口或其附近方向性地蝕刻該金屬:(i)藉由將該金屬暴露於含鹵素氣體來將所沉積之該金屬的表面改質;及(ii)將所改質之該表面暴露於活化氣體以選擇性地蝕刻該金屬。該方法更可包含重複步驟(a)及(b)。
在各種實施例中,該金屬包含鈦、鉭、鎳、鈷、或鉬其中一者。在若干實施例中,該金屬包含鎢。
在若干實施例中,該含鹵素氣體係選自由下列各項所構成的群組:氯、溴、碘、六氟化硫、四氟化矽、三氯化硼、或其組合。在若干實施例中,該活化氣體係為惰性氣體,例如氖、氪、氬、或其組合。
該方法更可包含在步驟(i)及(ii)其中至少一者的期間施加偏壓。該偏壓的功率可低於臨界偏壓功率。該偏壓的功率可小於約80Vb。
在各種實施例中,步驟(b)包含自限制反應。在若干實施例中,該基板包含具有不同尺寸之開口的特徵部。該特徵部可具有至少3:1的縱橫比。在若干實施例中,該開口的寬度小於20 nm。
在若干實施例中,在不破壞真空的情況下執行步驟(a)及(b)。在若干實施例中,在相同的腔室中執行步驟(a)及(b)。在若干實施例中,在相同工具之不同的腔室中執行步驟(a)及(b)。
該方法更可包含在步驟(i)及(ii)其中至少一者的期間引燃電漿。該電漿的功率可介於約0 W至約1000 W之間。
另一態樣可涉及一種方法,其包含下列步驟:(a)以鎢部分填充特徵部;(b)藉由將該特徵部暴露於含鹵素氣體與活化氣體的交替脈衝以在該特徵部之開口或其附近方向性地蝕刻鎢;及(c)以鎢填充該特徵部。
在若干實施例中,在步驟(b)期間施加偏壓。在若干實施例中,在步驟(b)期間以臨界偏壓功率施加偏壓。
在各種實施例中,在不破壞真空的情況下執行步驟(a)及(b)。在若干實施例中,在相同的腔室中執行步驟(a)及(b)。該方法更可包含重複步驟(a)及(b)。填充該特徵部之該步驟可包含重複步驟(a)及(b)。
可藉由CVD來沉積該鎢。在若干實施例中,藉由ALD來沉積該鎢。可藉由將該特徵部暴露於含鎢前驅物與還原劑的交替脈衝來沉積該鎢。可使用含氯的鎢前驅物來沉積該鎢。在若干實施例中,該鎢為不含氟的鎢。
另一態樣涉及用於處理半導體基板的設備,該設備包含:製程腔室,其包含噴淋頭及基板支撐體;電漿產生器;及控制器,其具有至少一處理器及一記憶體,藉此該至少一處理器與該記憶體彼此通訊地連接,該至少一處理器至少與流量控制硬體操作地連接,且該記憶體儲存用於下列操作之機器可讀指令:將含鎢前驅物及還原劑引至腔室以將鎢沉積於基板上;引入含鹵素氣體以將該鎢之表面改質;及引入活化氣體並引燃電漿,以蝕刻至少部分的該鎢之經改質的表面。
該基板支撐體可包含偏壓,而該記憶體更可儲存用於在操作(iii)期間將該偏壓之功率設定為小於約80 Vb的機器可讀指令。在若干實施例中,該記憶體更儲存用於在操作(ii)期間引燃電漿的機器可讀指令。
在若干實施例中,該記憶體更儲存用於循環地重複操作(ii)及(iii)的機器可讀指令。在若干實施例中,該記憶體更儲存用於在執行操作(ii)及(iii)之後重複操作(i)的機器可讀指令。
以下參考圖式進一步說明此等與其他態樣。
在以下敘述中,為提供對於所呈現實施例之完整瞭解,將提出許多具體細節。在不具有這些具體細節之若干或全部的情況下,仍可實施本揭露實施例。在其他情況下,為避免不必要地混淆本揭露實施例,因此不詳細描述眾所周知的程序操作。雖然本揭露實施例將結合具體實施例而描述,但應瞭解其並非意欲限制本揭露實施例。
半導體製程通常涉及使金屬沉積至特徵部(例如:穿孔或凹槽)中,以形成接點或內連線。通常使用化學氣相沉積(CVD, chemical vapor deposition)法來使鎢沉積至此類特徵部中,藉此,包含待填充之特徵部的基板係暴露於含鎢前驅物及還原劑,以使鎢沉積至特徵部中。然而,當元件縮小,特徵部會變得更小且更難以藉由CVD來填充,尤其是在先進邏輯與記憶體的應用中。例如,特徵部可具有高縱橫比,例如至少約3:1。某些特徵部可具有小於約20 nm的小開口。某些特徵部亦可包含內凹特徵部輪廓,其係參考圖2進一步描述於下。針對先進技術節點中的特徵部,在特徵部之開口或靠近特徵部之開口的沉積速率可能較在該特徵部之底部的沉積速率更快,其會使開口在整個特徵部被填充之前封閉,而留下特徵部中的孔隙或間隙。此類間隙的存在對於半導體元件之性能與可靠性以及最終的半導體產品可能係為不利的。
此外,某些基板可包含各種尺寸的特徵部。因此,相較於較大的特徵部,在較小的特徵部中,特徵部會更快地被填充或特徵部之開口會更快地被封閉,而較大的特徵部可能不會被完全填充。特徵部之小開口及高縱橫比可能會引起特徵部內之鎢的非保形沉積。此外,在由習知沉積技術以及因特徵部上的非保形覆蓋所造成的下方阻障或黏著層之可能凸出物所導致的內凹特徵部輪廓中,該特徵部可具有最終的內凹側壁輪廓,其使得該特徵部之完全填充具有挑戰性。
使鎢沉積至具有小開口之特徵部中的一方法包含使部分填充之特徵部暴露於反應性物種(例如,遠端電漿反應器中所產生之含氟物種),以及在質量傳輸限制製程制度下操作以移除先前在特徵部之開口所沉積的鎢,而藉此打開特徵部以使鎢能夠進一步沉積至特徵部中,藉此促進完全的無孔隙填充。然而,儘管此類方法在將無孔隙的鎢沉積至小特徵部中時可為有效的,但用以填充較大特徵部之沉積量與蝕刻製程可能會改變。此外,含氟反應性物種係非常具反應性,而因此快速地蝕刻鎢,如此一來蝕刻條件係受到調整以避免蝕刻太多鎢。若所沉積的鎢整體被移除,則隨後利用鎢使所暴露之表面再成核以填充特徵部會變得困難。例如,在沉積任何鎢之前,特徵部通常係以阻障層(例如,氮化鈦阻障層)作為襯墊,而特徵部可暴露於前驅物以藉由例如原子層沉積法(ALD, atomic layer deposition)的方法來沉積鎢成核層。然而,若含氟反應性物種因其高反應性及蝕刻速率而移除整個成核層,則氮化鈦阻障層被暴露,而鎢係再次成核於基板上,藉此降低了產能。在若干實施例中,含氟反應性物種可蝕刻整個阻障層或其至少若干,如此可能因若干鎢成核層消失在基板上而使第二次鎢沉積不完全。
本說明書中所提供的係為使用整合之沉積與原子層蝕刻法(ALE, atomic layer etching)製程以鎢來填充特徵部的方法。ALE係為使用相繼的自限制反應來移除材料之薄層的技術。一般而言,可使用任何合適的技術來執行ALE。原子層蝕刻技術之範例係描述於美國專利第8883028號,公告日為2014年11月11日;以及美國專利第8808561號,公告日為2014年8月19日,該等案係因描述例示性原子層蝕刻技術之目的而併入本說明書中以供參照。在各種實施例中,ALE可利用電漿來執行,或可加熱地來執行。「ALE循環」的概念與本說明書中許多實施例的討論有關。一般而言,ALE循環為用以執行一次蝕刻處理(例如蝕刻單層)的最小操作組。一循環的結果為將基板表面上至少若干的薄膜層蝕刻掉。通常,一ALE循環包含改質操作,以形成反應性層;接著為移除操作,以僅將此經改質的層移除或蝕刻掉。該循環可包含某些輔助操作,例如掃除副產物或反應物其中之一者。一般而言,一循環含有一專有操作順序範例。舉例而言,一ALE循環可包含下列操作:(i)輸送反應物氣體,該反應物氣體可處於電漿中;(ii)將該反應物氣體自腔室中吹淨;(iii)輸送移除氣體及可選擇的電漿;以及(iv)吹淨腔室。在若干實施例中,可在基板(例如,具有表面構形及/或特徵部的基板)上非保形地執行蝕刻。
圖1顯示ALE循環的兩個例示性示意圖解。圖171a-171e顯示一般性的ALE循環。在171a中,提供基板。在171b中,將基板的表面改質。在171c中,將用以改質基板的化學物吹淨。在171d中,蝕刻經改質的層。在171e中,移除經改質的層。類似地,圖172a-172e顯示蝕刻鎢膜的ALE循環之範例。在172a中,提供鎢基板,其包含許多鎢原子。在172b中,將反應物氣體氯引至基板,而將基板的表面改質。在若干實施例中,氯反應物亦可在電漿中作為原子氯而輸送,而可能不會引起鎢基板的直接蝕刻。舉例而言,172b中的示意圖顯示,若干氯吸附於基板的表面上。儘管圖1中描繪氯(Cl 2),但可使用任何含氯化合物或其他合適的反應物。在172c中,將反應物氣體氯自腔室中吹淨。在172d中,使用方向性電漿來引入移除氣體氬(如Ar +電漿物種及箭頭所指示),以將基板之經改質表面移除。該活化的蝕刻涉及惰性離子(例如:Ar +)之使用,其利用低於濺射臨界值的能量來操作以供給吸附物種(例如:Cl物種)能量,以每次將基板蝕刻掉單層。在此操作期間,對基板施加偏壓以將離子吸引向基板。在172e中,吹淨腔室並移除副產物。
ALE製程的蝕刻速率係低於以氟為基礎之遠端電漿蝕刻的蝕刻速率,但ALE由於表面反應的自我限制之性質,會更均勻地蝕刻。因此,ALE製程提供關於蝕刻操作的更多控制(尤其是在較大特徵部中),而使蝕刻循環中所移除的材料量受到限制且不會蝕刻得太快,以便避免將材料從特徵部之表面徹底蝕刻。本說明書中所述之沉積製程可藉由轉換腔室壓力及基板溫度而受到控制,腔室壓力及基板溫度兩者會在ALE期間影響改質化學物之吸附作用。藉由在ALE中所執行的一或更多操作期間調整基板偏壓,以及調整改質化學物的流動與化學物,亦可控制製程。沉積製程亦可取決於待沉積至特徵部中的金屬之化學物。
所揭露之實施例可涉及藉由任何合適的方法在特徵部中沉積金屬(例如,鎢),該方法包含ALD、CVD、電漿輔助ALD(PEALD)、電漿輔助CVD (PECVD),或物理氣相沉積法(PVD, physical vapor deposition);含鹵素氣體之吸附以及對電漿之可選擇的暴露,其用以改質所沉積之金屬的表面;對活化氣體之暴露,其用以移除經改質的表面;以及用以填充特徵部之金屬的進一步沉積。圖2依據所揭露之實施例,提供經歷各種操作之特徵部的例示性示意圖解。在201中,基板210顯示為具有特徵部212,其包含保形地沉積於該特徵部中的TiN阻障層214、以及藉由ALD保形地沉積於TiN阻障層214上的鎢216。在203中,在特徵部212暴露於含鹵素氣體以將所沉積之鎢216的表面改質之後,將特徵部212暴露於活化氣體(例如,包含氬離子、或氖、或氪的氣體),其可在特徵部212之開口218a附近方向性地蝕刻鎢216(例如藉由使用低偏壓)。在205中,特徵部212已被打開,留下特徵部開口218b。在207中,隨後藉由CVD以鎢來填充特徵部212,以產生無孔隙之鎢填充的特徵部。
圖3提供製程流程圖,其描繪依據所揭露實施例之方法中的操作。儘管以下敘述集中在鎢特徵部填充,但本揭露內容之態樣亦可實施於以其他材料來填充特徵部時。例如,使用本說明書中所述之一或更多技術的特徵部填充可用於以包含下列各者的其他材料來填充特徵部:其他含鎢材料(例如:氮化鎢(WN)及碳化鎢(WC))、含鈦材料(例如:鈦(Ti)、氮化鈦(TiN)、矽化鈦(TiSi)、碳化鈦(TiC)、及鋁化鈦(TiAl))、含鉭材料(例如:鉭(Ta)、及氮化鉭(TaN))、含鉬材料、含鈷材料、及含鎳材料(例如:鎳(Ni)及矽化鎳(NiSi))。在各種實施例中,可利用另一金屬代替鎢或與鎢結合來填充特徵部。例如,可使用鈷或鉬來填充特徵部。
在圖3之操作301中,將基板提供至腔室。基板可為矽晶圓,例如,200-mm晶圓、300-mm晶圓、或450-mm晶圓,包含具有沉積於其上之一或更多材料(例如:介電的、導電的、或半導電的材料)層的晶圓。圖案化的基板可具有「特徵部」(例如:穿孔或接觸孔),其特徵可為狹窄及/或內凹的開口、特徵部內之頸縮、及高縱橫比其中一或更多者。該等特徵部可形成於上述該等層其中一或更多者中。特徵部的一範例為在半導體基板或該基板上之層中的孔洞或穿孔。另一範例為基板或層中的凹槽。在各種實施例中,特徵部可具有下方層,例如阻障層或黏合層。下方層之非限定的範例包含介電層及導電層,例如矽氧化物、矽氮化物、矽碳化物、金屬氧化物、金屬氮化物、金屬碳化物、及金屬的層。
應用之範例包含邏輯及記憶體接點填充、動態隨機存取記憶體(DRAM)埋入式字元線填充、垂直積體化記憶體閘極/字元線填充、及具有直通矽穿孔(TSVs, through-silicon vias)的3-D積體化。本說明書中所述的方法可用以填充垂直特徵部(例如,在鎢穿孔中),及水平特徵部(例如,垂直NAND(VNAND) 字元線。
在各種實施例中,因執行所揭露之實施例而製造的基板之類型可取決於執行所揭露之實施例之前的基板上之特徵部的縱橫比。在若干實施例中,操作301中所提供之基板上的特徵部可具有至少約2:1、至少約3:1、至少約4:1、至少約6:1、至少約10:1、或更高的縱橫比。特徵部在開口附近亦可具有5 nm至500 nm間的尺寸(例如開口直徑或線寬),例如約25 nm至約300 nm間。可在含有具有小於約20 nm的開口之特徵部的基板上執行所揭露之方法。相對而言,可將「小」特徵部定義為具有小於「大」特徵部的開口直徑或線寬之開口直徑或線寬的特徵部。大特徵部可具有比小特徵部之臨界尺寸更大至少1.5倍、或至少2倍、或至少5倍、或至少10倍、或多於10倍的開口直徑或臨界尺寸。「小」特徵部的範例包含具有約1 nm至2 nm間之開口直徑的特徵部。「大」特徵部的範例包含具有約數百奈米至約1微米的量級之開口直徑的特徵部。
可將穿孔、凹槽、或其他凹陷特徵部稱為未填充特徵部或特徵部。依據各種實施例,特徵部輪廓可漸縮及/或包含位於特徵部開口處之凸出物。內凹輪廓係為一種自特徵部的底部、封閉端、或內部向特徵部的開口變窄的輪廓。內凹輪廓可能因圖案化期間之非對稱性蝕刻動力學及/或凸出物而產生,其中凸出物係起因於先前之膜沉積(例如擴散阻障物的沉積)中的非保形膜階梯覆蓋性。在各種範例中,在特徵部頂部的特徵部開口寬度可小於在特徵部中間及/或底部的寬度。
在操作303中,鎢係沉積於基板上,例如藉由將基板暴露於含鎢前驅物及還原劑,以部分填充基板上的特徵部。例示性含鎢前驅物包括含鎢鹵化物前驅物,其可包含鎢氟化物,例如WF 6;以及鎢氯化物,例如WCl 6、W(CO) 6、及WCl 5。在若干實施例中,可使用金屬有機含鎢前驅物。例示性還原劑包含氫、硼烷(例如,B 2H 6)、矽烷(例如,SiH 4)、及鍺烷(例如,GeH 4)。
在若干實施例中,鎢係保形地沉積。在若干實施中,操作303涉及鎢成核層的沉積,然後是主體沉積。
可使用任何用於沉積鎢的合適方法,例如ALD、CVD、PECVD、PEALD、或PVD。針對本說明書中所提供的範例,鎢可藉由ALD保形地沉積至特徵部中。例如,在若干實施例中,鎢成核層係藉由依序地使含鎢前驅物及一或更多還原劑產生脈衝而沉積,以藉由ALD或脈衝的成核層(PNL, pulsed nucleation layer)製程而形成鎢成核層。在若干實施中,操作303可涉及僅主體沉積,而無成核層沉積,若,例如,特徵部包含支撐鎢沉積的下方層。主體沉積可藉由化學氣相沉積而沉積,且進一步描述於下。
在包含頸縮或以其他方式容易受到夾止的特徵部中,可執行操作303至少直至該特徵部被夾止為止。具有不同尺寸的特徵部可能在不同的時間被夾止。在保形沉積中,沉積從各表面開始,且隨著大致垂直於該表面之生長而進展。特徵部中之鎢生長係從各側壁開始,並進展直至該生長夾止該特徵部為止。在若干實施中,操作303中所沉積的鎢量可根據最窄的特徵部尺寸來判定。
在各種實施例中,可執行操作303以使特徵部之開口封閉。在若干實施例中,接縫可形成於特徵部之開口處或其附近。針對此處說明之目的,將「開口附近」定義為在特徵部內(亦即,沿著特徵部之側壁)的一個大概的位置或區域,其對應於自場區測量之特徵部深度的約0%至10%之間。在某些實施例中,開口附近的區域對應於在開口的區域。再者,將「特徵部內側」或「特徵部內部」定義為在特徵部內的一個大概的位置或區域,其對應於自特徵部頂部上的場區測量之特徵部深度的約20%至60%之間。通常,當某些參數(例如厚度)的數值係明確指定「開口附近」或「特徵部內側」時,此等數值代表在此等位置/區域內所取得之一量測值、或複數量測值的平均值。
在操作305中,基板係藉由原子層蝕刻而方向性地或偏好性地蝕刻。可將如本說明書中所使用之「方向性的」或「偏好性的」定義為在特徵部之頂部或其附近較在特徵部之其餘部分中蝕刻更多材料,例如,特徵部內側或內部。原子層蝕刻涉及表面改質及活化操作。在若干實施例中,在操作305期間,載氣(其可包含N 2、Ar、Ne、He、及其組合)係連續地流動。在若干實施例中,僅在操作305期間的移除製程期間使用載氣。在若干操作中,載氣可作為吹淨氣體使用,如下所述。在若干實施例中,在操作305期間使用另一反應氣體(例如氧),以移除經改質層。在若干實施例中,在移除期間不流動載氣。
在操作315中,將基板暴露於改質化學物,以將基板的表面改質。改質化學物可為氣體、或電漿、或反應性物種。改質操作會形成薄的反應性表面層,其具有較未改質之材料更容易被移除的厚度。可執行改質操作,俾防止基板的自發性蝕刻。
在改質操作中,可使用含鹵素化學物將基板改質。例如,可藉由將氯引至腔室中而將基板氯化。在所揭露之實施例中,氯係作為例示性改質化學物來使用,但應瞭解,在若干實施例中,會將不同的改質化學物引至腔室中。範例包含溴、碘、六氟化硫、四氟化矽、及三氯化硼(BCl 3)。藉由ALE蝕刻金屬之額外範例係進一步描述於申請於2015年8月19日,發明名稱為「ATOMIC LAYER ETCHING OF TUNGSTEN AND OTHER METALS」的美國專利第62/207250號(代理人案號為LAMRP209P/3706-1US)中,其整體併入本說明書中以供參照。
在許多實施例中,不使用氟化學物,以防止可能不會以單層來蝕刻的化學蝕刻。例如,三氟化氮(NF 3)在電漿中可為高度反應性的,且可自發性地蝕刻基板,而非在層中保形地蝕刻基板。然而,在若干實施例中,可使用例如ClF 3的高度反應性含鹵素化學物以蝕刻其他材料,例如較不受到自發性蝕刻影響的材料。
可依據待蝕刻之基板的類型及化學性質來選擇改質化學物。在若干實施例中,氯可與基板反應或可吸附至基板的表面上。在各種實施例中,將氯以氣態形式且可選擇地伴隨著載氣(其可為上述該等載氣的任一者)而引至腔室中。
在若干實施例中,在此操作中,可產生以氯為基礎的電漿。自以氯為基礎的電漿所產生的物種可藉由在容置基板之製程腔室中形成電漿而原位產生,或者其可在未容置基板之製程腔室(例如遠端電漿產生器)中遠端產生並可供應至容置基板之製程腔室中。在各種實施例中,電漿可為感應耦合電漿、或電容耦合電漿、或微波電漿。感應耦合電漿之功率可設定於約50 W至約2000 W之間,例如約900 W。功率可設定為夠低至不會引起基板之直接電漿蝕刻的位準。
在若干實施例中,不使用電漿,而氯可被加熱地引至腔室中。Cl 2解離為Cl的能量為2.51 eV。在若干實施例中,在操作期間,可使用熱的或其他放射的能量來源來施加此能量。在若干實施例中,可將氯加熱至足夠高的溫度以將氯分解為能夠吸附至基板表面上的氯原子。
在各種實施例中,在操作315期間施加偏壓。低偏壓功率可用以防止因基板表面上之改質化學物所造成的自發性蝕刻,同時容許改質化學物吸附於所沉積之金屬的表面上,且進入形成於特徵部之開口處或其附近的接縫中。例如,可施加約0 V至約200 V間的偏壓。應瞭解,用語「偏壓功率」及「偏壓電壓」在本說明書中係可替換地使用,以描述當施加偏壓至一基座時,該基座被設定的電壓。臨界偏壓功率或臨界偏壓電壓指涉,在基座上之基板表面上的材料被濺射之前施加至基座之偏壓的最大電壓。臨界偏壓功率因此部分取決於待蝕刻的材料、用於產生電漿的氣體、用於引燃電漿的電漿功率、及電漿頻率。如本說明書中所述之偏壓功率或偏壓電壓係以伏特來測量,其以單位「V」或「Vb」來表示,其中b指涉偏壓(bias)。電子伏特(Ev, Electron volt)係為一電子藉由以1伏特的電位差加速所獲得的能量的量。參照圖4所描述的電子伏特指涉一電子藉由以1伏特的電位差加速所獲得的能量的量。用於測定濺射產率的計算(例如,針對朝向鎢加速的每一氬離子,有多少鎢原子被濺射出表面)取決於圖4的氬離子電子伏特之能量。
可使用偏壓來建立遍及特徵部深度的改質化學物梯度。藉由適當控制偏壓以及其他參數(例如,壓力),遍及特徵部深度之改質(及ALE)的程度可受到控制。在一範例中,相較於在特徵部底部中及在側壁上,更多的氯可被吸附於特徵部頂部或其附近,或者吸附於特徵部之開口或其附近。偏壓係以不會引起基板之物理性濺射的方式來施加。在若干實施例中,可不使用偏壓。在若干實施例中,若特徵部之開口夠大,則可不使用偏壓。在操作315期間,例示性壓力範圍可為約30 mTorr至約80 mTorr之間。
在若干實施例中,可在改質操作之後執行吹淨。在吹淨操作中,可將非表面鍵結活性氯物種自製程腔室移除。此可藉由吹淨及/或排空製程腔室來移除未吸附的改質化學物而完成,而不需移除吸附層。藉由停止電漿及使剩餘的物種衰變,且可選擇地結合吹淨及/或排空腔室,可移除在以氯為基礎之電漿中所產生的物種。可使用任何惰性氣體(例如N 2、Ar、Ne、He、及其組合)來完成吹淨。
在操作335中,使用活化的移除氣體,例如,活化氣體、離子轟擊氣體、或化學性反應氣體來將經改質層自基板移除。活化的移除氣體可為惰性氣體。例如,可使用氬。在若干實施例中,可使用氖或氪。在移除操作中,可將基板暴露於能量來源(例如,會引起移除作用的活化或離子轟擊氣體、或化學性反應物種),例如氬或氦,以藉由方向性離子轟擊來蝕刻基板。在若干實施例中,可藉由低能量離子轟擊來執行移除操作。在若干實施例中,移除作用可為等向性的。
可控制移除氣體的量,例如以僅蝕刻材料的目標量。在各種實施例中,在改質操作與移除操作間,腔室的壓力可能會改變。移除氣體的壓力可取決於腔室之尺寸、移除氣體之流率,反應器之溫度、基板之類型、任何載氣之流率、及待蝕刻之鎢的量。在操作335期間,例示性壓力範圍可為約1 mTorr至約15 mTorr之間。
在移除期間,可選擇性地施加偏壓以促進方向性離子轟擊。選擇偏壓功率,以防止濺射但容許移除氣體進入特徵部並蝕刻位於特徵部之開口或其附近的鎢,以藉此打開特徵部。可依據活化的移除氣體對於基板上所沉積的金屬之臨界濺射產率來選擇偏壓功率。如本說明書中所使用之濺射可指涉物理性移除基板表面的至少若干。離子轟擊可指涉物種物理性轟擊於基板表面上。
圖4顯示例示性濺射產率,其係基於由N. Matsunami、Y. Yamamura、Y. Itikawa、N. Itoh、Y. Kazumata、S. Miyagawa、K. Morita、R. Shimizu、及H. Tawara所完成的「Energy Dependence of the Yields of Ion-Induced Sputtering of Monatomic Solids」(IPPJ-AM-32 (Institute of Plasma Physics, Nagoya University, Japan, 1983))所計算。
該圖顯示所計算之利用氬離子垂直入射之鎢的濺射產率,相對於氬離子能量(或臨界偏壓功率)。該計算使用32 eV的數值作為濺射臨界值。稍微高於該臨界值,即在40 eV氬離子能量的情況下,濺射產率似乎為每一離子約0.001 個原子(0.001 atoms/ion)。然而,在80 eV離子能量的情況下,濺射產率已增加了30倍。此例示性曲線指示足以蝕刻金屬同時防止基板上的鎢之濺射作用的最大氬離子能量。儘管圖4提供濺射臨界值曲線的定性圖,但針對特定系統及最大可容許濺射產率,可根據實驗來測定濺射臨界值。以一系統而言,針對氬離子,在80 Vb可觀察到鎢濺射。應瞭解,單位「Vb」或「V b」或「V bias」為伏特的單位,而「b」或「bias」係用以表示該功率係作為偏壓功率的特性。就此而言,使用氬離子來進行鎢移除之期間的偏壓功率可設定在小於約80 Vb、或小於約50 Vb、或介於約50 Vb至80 Vb間。在若干實施例中,若可容許一些少量的濺射,可在高於臨界偏壓功率的情況下執行操作335。取決於特定製程,亦存在移除臨界電壓,在該移除臨界電壓之下不會發生移除。應注意,濺射臨界值會根據待蝕刻的金屬、金屬化合物、或其他材料而變化。
在若干實施例中,在移除操作後,可吹淨腔室。吹淨製程可為在操作315之後用於吹淨的該等製程之任一者。
回到圖3,可視需求可選擇地重複操作315及操作335以填充特徵部。在操作307中判定特徵部是否已被充分填充。若未充分填充,可重複操作303及操作305。在若干實施例中,重複操作303,而特徵部可被充分填充,如此一來可不用再次執行操作305。在若干實施例中,執行操作303及操作305直至特徵部被充分填充。在若干實施例中,在重複的操作其中一者中,在執行操作303之後,特徵部可被充分填充,如此一來在特徵部被填充後不執行操作305。在若干實施例中,操作303及操作305在相同腔室中執行。在若干實施例中,操作303及操作305在相同工具中執行。在若干實施例中,操作303及操作305在不破壞真空之情況下執行。在若干實施例中,操作303之重複循環可涉及與操作303之先前循環中不同的沉積方法及前驅物。例如,在一製程中,可藉由ALD將鎢沉積至特徵部中,可執行ALE來蝕刻所沉積的鎢以打開特徵部,然後重複鎢沉積,此時使用含鎢前驅物及還原劑執行鎢的CVD,以完全填充特徵部。在另一範例中,藉由WF 6及B 2H 6之交替脈衝來沉積鎢,可藉由在電漿存在的情況下交替Cl 2及Ar之脈衝以及藉由施加偏壓而蝕刻在特徵部之開口處或其附近的鎢,然後可藉由同時暴露於WCl 5及H 2來沉積鎢。
圖5提供可依據所揭露之實施例來執行之時序方案的範例圖式。製程500包含沉積循環520A、蝕刻循環505A、及重複的沉積循環520B及蝕刻循環505B。沉積循環520A包含鎢的CVD階段503A,其可對應於圖3的操作303。儘管圖5中提供CVD沉積,但在若干實施例中,此操作可涉及金屬的週期性沉積,例如藉由ALD。在鎢的CVD階段503A,可流動載氣,同時關閉改質化學物之流動且關閉移除氣體。可連續流動CVD前驅物以沉積鎢,且關閉偏壓。蝕刻循環505A可對應於圖3的操作315及操作335。蝕刻循環505A包含表面改質515A,其可對應於圖3之操作315。在表面改質515A期間,改質化學物與載氣一起流動,同時關閉移除氣體及CVD前驅物之流動。如圖5中所示,偏壓可為開啟的。在表面改質515A之後可為吹淨階段525A,如上所述,其為可選擇的操作。在吹淨階段525A期間,連續流動載氣以移除未吸附於基板上的任何改質化學物。因此,關閉改質化學物、移除氣體、及CVD前驅物之流動,且亦關閉偏壓。在移除階段535A中,連續流動載氣,同時流動移除氣體,同時關閉改質化學物及CVD前驅物之流動。在移除階段535A期間,亦可開啟偏壓。移除階段535A可對應於圖3之操作335。在各種實施例中,在此階段期間引燃電漿。吹淨階段545A可涉及流動載氣,同時關閉改質化學物、移除氣體、及CVD前驅物之流動,且亦關閉偏壓。
依據圖3之操作307,可重複該等操作,如圖5中所示。沉積循環520B涉及鎢的CVD階段503B,其中在此範例中包含與在鎢的CVD階段503A中相同的流動。在此,載氣與CVD前驅物一起流動以沉積鎢,同時關閉移除氣體及改質化學物之流動,且關閉偏壓。在若干實施例中,此可進一步部分地填充特徵部。儘管在鎢的CVD階段503B中可使用與在鎢的CVD階段503A中相同的前驅物,在若干實施例中,如上所述,圖3之303的重複操作可涉及不同的沉積技術或前驅物。在重複的循環中,蝕刻循環505B可對應於圖3之操作305。蝕刻循環505B涉及表面改質515B,藉此流動載氣及改質化學物,同時關閉移除氣體及CVD前驅物之流動,且關閉偏壓。吹淨階段525B包含載氣之流動,同時關閉所有其他的流動,且關閉偏壓。移除階段535B涉及流動載氣與移除氣體,同時關閉改質化學物及CVD前驅物之流動。在各種實施例中,在此階段期間引燃電漿。開啟偏壓以蝕刻基板。吹淨階段545B涉及流動載氣,而不流動改質化學物、移除氣體、或CVD前驅物,同時關閉偏壓。
可將本說明書中所述之實施例與其他製程整合。例如,可將ALE蝕刻整合於多站序列式沉積(MSSD, Multi-Station-Sequential-Deposition)腔室構造上,其中沉積站其中一者可由ALE站所取代,以容許整合之沉積/蝕刻/沉積能使用類似的化學物,以達到較佳的填充及更快速的產量能力。在若干實施例中,可在不破壞真空之情況下執行所揭露之實施例。例如,在若干實施例中,可在相同的腔室或相同的工具中執行所揭露之實施例。適用於執行所揭露實施例之設備的進一步範例係進一步描述於下。 設備
現描述感應耦合電漿(ICP, inductively coupled plasma)反應器,其在某些實施例中可適用於原子層蝕刻(ALE, atomic layer etching)操作與原子層沉積(ALD, atomic layer deposition)操作。此類ICP反應器亦描述於申請於2013年12月10日,發明名稱為 「IMAGE REVERSAL WITH AHM GAP FILL FOR MULTIPLE PATTERNING」的美國專利申請案公開號第2014/0170853號中,該案係因所有目的而整體併入本說明書中以供參照。儘管本文中描述ICP反應器,但應瞭解,在若干實施例中,亦可使用電容耦合電漿反應器。
圖6示意地呈現感應耦合電漿之整合蝕刻與沉積設備600的橫剖面圖,其適合用於實施本說明書文的某些實施例,該設備之一範例係為由加州佛蒙特(Fremont, CA.)的蘭姆研究公司(Lam Research Corp.)所生產的Kiyo®反應器。感應耦合電漿設備600包含整體的製程腔室624,其結構上由腔室壁601與窗611界定。腔室壁601可由不鏽鋼或鋁製成。窗611可由石英或其他介電材料製成。可選擇的內部電漿柵650將整體的製程腔室624分成上部子腔室602與下部子腔室603。在大部分的實施例中,可移除電漿柵650,藉此使用由子腔室602與603所形成的腔室空間。卡盤617係設置在下部子腔室603中,並靠近底部的內表面。卡盤617係配置以接收並固持半導體基板或晶圓619,蝕刻與沉積製程係在其上方執行。卡盤617可為用於支撐晶圓619(當存在時)的靜電卡盤。在若干實施例中,邊緣環(未顯示)圍繞卡盤617,且具有幾乎與晶圓619(當存在於卡盤617上時)之頂部表面齊平的上表面。卡盤617亦可包含靜電電極,用以夾持及去夾持晶圓619。為此目的可設置濾波器與DC卡盤電源(未顯示)。亦可設置用以將晶圓619舉升離開卡盤617的其他控制系統。可使用射頻(RF)電源623對卡盤617充電。RF電源623係透過連接件627而連接至匹配電路621。匹配電路621係透過連接件625而連接至卡盤617。以此方式,RF電源623係連接至卡盤617。
用於電漿產生的元件包含設置於窗611的上方的線圈633。在若干實施例中,所揭露之實施例中未使用線圈。線圈633係由導電材料所製成,且至少包含完整的一匝。圖6中所示之線圈633的範例包含三匝。線圈633的截面以符號呈現,具有符號「X」的線圈旋轉延伸進入頁面,而具有符號「●」的線圈旋轉延伸出頁面。用於電漿產生的元件亦包含RF電源641,其配置以將RF功率供應至線圈633。通常,RF電源641係透過連接件645而連接至匹配電路639。匹配電路639係透過連接件643而連接到線圈633。以此方式,RF電源641係連接至線圈633。可選擇的法拉第屏蔽(Faraday shield)649係設置於線圈633與窗611之間。法拉第屏蔽649係維持與線圈633之間有一間隔距離。法拉第屏蔽649係鄰近地設置於窗611的上方。線圈633、法拉第屏蔽649、及窗611各配置成彼此實質上互相平行。法拉第屏蔽649可避免金屬或其他物種沉積於製程腔室624的窗611上。
製程氣體(例如:金屬前驅物(如含鎢前驅物)、還原劑、載氣、含鹵素氣體、氯、氬等)可透過設置於上部子腔室602中的一或更多主要氣流入口660、及/或透過一或更多側氣流入口670而流至製程腔室中。相似地,儘管未明確顯示,但可使用類似的氣流入口將製程氣體供應至電容耦合電漿處理腔室。可使用真空泵浦640(例如一或二階式機械乾式泵浦、及/或渦輪分子泵浦)以將製程氣體自製程腔室624中抽離,並用以維持製程腔室624內的壓力。例如,真空泵浦640可用於在ALE之吹淨操作期間將下部子腔室603抽空。可使用閥控式導管將真空泵浦流體地連接至製程腔室624,以便選擇性地控制由真空泵浦所提供之真空環境的運用。此可透過在操作性電漿處理期間運用封閉迴路控制流量限制裝置(例如節流閥(未顯示)或鐘擺閥(未顯示))而達成。相似地,對電容耦合電漿處理腔室亦可運用真空泵浦與閥控式流體連接。
在設備600的操作期間,可透過氣流入口660及/或670供應一或更多的製程氣體。在某些實施例中,亦可僅透過主要氣流入口660或僅透過側氣流入口670來供應製程氣體。在若干情況下,如圖所示之該等氣流入口可被更複雜的氣流入口所取代,例如一或更多的噴淋頭。法拉第屏蔽649及/或可選擇的柵650可包含容許製程氣體輸送至製程腔室624的內部通道與孔洞。法拉第屏蔽649與可選擇的柵650其中一者或兩者,可作為用於輸送製程氣體的噴淋頭。在若干實施例中,液體汽化與輸送系統可設置於製程腔室624之上游,以使液態反應物或前驅物一旦被汽化,汽化的反應物或前驅物即經由氣流入口660及/或670而被引至製程腔室624中。
射頻功率自RF電源641供應至線圈633,以使RF電流流經線圈633。流經線圈633的RF電流在線圈633的周圍產生電磁場。電磁場在上部子腔室602中產生感應電流。各種所產生的離子及自由基與晶圓619間的物理及化學交互作用會蝕刻晶圓619的特徵部並在晶圓619上沉積層。
揮發性蝕刻及/或沉積副產物可透過埠口622自下部子腔室603移除。本說明書中所揭露的卡盤617可在範圍介於約10°C與約250°C之間的升高溫度下操作。該溫度將取決於製程操作與特定配方。
當設備600安裝於無塵室或製造設施中時,設備600可耦接至設施(未顯示)。設施包含管路系統,其可提供處理氣體、真空、溫度控制、及環境微粒控制。當此等設施安裝在目標製造設施中時,此等設施係耦接至設備600。此外,設備600可耦接至傳送腔室,其容許機械臂使用一般自動化技術將半導體晶圓傳送進出設備600。
在若干實施例中,系統控制器630(其可包含一或更多實體或邏輯的控制器)控制製程腔室624的若干或全部的操作。系統控制器630可包含一或更多記憶體裝置及一或更多處理器。例如,記憶體可包含用以進行改質化學物(例如,含氯改質化學物)與移除氣體(例如,氬)的流動間之交替的指令,或用以引燃電漿或施加偏壓的指令。例如,記憶體可包含用以在某些操作期間設定約0 V與約200 V間之功率的偏壓的指令。在若干實施例中,設備600包含轉換系統,其用於在執行所揭露實施例時控制流率及持續期間。在若干實施例中,設備600可具有上達約500 ms、或上達約750 ms的轉換時間。轉換時間可取決於流動化學、所選擇的配方、反應器的架構、及其他因素。
在若干實施例中,可將所揭露實施例整合於多站序列式沉積 (MSSD, Multi-Station-Sequential-Deposition)腔室架構上,其中沉積站其中一者可由ALE站所取代,以容許整合之沉積/蝕刻/沉積製程可使用類似的化學物,以達到較佳的填充及更快速的產量能力。
在若干實施例中,系統控制器630係為系統的部分,該系統可為上述範例的部分。此類系統可包含半導體處理設備,含一或複數處理工具、一或複數腔室、用於處理的一或複數工作台、及/或特定處理元件(晶圓基座、氣流系統等)。該等系統可與電子裝置整合,以於半導體晶圓或基板之處理前、處理期間、及處理後控制其操作。可將該等電子裝置整合至系統控制器630中,其可控制一或複數系統的各種元件或子部件。依據處理參數及/或系統之類型,可將系統控制器630程式化以控制本說明書中所揭露之製程的任一者,包含製程氣體之輸送、溫度設定(如:加熱及/或冷卻)、壓力設定、真空設定、功率設定、射頻(RF, radio frequency)產生器設定、RF匹配電路設定、頻率設定、流率設定、流體輸送設定、位置及操作設定、進出工具及連接至特定系統或與特定系統介面接合的其他傳送工具及/或負載鎖室之晶圓傳送。
廣泛而言,可將系統控制器630定義為具有接收指令、發送指令、控制操作、允許清潔操作、允許端點量測等之各種積體電路、邏輯、記憶體、及/或軟體的電子設備。該積體電路可包含儲存程式指令的韌體形式之晶片、數位信號處理器(DSPs, digital signal processors)、定義為特殊應用積體電路(ASICs, application specific integrated circuits)之晶片、及/或執行程式指令(如:軟體)之一或更多的微處理器或微控制器。程式指令可為以各種個別設定(或程式檔案)之形式傳送到控制器的指令,其定義用以在半導體晶圓上、或針對半導體晶圓、或對系統執行特定製程的操作參數。在若干實施例中,該操作參數可為由製程工程師所定義之配方的部分,該配方係用以在晶圓之一或更多的層、材料、金屬、氧化物、矽、二氧化矽、表面、電路、及/或晶粒的製造或移除期間,完成一或更多的處理步驟。
在若干實施中,系統控制器630可為電腦的部分或耦接至電腦,該電腦係與系統整合、耦接至系統、或透過網路連接至系統、或上述之組合。例如,控制器係可位於「雲端」、或為晶圓廠主機電腦系統的全部或部分,其可允許晶圓處理之遠端存取。該電腦能達成對該系統之遠端存取,以監視製造操作之目前製程、查看過去製造操作之歷史、查看來自許多製造操作之趨勢或性能指標,來改變目前處理之參數,以設定處理步驟來接續目前的處理、或開始新的製程。在若干範例中,遠端電腦(如:伺服器)可透過網路將製程配方提供給系統,該網路可包含區域網路或網際網路。該遠端電腦可包含可達成參數及/或設定之輸入或編程的使用者介面,該等參數或設定接著自該遠端電腦傳送至該系統。在若干範例中,系統控制器630接收資料形式之指令,在一或更多的操作期間,其針對該待執行的處理步驟之各者而指定參數。應瞭解,該等參數可特定於待執行之製程的類型、及工具(控制器係配置成與該工具介面接合或控制該工具)的類型。因此,如上所述,系統控制器630可分散,例如藉由包含一或更多的分離的控制器,其透過網路連接在一起並朝共同的目標而作業,例如本說明書中所敘述之製程及控制。用於此類目的之分開的控制器之範例可為腔室上之一或更多的積體電路,其與位於遠端(例如為平台等級、或為遠端電腦的部分)之一或更多的積體電路連通,其結合以控制該腔室上的製程。
範例系統可包含(但不限於)電漿蝕刻腔室或模組、沉積腔室或模組、旋轉沖洗腔室或模組、金屬電鍍腔室或模組、潔淨腔室或模組、斜邊蝕刻腔室或模組、物理氣相沉積(PVD, physical vapor deposition)腔室或模組、化學氣相沉積(CVD, chemical vapor deposition)腔室或模組、ALD腔室或模組、ALE腔室或模組、離子植入腔室或模組、徑跡腔室或模組、及可與半導體晶圓之製造及/或生產有關或用於其中的任何其他半導體處理系統。
如上所述,依據待由工具執行之製程步驟(或複數製程步驟),控制器可與下列一或多者通訊:其他工具電路或模組、其他工具元件、群集工具、其他工具介面、鄰接工具、附近工具、位於整個工廠的工具、主要電腦、另一控制器、或將晶圓之容器帶往或帶離半導體製造廠中的工具位置及/或載入埠的用於材料傳送之工具。
圖7描繪半導體製程群集架構,其具有與真空傳送模組(VTM, vacuum transfer module)738接合的各種模組。用以在複數儲存設備與處理模組之間「傳送」晶圓的各種模組的配置,可稱為「群集工具架構」系統。氣鎖室730(亦稱為負載鎖室或傳送模組)與VTM 738介面接合,而VTM 738與四個處理模組720a-720d介面接合,處理模組720a-720d可被個別最佳化以執行各種製程。舉例而言,可實施處理模組720a-720d,以執行基板蝕刻、沉積、離子植入、晶圓清洗、濺射、及/或其他半導體製程。在若干實施例中,ALD與ALE係在相同模組中執行。在若干實施例中,ALD與ALE係在相同工具的不同模組中執行。可實施基板蝕刻處理模組其中一或更多者(720a-720d之任一者),如本說明書中所揭露般,亦即,用於沉積保形薄膜、藉由ALE方向性地蝕刻薄膜、蝕刻圖案、以及根據所揭露實施例的其他合適的功能。可將氣鎖室730與處理模組720a-720d稱為「站」。各個站具有面(facet)736,面736將站與VTM 738介面接合。在各個面內,感測器1-18係用以在晶圓726於個別的站之間移動時偵測通過的晶圓726。
機械臂722在站之間傳送晶圓726。在一實施例中,機械臂722具有一個臂部,而在另一實施例中,機械臂722具有兩個臂部,其中各臂部具有用以拾取進行傳送之晶圓(例如晶圓726)的末端效應器724。位於大氣傳送模組(ATM, atmospheric transfer module)740中的前端機械臂732係用以將晶圓726自負載埠模組(LPM, Load Port Module)742中的卡匣或前開式晶圓傳送盒(FOUP)734傳送到氣鎖室730。處理模組720a-720d中的模組中心728為用於放置晶圓726的位置。ATM740中的對準器744係用以對準晶圓。
在例示性處理方法中,晶圓係放置在LPM742中的FOUPs734其中一者中。前端機械臂732將該晶圓自FOUP734傳送至對準器744,對準器744使晶圓726能夠在蝕刻或處理之前適當地置於中心。在對準之後,晶圓726係藉由前端機械臂732而移至氣鎖室730中。因氣鎖室730具有使ATM740與VTM738間之環境一致的能力,所以晶圓726能夠在兩壓力環境間移動而不受損害。晶圓726係藉由機械臂722自氣鎖室模組730、經過VTM 738、而移至處理模組720a-720d其中一者中。為達成此晶圓移動,機械臂722使用位於其各臂部上的末端效應器724。一旦晶圓726經處理後,其藉由機械臂722自處理模組720a-720d移至氣鎖室730。晶圓726可藉由前端機械臂732自氣鎖室730移至FOUPs734其中一者,或移至對準器744。
應注意,控制基板移動的電腦可位於群集架構的局部中、或可位於生產樓層中之群集架構的外部、或位於遠端位置且透過網路而連接至群集架構。參考圖6描述於前文的控制器可與圖7中的工具一起實施。 實驗實驗1
標繪相對於氯化偏壓功率之鎢的蝕刻速率,其中針對存在氯吸附且無氬離子轟擊之情況下的蝕刻,以及針對存在氯吸附且存在氬離子轟擊之情況下的原子層蝕刻(ALE, atomic layer etch)製程。結果標繪於圖8中。虛線描繪相對於氯化偏壓(例如,氯吸附期間的偏壓功率)之鎢的蝕刻速率,其係針對涉及在900 W下吸附氯及引燃電漿、且無氬離子轟擊的製程。實線描繪相對於氯化偏壓之鎢的蝕刻速率,其係針對涉及在900 W下吸附氯及引燃電漿、之後以60 V的偏壓功率進行氬轟擊的製程。如圖8中所示,氯化偏壓臨界電壓係為約60 V。注意氯化偏壓小於60 V之處,若不使用氬之離子轟擊則鎢不會受到蝕刻。氯化偏壓大於60 V之處,不存在氬之離子轟擊的鎢之蝕刻速率係遠低於存在氬離子轟擊之製程的鎢之蝕刻速率。此等結果顯示,在各種實施例中,氬離子轟擊可用以調整利用ALE方法蝕刻鎢的速率,藉此1) 在氯化期間,在不進行蝕刻的情況下,氯吸附於鎢基板上,以及2) 藉由將偏壓功率設定為低於濺射臨界值,氬之離子轟擊期間的偏壓功率係受到控制以減少或防止物理性移除(或濺射)。 實驗2
在具有待以鎢填充之特徵部的基板上進行實驗。特徵部係以氮化鈦(TiN)阻障層作為襯墊。鎢在特徵部之表面上成核,且鎢係藉由原子層沉積法而沉積(WF 6及B 2H 6之交替脈衝)。圖9A顯示基板910中的20 nm特徵部912,其以TiN阻障層914及保形鎢層916為襯墊。開口918a係顯示於特徵部之頂部。
圖9A中的基板係暴露於10個循環的ALE,其涉及交替下列脈衝: (1)在60°C、存在900 W的原位感應耦合電漿功率、且無偏壓的情況下之 Cl 2/BCl 3,以及(2)在60°C、存在300 W之電漿及60 Vb之偏壓、於較(1)更低的壓力之情況下之氬氣。所造成之基板顯示於圖9B中。注意開口918b被打開以藉此使鎢後續能沉積至特徵部中,以完全填充特徵部。以下表1顯示沉積於基板之各種部分及凹槽開口中的鎢之厚度的量測值,以及TiN阻障物之平均厚度。量測值係以奈米來顯示。 [ 1 ]ALE之前及之後的量測值
量測值 (nm) ALE 之前 10 個循環的ALE
nm nm nm/ 循環
鎢膜的厚度 頂部表面 6.9 3.3 0.36
頂部角落 6.1 3.0 0.31
凹槽側壁,1/6凹槽深度 5.9 4.4 0.15
凹槽側壁,1/3凹槽深度 5.8 5.0 0.08
凹槽側壁,7/8凹槽深度 5.9 5.9 0.00
凹槽底部 5.7 5.3 0.04
平均TiN阻障物 3.0 3.0  
基板係進一步暴露於多5個循環的ALE,其涉及交替下列脈衝: (1)在60°C、存在900 W的原位感應耦合電漿功率、且無偏壓的情況下之Cl 2/BCl 3,以及(2)在60°C、存在300 W之電漿及60 Vb之偏壓、於較(1)更低的壓力之情況下之氬氣。所造成之量測值顯示於以下表2中。 [ 2 ]ALE之前及之後的量測值
量測值 (nm) ALE 之前 15 個循環的ALE
nm nm nm/ 循環
鎢膜的厚度 頂部表面 6.9 2.0 0.49
頂部角落 6.1 1.4 0.47
凹槽側壁,1/6凹槽深度 5.9 4.1 0.18
凹槽側壁,1/3凹槽深度 5.8 3.9 0.19
凹槽側壁,7/8凹槽深度 5.9 5.6 0.03
凹槽底部 5.7 5.0 0.07
平均TiN阻障物 3.0 3.0  
此等結果顯示,所揭露之實施例容許依據循環的數量、參數、及其他因素準確地控制所蝕刻之鎢膜的量。例如,要蝕刻較多的鎢,可執行較多循環。表2中的結果顯示,由於ALE製程而使若干鎢凹陷,但後續鎢的沉積循環可補償ALE中所蝕刻的鎢。TiN阻障物仍存在基板上,而ALE的蝕刻循環可受到調整以確保特徵部的表面上仍有足夠的鎢,以不暴露TiN阻障層。 結論
儘管已為了清楚理解之目的而詳加敘述前述實施例,但顯而易見的,在所附請求項之範圍內,可實施某些變更及修改。應注意,實施本實施例之製程、系統、及設備有許多替代方式。因此,應將本發明實施例視為說明性的,而非限制性的,且不將該等實施例限於本說明書中所提出的細節。
171a-171e:步驟 172a-172e:步驟 210:基板 212:特徵部 214:TiN阻障層 216:鎢 218a:開口 218b:開口 301:操作 303:操作 305:操作 307:操作 315:操作 335:操作 503A:鎢的CVD階段 503B:鎢的CVD階段 505A:蝕刻循環 505B:蝕刻循環 515A:表面改質 515B:表面改質 520A:沉積循環 520B:沉積循環 525A:吹淨階段 525B:吹淨階段 535A:移除階段 535B:移除階段 545A:吹淨階段 545B:吹淨階段 600:設備 601:腔室壁 602:子腔室 603:子腔室 611:窗 617:卡盤 619:晶圓 621:匹配電路 622:埠口 623:RF電源 624:製程腔室 625:連接件 627:連接件 630:系統控制器 633:線圈 639:匹配電路 640:泵浦 641:RF電源 643:連接件 645:連接件 649:法拉第屏蔽 650:柵 660:氣流入口 670:氣流入口 720a-720d/720:處理模組 722:機械臂 724:末端效應器 726:晶圓 728:模組中心 730:氣鎖室/氣鎖室模組 732:前端機械臂 734:前開式晶圓傳送盒 736:面 738:真空傳送模組 740:大氣傳送模組 742:負載埠模組 744:對準器 910:基板 912:特徵部 914:TiN阻障層 916:保形鎢層 918a:開口 918b:開口
圖1係為基板上之膜的原子層蝕刻之範例的示意圖解。
圖2係為經歷某些所揭露實施例的操作之特徵部的示意圖解。
圖3係為製程流程圖,其描繪依據某些所揭露實施例所執行的操作。
圖4係為所計算之使用氬離子垂直入射之鎢的濺射產率之曲線圖。
圖5係為時序方案圖,其描繪依據某些所揭露實施例而執行的操作之範例。
圖6係為用於執行某些所揭露實施例之範例製程腔室的示意圖。
圖7係為用於執行某些所揭露實施例之範例製程設備的示意圖。
圖8係為針對相對於氯化偏壓功率之鎢的蝕刻速率所收集的實驗數據之曲線圖。
圖9A係為具有鎢的特徵部之影像。
圖9B係為具有依據某些所揭露實施例所沉積之鎢的特徵部之影像。
503A:鎢的CVD階段
503B:鎢的CVD階段
505A:蝕刻循環
505B:蝕刻循環
515A:表面改質
515B:表面改質
520A:沉積循環
520B:沉積循環
525A:吹淨階段
525B:吹淨階段
535A:移除階段
535B:移除階段
545A:吹淨階段
545B:吹淨階段

Claims (15)

  1. 一種設置於基板中之特徵部的填充方法,包含: (a) 在第一製程腔室中,蝕刻特徵部內的第一金屬以去除該第一金屬在該特徵部之頂部處的第一部分,俾形成該第一金屬的暴露表面;以及 (b) 在第二製程腔室中,在該特徵部內於該第一金屬之該暴露表面上選擇性地沉積第二金屬達一預定厚度,其中蝕刻該第一金屬及選擇性地沉積該第二金屬之步驟係在氧未接觸該暴露表面的情況下進行。
  2. 如請求項1之設置於基板中之特徵部的填充方法,其中該第一金屬為鈷,且該第二金屬為鎢。
  3. 如請求項1之設置於基板中之特徵部的填充方法,其中步驟(a)係利用原子層蝕刻法(ALE)執行。
  4. 如請求項3之設置於基板中之特徵部的填充方法,其中利用ALE蝕刻該第一金屬之步驟包含:將該特徵部暴露於含鹵素氣體以形成該第一金屬的經改質表面;以及將該經改質表面暴露於活化氣體以去除該第一金屬在該特徵部之頂部處的該第一部分。
  5. 如請求項1之設置於基板中之特徵部的填充方法,其中步驟(b)係在步驟(a)之後於一群集工具內且於連續真空下執行。
  6. 如請求項1之設置於基板中之特徵部的填充方法,其中該第一金屬為鎢,且該第二金屬為鉬。
  7. 一種設置於基板中之特徵部的填充方法,包含: (a) 在第一製程腔室中,在一特徵部內沉積第一金屬達第一預定厚度; (b) 在不同於該第一製程腔室的第二製程腔室中,蝕刻該第一金屬以去除該第一金屬在該特徵部之頂部處的第一部分,俾形成該第一金屬的暴露表面;以及 (c) 在第三製程腔室中,在該特徵部內於該第一金屬之該暴露表面上選擇性地沉積第二金屬達第二預定厚度,其中蝕刻該第一金屬及選擇性地沉積該第二金屬之步驟係在氧未接觸該暴露表面的情況下進行。
  8. 如請求項7之設置於基板中之特徵部的填充方法,其中步驟(a)及(c)係利用化學氣相沉積法執行,且步驟(b)係利用原子層蝕刻法(ALE)執行。
  9. 如請求項8之設置於基板中之特徵部的填充方法,其中利用ALE蝕刻該第一金屬之步驟包含:將該特徵部暴露於含鹵素氣體以形成該第一金屬的經改質表面;以及將該經改質表面暴露於活化氣體以去除該第一金屬在該特徵部之頂部處的該第一部分。
  10. 如請求項7之設置於基板中之特徵部的填充方法,其中該第一金屬為鈷,且該第二金屬為鎢。
  11. 如請求項7之設置於基板中之特徵部的填充方法,其中該第一金屬為鎢,且該第二金屬為鉬。
  12. 如請求項7之設置於基板中之特徵部的填充方法,其中步驟(c)係在步驟(b)之後於一群集工具內且於連續真空下執行。
  13. 一種群集工具,包含: 第一傳送腔室; 原子層蝕刻(ALE)腔室,其係耦合至該第一傳送腔室,其中該原子層蝕刻腔室係配置以在該原子層蝕刻腔室中蝕刻基板之特徵部內的第一金屬以去除該第一金屬在該特徵部之頂部處的第一部分,俾形成該第一金屬的暴露表面;以及 化學氣相沉積(CVD)腔室,其係配置以在該化學氣相沉積腔室中在該特徵部內於該第一金屬之該暴露表面上選擇性地沉積第二金屬達一預定厚度,其中該群集工具係配置以在連續真空下從該原子層蝕刻腔室傳送至該化學氣相沉積腔室,且其中該第一金屬為鈷,且該第二金屬為鎢。
  14. 如請求項13之群集工具,其中該群集工具係配置以在無氧的情況下從該原子層蝕刻腔室傳送至該化學氣相沉積腔室。
  15. 如請求項13之群集工具,更包含至少一個預清潔腔室,其係耦合至該第一傳送腔室。
TW111100554A 2015-08-07 2016-08-03 用於增強的鎢沉積填充之鎢的原子層蝕刻 TWI840731B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201562202670P 2015-08-07 2015-08-07
US62/202,670 2015-08-07
US14/830,683 2015-08-19
US14/830,683 US9972504B2 (en) 2015-08-07 2015-08-19 Atomic layer etching of tungsten for enhanced tungsten deposition fill

Publications (2)

Publication Number Publication Date
TW202217927A true TW202217927A (zh) 2022-05-01
TWI840731B TWI840731B (zh) 2024-05-01

Family

ID=

Also Published As

Publication number Publication date
US20170040214A1 (en) 2017-02-09
TWI814696B (zh) 2023-09-11
KR102510612B1 (ko) 2023-03-15
KR20210125967A (ko) 2021-10-19
CN106449360A (zh) 2017-02-22
KR20210125968A (ko) 2021-10-19
TW202141696A (zh) 2021-11-01
KR20170017789A (ko) 2017-02-15
US20210305059A1 (en) 2021-09-30
US20220115244A1 (en) 2022-04-14
US11069535B2 (en) 2021-07-20
TW201717316A (zh) 2017-05-16
TWI828995B (zh) 2024-01-11
SG10201606450VA (en) 2017-03-30
US20180240682A1 (en) 2018-08-23
US20200286743A1 (en) 2020-09-10
KR20230005066A (ko) 2023-01-09
US9972504B2 (en) 2018-05-15
KR20220027913A (ko) 2022-03-08
KR102312951B1 (ko) 2021-10-14
JP2017053024A (ja) 2017-03-16
CN113380695A (zh) 2021-09-10

Similar Documents

Publication Publication Date Title
KR102510612B1 (ko) 향상된 텅스텐 증착 충진을 위한 텅스텐의 원자층 에칭
US10784086B2 (en) Cobalt etch back
US9837312B1 (en) Atomic layer etching for enhanced bottom-up feature fill
TWI832325B (zh) 設計者原子層蝕刻
KR102609125B1 (ko) 리모트 플라즈마 프로세스를 위한 챔버 컨디셔닝
TWI724998B (zh) 用於無空隙鈷間隙塡充的方法
JP6494940B2 (ja) 異なるサイズのフィーチャへのボイドフリータングステン充填
US20220359280A1 (en) Tungsten feature fill with nucleation inhibition
CN112970096A (zh) 蚀刻室中的方向性沉积
TWI840731B (zh) 用於增強的鎢沉積填充之鎢的原子層蝕刻