KR20200141522A - 금속 필름들의 증착 - Google Patents

금속 필름들의 증착 Download PDF

Info

Publication number
KR20200141522A
KR20200141522A KR1020207034955A KR20207034955A KR20200141522A KR 20200141522 A KR20200141522 A KR 20200141522A KR 1020207034955 A KR1020207034955 A KR 1020207034955A KR 20207034955 A KR20207034955 A KR 20207034955A KR 20200141522 A KR20200141522 A KR 20200141522A
Authority
KR
South Korea
Prior art keywords
layer
substrate
gas
feature
metallic tungsten
Prior art date
Application number
KR1020207034955A
Other languages
English (en)
Inventor
유 레이
상-협 이
크리스 파벨리코
이 수
태 홍 하
시안민 탕
진 희 박
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20200141522A publication Critical patent/KR20200141522A/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • C23C16/14Deposition of only one other metal element
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation using carrier gas in contact with the source material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/30Coatings combining at least one metallic layer and at least one inorganic non-metallic layer
    • C23C28/32Coatings combining at least one metallic layer and at least one inorganic non-metallic layer including at least one pure metallic layer
    • C23C28/322Coatings combining at least one metallic layer and at least one inorganic non-metallic layer including at least one pure metallic layer only coatings of metal elements only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76837Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01074Tungsten [W]

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

금속 필름들을 갖는 전자 디바이스들을 제공하기 위한 장치들 및 방법들이 제공된다. 본 개시내용의 일부 실시예들은 코발트를 포함하는 금속 필름으로 충전되는 라이너로서 금속성 텅스텐 층을 활용한다. 금속성 텅스텐 층은 코발트에 대한 우수한 접착력을 가져서, 향상된 코발트 갭-필 성능으로 이어진다.

Description

금속 필름들의 증착
[0001] 본 개시내용의 실시예들은 전자 디바이스 제조 분야에 관한 것으로, 특히 IC(integrated circuit) 제조에 관한 것이다. 특히, 본 개시내용의 실시예들은 텅스텐을 함유한 CVD/ALD 라이너를 사용하는 코발트 충전 통합 프로세스에 관한 것이다.
[0002] 집적 회로들은, 기판 표면들 상에 복잡하게 패터닝된 재료 층들을 생성하는 프로세스들에 의해 가능해진다. 기판 상에 패터닝된 재료를 생성하는 것은 원하는 재료들의 증착을 위한 제어된 방법들을 필요로 한다. 상이한 표면에 비해 하나의 표면 상에 필름을 선택적으로 증착하는 것은 패터닝 및 다른 애플리케이션들에 유용하다.
[0003] CVD 또는 ALD 프로세스에 의해 증착된 코발트 층은 일반적으로, PVD 프로세스에 의해 증착된 유사한 코발트 층에 비해 더 큰 전기 비저항을 갖는다. 일반적으로, 더 큰 비저항은, 상당한 탄소 함량(예컨대, 약 5 원자%) 뿐만 아니라 CVD 또는 ALD 프로세스에 의해 증착된 얇은 코발트 층의 높은 표면 거칠기에 부분적으로 기인한다. 그러한 높은 탄소 함량들 및 표면 거칠기는 p-타입 금속으로서 코발트 층의 일 함수(work function)에 상당한 영향을 미치며, 일반적으로, 코발트 층이 내부에 함유되어 있는 전체 통합 게이트 스택 또는 다른 디바이스의 높은 저항을 유발한다. PVD에 의해 증착된 코발트 층들은 흔히 넌-컨포멀(non-conformal)하고, 디바이스 성능 및 안정성에 영향을 미치는 다른 불규칙성들을 갖는다. 또한, 고 종횡비 피처(high aspect ratio feature)들은 대개, PVD 또는 CVD에 의한 코발트 재료들의 증착 동안 공극(void)들을 발생시킨다(develop). ECP 및 전기분해와 같은 코발트용 비-기상 증착 프로세스(non-vapor deposition process)들은 일반적으로, 기판 및 이 기판 상의 모든 층들을, 그 상부에 코발트 층을 증착하면서, 수용액과 같은 액체 욕(liquid bath)에 노출시킬 것을 필요로 한다. 또한, ECP 및 전기분해 증착 프로세스들에 의해 증착된 코발트 층들은 흔히, 비교적 높은 비저항 및 불량한 컨포멀(conformal) 필름들을 가질 수 있다.
[0004] 반도체들에서의 고급 노드들에 관한 한, 5 초과의 종횡비 그리고 25 nm 미만의 개구들의 경우, 패턴 치수는 훨씬 더 난제가 된다. 공극이 없는 충전(void-free fill)을 위해 그리고 또한 신뢰성을 위해 증착 후 단계들 동안 코발트 재료를 유지하기 위하여, 라이너와 벌크 코발트 충전 사이의 강력한 접착력이 요구된다.
[0005] 그러므로, 코발트 층들, 필름들 및 재료들을 형성하기 위한 개선된 방법들 및 그러한 코발트 층들, 필름들 및 재료들을 함유한 디바이스들에 대한 필요가 존재한다.
[0006] 금속 필름들을 증착하기 위한 장치들 및 방법들이 설명된다. 일 실시예에서, 방법은, 기판의 상단 표면 상에 적어도 하나의 피처를 형성하는 단계 ―적어도 하나의 피처는 2 개의 대향하는 측벽들, 바닥 및 상단 표면을 가짐―; 상단 표면 상에, 그리고 적어도 하나의 피처 상에 (텅스텐을 함유하는) 금속성 층을 형성하는 단계; 금속성 텅스텐 층의 상단 표면 상에 금속 필름을 형성하는 단계; 및 기판을 어닐링하는 단계를 포함한다.
[0007] 하나 이상의 실시예들은 금속 필름을 포함하는 장치에 관한 것이다. 일 실시예에서, 전자 디바이스는, 상부에 유전체 층이 증착되어 있는 기판 ―유전체 층은 적어도 하나의 피처를 가짐―; 유전체 층 상의, 그리고 적어도 하나의 피처 상의 금속성 텅스텐 층; 및 금속성 텅스텐 층 상의 금속 필름을 포함한다.
[0008] 제2 실시예에서, 전자 디바이스는, 적어도 하나의 피처를 갖는 기판; 기판 상의, 그리고 적어도 하나의 피처 상의 금속성 텅스텐 층; 및 금속성 텅스텐 층 상의 금속 필름을 포함한다.
[0009] 본 개시내용의 위에서 언급된 특징들이 상세히 이해될 수 있는 방식으로, 위에서 간략히 요약된 본 개시내용의 더욱 상세한 설명이 실시예들을 참조함으로써 이루어질 수 있으며, 이 실시예들 중 일부는 첨부된 도면들에서 예시된다. 그러나, 첨부된 도면들이 본 개시내용의 통상적인 실시예들만을 예시하며 이에 따라 본 개시내용의 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 주목되어야 하는데, 이는 본 개시내용이 다른 동일하게 유효한 실시예들을 허용할 수 있기 때문이다. 본원에서 설명된 실시예들은, 첨부된 도면(drawing)들의 피겨(figure)들에서 제한이 아닌 예로서 예시되고, 이 첨부된 도면들에서, 동일한 참조들은 유사한 엘리먼트들을 표시한다.
[0010] 도 1은 본 개시내용의 하나 이상의 실시예에 따른, 반도체 디바이스의 개략적인 단면도를 도시하며; 그리고
[0011] 도 2는 본 개시내용의 하나 이상의 실시예에 따른, 반도체 디바이스의 개략적인 단면도를 도시한다.
[0012] 첨부된 도면들에서, 유사한 컴포넌트들 및/또는 피처들은 동일한 참조 라벨을 가질 수 있다. 추가로, 동일한 타입의 다양한 컴포넌트들은 참조 라벨 다음에 대시기호 및 유사한 컴포넌트들 사이를 구별하는 제2 라벨에 의해 구별될 수 있다. 제1 참조 라벨만이 본 명세서에서 사용되면, 설명은 제2 참조 라벨과는 관계없이 동일한 제1 참조 라벨을 갖는 유사한 컴포넌트들 중 임의의 컴포넌트에 적용가능하다.
[0013] 본 개시내용의 여러 예시적인 실시예들을 설명하기 전에, 본 개시내용이 다음의 설명에서 제시된 구성 또는 프로세스 단계들의 세부사항들로 제한되지 않는다는 것이 이해되어야 한다. 본 개시내용은 다른 실시예들이 가능하며, 다양한 방식들로 수행되거나 또는 실시될 수 있다.
[0014] 본원에서 사용된 "기판"은, 제작 프로세스 동안 필름 프로세싱이 수행되는, 임의의 기판 또는 기판 상에 형성된 재료 표면을 지칭한다. 예컨대, 프로세싱이 수행될 수 있는 기판 표면은 애플리케이션에 따라, 실리콘, 실리콘 옥사이드, 스트레인드(strained) 실리콘, SOI(silicon on insulator), 탄소 도핑된 실리콘 옥사이드들, 비정질 실리콘, 도핑된 실리콘, 게르마늄, 갈륨 아세나이드, 유리, 사파이어와 같은 재료들, 그리고 금속들, 금속 나이트라이드들, 금속 합금들 및 다른 전도성 재료들과 같은 임의의 다른 재료들을 포함한다. 기판들은 반도체 웨이퍼들을 포함(이에 제한되지 않음)한다. 기판들은 기판 표면을 폴리싱, 에칭, 환원, 산화, 수산화, 어닐링 및/또는 베이킹하기 위한 전처리 프로세스에 노출될 수 있다. 기판 자체의 표면 상에 직접적으로 필름 프로세싱하는 것 외에도, 본 개시내용에서, 개시된 필름 프로세싱 단계들 중 임의의 필름 프로세싱 단계는 또한, 아래에서 더욱 상세히 개시되는 바와 같이 기판 상에 형성된 하부층(under-layer)에 대해 수행될 수 있으며, "기판 표면"이란 용어는 맥락이 표시할 때 그러한 하부층을 포함하는 것으로 의도된다. 따라서, 예컨대, 필름/층 또는 부분 필름/층이 기판 표면 상에 증착된 경우, 새롭게 증착된 필름/층의 노출된 표면이 기판 표면이 된다.
[0015] 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, "전구체", "반응물", "반응성 가스" 등의 용어들은 기판 표면과 반응할 수 있는 임의의 가스성 종(species)을 지칭하기 위해 상호교환가능하게 사용된다.
[0016] 본원에서 사용되는 바와 같이, "라이너"란 용어는, 층의 증착 전의 개구의 상당한 부분이 층의 증착 후에 계속 충전되지 않은 상태로 있도록, 개구의 하부 표면 및/또는 측벽들의 적어도 일부를 따라 컨포멀하게(conformably) 형성된 층을 지칭한다. 일부 실시예들에서, 라이너는 개구의 하부 표면 및 측벽들 전체를 따라 형성될 수 있다.
[0017] 현재의 코발트 충전 방식은, 라이너들로서 ALD/CVD 티타늄 나이트라이드(TiN) 또는 탄탈럼 나이트라이드(TaN)를 이용하고 그 다음에 PVD/CVD/도금 코발트 충전을 이용하는 것이다. 코발트에 대한 약한 접착력 ―이 약한 접착력은, 증착 단계들 후의 높은 열 버짓(thermal budget) 동안 풀-업/박리/핀치-오프 공극들을 유도함―, 그리고 열 및 전기 스트레스 하에서의 신뢰성 및 마이그레이션(migration) 이슈들에 기인하여, ALD/CVD 티타늄 나이트라이드(TiN) 또는 탄탈럼 나이트라이드(TaN) 라이너들에 대한 명확한 한계들이 관찰된다. 부가적으로, 티타늄 나이트라이드(TiN) 또는 탄탈럼 나이트라이드(TaN)와 같은 나이트라이드(N)-처리된 라이너들은, 코발트 층 상의 코발트 나이트라이드(250 ℃를 초과하는 온도에서 불안정한 CoN)의 형성으로 이어질 수 있으며, 이는 필름 형태(morphology) 및 안정성을 손상시키고 높은 저항을 생성한다.
[0018] 유리하게는, 비-나이트라이드 기반 라이너인 금속성 텅스텐 층이 하나 이상의 실시예의 전자 디바이스(들)에 사용된다. 이용되는 금속성 텅스텐 층은, 코발트를 포함한 금속 필름을 유해하게 간섭하지 않는다. 하나 이상의 실시예들의 금속성 텅스텐 층이 사용될 때, 코발트 나이트라이드(CoN)는 형성되지 않는다.
[0019] 금속 필름들을 증착하기 위한 장치들 및 방법들이 설명된다. 일 실시예에서, 방법은, 기판의 상단 표면 상에 적어도 하나의 피처를 형성하는 단계 ―적어도 하나의 피처는 2 개의 대향하는 측벽들, 바닥 및 상단 표면을 가짐―; 상단 표면 상에, 그리고 적어도 하나의 피처 상에 금속성 텅스텐 층을 형성하는 단계; 금속성 텅스텐 층의 상단 표면 상에 금속 필름을 형성하는 단계; 및 기판을 어닐링하는 단계를 포함한다. 이 금속성 텅스텐 층은 주로 텅스텐, 그리고 가능하게는 다른 원소들, 이를테면, 탄소, 질소, 산소로도 구성된다.
[0020] 하나 이상의 실시예에서, 선택적 유전체 층이 증착/형성될 수 있다. 일 실시예에서, 방법은, 금속성 텅스텐 층을 형성하는 단계 전에, 기판의 상단 표면 상에 유전체 층을 형성하는 단계를 더 포함한다.
[0021] 일부 실시예들에서, 금속성 텅스텐 층은, 제1 가스로부터 형성된 플라즈마에 기판을 노출시킴으로써 형성된다. 일부 실시예들에서, 제1 가스는 금속성 텅스텐 전구체 가스를 포함한다. 일부 실시예들에서, 제1 가스는 플루오린-부재(free) 텅스텐 할라이드 전구체, 이를테면, 텅스텐 펜타클로라이드(WCl5) 또는 텅스텐 헥사클로라이드(WCl6)를 포함한다. 다른 실시예들에서, 제1 가스는 플루오린-부재 텅스텐 옥시-할라이드 전구체, 이를테면, WOCl4 또는 WO2Cl2를 포함한다. 다른 실시예들에서, 제1 가스는 플루오린-부재 할라이드 전구체들, 클로린-부재 텅스텐 할라이드 전구체, 이를테면, 텅스텐 펜타브로마이드(WBr5) 또는 텅스텐 헥사브로마이드(WBr6)로 구성된 그룹으로부터 선택된다. 일부 실시예들에서, 제1 가스는, 금속성 텅스텐 전구체 가스와 함께, 반응 가스, 이를테면, 수소 함유 가스, 이를테면, 수소(H2) 또는 암모니아(NH3) 또는 하이드라진(N2H4), 그리고 캐리어 가스, 이를테면, 아르곤(Ar), 헬륨(He) 또는 질소(N2)를 더 포함한다. 일부 실시예들에서, 캐리어 가스는 불활성 가스이다. 일부 실시예들에서, 제1 가스는 금속성 텅스텐 전구체 가스, 반응 가스 및 캐리어 가스로 구성되거나, 또는 이들을 필수적으로 포함한다. 일부 실시예들에서, 제1 가스는 클로린-부재, 플루오린-부재 텅스텐 할라이드 전구체, 수소 함유 가스 및 불활성 가스로 구성되거나, 또는 이들을 필수적으로 포함한다. 이론에 얽매이는 것으로 의도하지 않고, 제1 가스 내의 수소의 존재가 유리하게는 금속성 텅스텐 라이너 층 내의 탄소 불순물들의 존재를 최소화하는 것으로 여겨진다. 캐리어 가스는 약 10 sccm 내지 약 10 slm의 유량(flow rate)으로 제공될 수 있다.
[0022] 본원에서 설명된 금속성 텅스텐(W) 라이너/층은 유리하게는, 개선된 코발트 리플로우 그리고 전자 마이그레이션 및 시간 의존적 유전체 브레이크 다운(break down)과 같은 개선된 디바이스 특성들 중 하나 이상을 통해 개선된 코발트 상호연결 구조들을 가능하게 한다. 본 발명의 방법들은 임의의 디바이스 노드들에 활용될 수 있지만, 약 25 nm 이하, 예컨대, 약 5 nm 내지 약 25 nm의 디바이스 노드들에서 특히 유리할 수 있다. 추가로, 하나 이상의 실시예들에서, 방법들은, 개구를 충전하기 위해 코발트(Co)를 리플로우함으로써 형성된 상호연결 구조들에 특히 유리할 수 있다.
[0023] 하나 이상의 실시예들에서, 금속 필름은 코발트를 포함한다. 코발트는, CVD(chemical vapor deposition) 및 ALD(atomic layer deposition)를 포함(그러나, 이에 제한되지 않음)하는 임의의 적절한 프로세스에 의해 증착될 수 있다. 일부 실시예들에서, 코발트 필름(층 또는 갭필(gapfill) 재료로 또한 지칭됨)은 CVD에 의해 증착된다. 일부 실시예들에서, 코발트 필름은 ALD에 의해 증착된다.
[0024] 도면들이 예시적인 목적들을 위해 단일 피처를 갖는 기판들을 도시하지만; 당업자들은 하나보다 많은 피처가 있을 수 있다는 것을 이해할 것이다. 피처의 형상은 피크들, 트렌치들 및 원통형 비아들을 포함(그러나, 이에 제한되지 않음)하는 임의의 적절한 형상일 수 있다. 특정 실시예들에서, 피처는 트렌치이다. 다른 특정 실시예들에서, 피처는 비아이다. 이와 관련하여 사용되는 바와 같이, "피처"란 용어는 임의의 의도적인 표면 불규칙성을 의미한다. 피처들의 적절한 예들은 상단, 2 개의 측벽들 및 바닥을 갖는 트렌치들, 상단 및 표면으로부터 상향으로 연장되는 2 개의 측벽들을 갖는 피크들, 그리고 개방 바닥을 갖는 표면으로부터 아래로 연장되는 측벽들을 갖는 비아들을 포함(그러나, 이에 제한되지 않음)한다. 피처들은 임의의 적절한 종횡비(피처의 깊이 대 피처의 폭의 비(ratio))를 가질 수 있다. 일부 실시예들에서, 종횡비는 약 5:1, 10:1, 15:1, 20:1, 25:1, 30:1, 35:1 또는 40:1 이상이다. 하나 이상의 실시예들에서, 종횡비는 10:1보다 더 크다.
[0025] 하나 이상의 실시예에서, 적어도 하나의 피처는, 기판의 상단 표면 또는 금속성 텅스텐 층의 상단 표면으로부터 바닥 표면까지의 깊이로 연장된다. 적어도 하나의 피처는 제1 측벽 및 제2 측벽에 의해 정의된 폭을 갖는다. 적어도 하나의 피처를 충전하고 금속성 텅스텐 층의 상단 표면 위로 연장되도록, 금속 필름이 금속성 텅스텐 층 상에 증착된다. 금속 필름의 상단을 금속성 텅스텐 층의 상단 표면과 동일한 또는 이 상단 표면 아래의 높이로 낮추도록 금속 필름이 리세스되어, 리세스된 금속 필름이 형성될 수 있다. 금속 필름은, 적어도 하나의 피처로부터 연장되는 필러(pillar)들을 형성하도록 팽창될(expanded) 수 있다.
[0026] 도 1 및 도 2를 참조하면, 하나 이상의 실시예들은 반도체 디바이스(100)를 형성하는 방법들에 관한 것이다. 기판(102)에는 금속 필름(108)으로 충전된 피처(104)가 제공된다.
[0027] 하나 이상의 실시예들에서, 금속 필름(108)은 코발트 층이다. 일 실시예에서, 코발트 층은 갭필 층이다. 도 1에 도시된 바와 같이, 일 실시예에서, 금속 필름(108)은 피처(104)의 상단 부분(114), 피처(104)의 측벽들(116) 및 유전체 층(110)의 상단 부분들(118) 상의 금속성 텅스텐 층/라이너(106) 상에 증착된다.
[0028] 하나 이상의 실시예들에서, 금속 필름(108)은 시드 갭필 층이다. 일 실시예에서, 시드 갭필 층은 선택적 성장 시드 필름이다. 도 1에 도시된 바와 같이, 일 실시예에서, 금속 필름(108)은 피처(104)의 상단 부분(114), 피처(104)의 측벽들(116) 및 유전체 층(110)의 상단 부분들(118) 상의 금속성 텅스텐 층/라이너(106) 상에 증착된다.
[0029] 도 2에 도시된 바와 같이, 다른 실시예에서, 금속 필름(108)은 피처(104)의 상단 부분(114), 피처(104)의 측벽들(116) 및 기판(102)의 상단 표면(120) 상의 금속성 텅스텐 층/라이너(106) 상에 증착된다.
[0030] 하나 이상의 실시예에서, 금속 필름(108)은 코발트 층이다. 하나 이상의 실시예에서, 코발트 층은 코발트 갭필 층이다.
[0031] 하나 이상의 실시예에서, 금속 필름(108)은 시드 갭필 층이다. 하나 이상의 실시예에서, 시드 갭필 층은 코발트 층이거나, 또는 다른 시드 갭필 층, 이를테면, 선택적 성장 필러들을 제공하기 위해 사용되는 시드 갭필 층들이다.
[0032] 다른 실시예들에서, 금속 필름(108)은 다른 적절한 금속 필름일 수 있다. 적절한 금속 필름들은 코발트(Co), 몰리브데넘(Mo), 텅스텐(W), 탄탈럼(Ta), 티타늄(Ti), 루테늄(Ru), 로듐(Rh), 구리(Cu), 철(Fe), 망간(Mn), 바나듐(V), 니오븀(Nb), 하프늄(Hf), 지르코늄(Zr), 이트륨(Y), 알루미늄(Al), 주석(Sn), 크로뮴(Cr), 란타넘(La), 이리듐(Ir) 또는 이들의 임의의 조합 중 하나 이상을 포함하는 필름들을 포함(그러나, 이에 제한되지 않음)한다. 일부 실시예들에서, 금속 필름(108)은 코발트(Co) 갭필 층을 포함한다.
[0033] 일 실시예에서, 금속 필름(108)은 마이크로전자 디바이스 제조 분야의 당업자에게 알려진 증착 기법, 이를테면, ALD, CVD, PVD, MBE, MOCVD, 스핀-온 또는 다른 라이너 증착 기법들 중 하나를 사용하여 증착되지만, 이에 제한되지 않는다. 일 실시예에서, 금속 필름(108)은 마이크로전자 디바이스 제조 분야의 당업자에게 알려진 CVD 증착 기법을 사용하여 증착된다.
[0034] 하나 이상의 실시예들에서, 금속 필름(108)은 코발트를 포함한다. 특정 실시예들에서, 금속 필름(108)은, CVD(chemical vapor deposition) 및 ALD(atomic layer deposition)를 포함(그러나, 이에 제한되지 않음)하는 임의의 적절한 프로세스에 의해 증착된 코발트를 포함한다. 일부 실시예들에서, 금속 필름(108)은 CVD에 의해 증착되는 코발트(층 또는 갭필 재료로 또한 지칭됨)를 포함한다. 금속 필름(108)은 추가적인 프로세싱을 위해 노출되는 표면(112)을 갖는다.
[0035] 하나 이상의 실시예에서, 유전체 층(110)이 기판(102) 상에 형성된다. 유전체 층은 티타늄 또는 실리콘의 나이트라이드, 옥사이드들 또는 카바이드들을 포함(그러나, 이에 제한되지 않음)하는 임의의 적절한 유전체 재료일 수 있다. 유전체 층(110)은 기판(102) 상에 컨포멀하게, 또는 넌-컨포멀하게 형성될 수 있다.
[0036] 일 실시예에서, 유전체 층(110)은 5 미만의 k-값을 갖는 유전체 재료를 포함한다. 일 실시예에서, 유전체 층(110)은 2 미만의 k-값을 갖는 유전체 재료를 포함한다. 적어도 일부 실시예들에서, 유전체 층(110)은 옥사이드들, 탄소 도핑된 옥사이드들, 다공성 실리콘 디옥사이드, 카바이드들, 옥시카바이드들, 나이트라이드들, 옥시나이트라이드들, 옥시카보나이트라이드들, 폴리머들, 포스포실리케이트 유리, 플루오로실리케이트(SiOF) 유리, 올가노실리케이트 유리(SiOCH) 또는 이들의 임의의 조합을 포함한다. 적어도 일부 실시예들에서, 유전체 층(110)은 폴리이미드, 에폭시, 포토디파이너블(photodefinable) 재료들, 이를테면, 벤조사이클로부텐(BCB), 및 WPR-시리즈 재료들, 또는 스핀-온-유리를 포함할 수 있다.
[0037] 일 실시예에서, 유전체 층(110)의 두께는 약 10 나노미터(㎚) 내지 약 2 미크론(㎛)의 근사 범위에 있다. 실시예에서, 유전체 층(110)은 마이크로전자 디바이스 제조 분야의 당업자에게 알려진 증착 기법들, 이를테면, "CVD(chemical vapor deposition)", "PVD(physical vapor deposition)", "MBE(molecular beam epitaxy)", "MOCVD(metalorganic chemical vapor deposition)", "ALD(atomic layer deposition)", 스핀-온 또는 다른 절연 증착 기법들 중 하나를 사용하여 증착되지만, 이에 제한되지 않는다.
[0038] 일 실시예에서, 금속성 텅스텐 층(106)이 유전체 층(110) 상에 증착된다. 일 실시예에서, 금속성 텅스텐 층(106)은 전도성 라이너이다. 일부 실시예들에서, 금속성 텅스텐 층(106)은, 제1 가스로부터 형성된 플라즈마에 기판(102)을 노출시킴으로써 형성된다. 일부 실시예들에서, 제1 가스는 금속성 텅스텐 전구체 가스를 포함한다. 일부 실시예들에서, 제1 가스는 플루오린-부재 텅스텐 할라이드 전구체, 이를테면, 텅스텐 펜타클로라이드(WCl5) 또는 텅스텐 헥사클로라이드(WCl6)를 포함한다. 다른 실시예들에서, 제1 가스는 플루오린-부재 텅스텐 옥시-할라이드 전구체, 이를테면, WOCl4 또는 WO2Cl를 포함한다. 다른 실시예들에서, 제1 가스는 플루오린-부재 할라이드 전구체들, 클로린-부재 텅스텐 할라이드 전구체, 이를테면, 텅스텐 펜타브로마이드(WBr5) 또는 텅스텐 헥사브로마이드(WBr6)로 구성된 그룹으로부터 선택된다. 일부 실시예들에서, 제1 가스는, 금속성 텅스텐 전구체 가스와 함께, 반응 가스, 이를테면, 수소 함유 가스, 이를테면, 수소(H2) 또는 암모니아(NH3) 또는 하이드라진(N2H4), 그리고 캐리어 가스, 이를테면, 아르곤(Ar), 헬륨(He) 또는 질소(N2)를 더 포함한다. 일부 실시예들에서, 캐리어 가스는 불활성 가스이다. 일부 실시예들에서, 제1 가스는 금속성 텅스텐 전구체 가스, 반응 가스 및 캐리어 가스로 구성되거나, 또는 이들을 필수적으로 포함한다. 일부 실시예들에서, 제1 가스는 클로린-부재, 플루오린-부재 텅스텐 전구체, 수소 함유 가스 및 불활성 가스로 구성되거나, 또는 이들을 필수적으로 포함한다. 이론에 얽매이는 것으로 의도하지 않고, 제1 가스 내의 수소의 존재가 유리하게는 금속성 텅스텐 라이너 층 내의 탄소 불순물들의 존재를 최소화하는 것으로 여겨진다. 캐리어 가스는 약 100 seem 내지 약 3000 seem의 유량으로 제공될 수 있다.
[0039] 실시예에서, 금속성 텅스텐 층(106)은 약 5 Å 내지 약 35 Å의 두께로 증착된다. 실시예에서, 금속성 텅스텐 층(106)은 약 10 Å 내지 약 30 Å 범위의 두께로 증착된다. 이론에 얽매이는 것으로 의도하지 않고, 하나 이상의 실시예들의 금속성 텅스텐 층/라이너가, TiN을 포함하는 비슷한 두께의 라이너들보다 디웨팅(dewetting)이 더 적은 금속 필름들을 제공하는 것으로 여겨진다. 특정 실시예들에서, 금속성 텅스텐 층/라이너는 텅스텐과의 향상된 코발트 접착력을 제공하며, 10 Å보다 더 얇은 금속성 텅스텐에 대해서는 디웨팅이 관찰되지 않은 반면, TiN에 대해서는 더 열등한 접착력을 나타낸다.
[0040] 하나 이상의 실시예에서, 금속 필름(108)은 코발트를 포함하고, 티타늄 나이트라이드(TiN) 라이너에 비해, 심지어 10 Å 텅스텐 라이너라도, 텅스텐에 대한 향상된 접착력을 나타낸다. 하나 이상의 실시예에서, 수소(H2) 어닐링 후에, 코발트를 포함하는 금속 필름(108)은 10 내지 30 Å 텅스텐 라이너에 대해서는 어떠한 디-웨팅도 나타내지 않은 반면, 코발트를 포함하는 금속 필름(108)은 티타늄 나이트라이드(TiN) 라이너에 대해서는 디웨팅되었다.
[0041] 하나 이상의 실시예에서, 금속성 텅스텐 층/라이너(106)는, 티타늄 나이트라이드(TiN) 또는 탄탈럼 나이트라이드(TaN) 라이너를 갖는 전자 디바이스보다 더 낮은 저항을 전자 디바이스에 제공한다.
[0042] 하나 이상의 실시예에서, 금속성 텅스텐 층(106)과 코발트를 포함하는 금속 필름(108) 사이에는 확산이 없거나 또는 매우 제한된 상호혼합(intermixing)이 있다. 하나 이상의 실시예에서, 금속성 텅스텐 층(106)이 증착될 때, 금속 필름(108)의 산화가 없다. 이론에 얽매이는 것으로 의도하지 않고, 금속성 텅스텐 층(106)이 플루오린(F), 클로린(Cl)-부재 텅스텐 층/라이너를 포함할 때, 라이너는 플루오린이 금속 필름(108)과 상호작용하는 것을 차단하는 것으로 여겨진다.
[0043] 일부 실시예들에서, 금속성 텅스텐 전구체는, 불활성, 캐리어 및/또는 희석 가스와 함께, 기판(102)을 포함하는 프로세싱 챔버 안으로 유동된다. 금속성 텅스텐 전구체가 기판(102) 또는 유전체 층(110)에 화학흡착(chemisorb)되어, 화학흡착된 금속성 텅스텐 전구체가 기판 또는 유전체 층 상에 남겨질 수 있다. 그런 다음, 공-반응물(co-reactant)이 프로세싱 챔버 안으로 유동되어, 화학흡착된 금속성 텅스텐 전구체와 반응하여, 금속성 텅스텐 층(106)이 증착될 수 있다. 일부 실시예들에서, 전구체는 공-반응물과 함께 프로세싱 챔버 안으로 함께 유동된다(co-flowed). 전구체 및 공-반응물은 가스 상(gas phase)에서 반응할 수 있고, 필름(140)을 성장시키기 위해, 기판 또는 패터닝된 필름 상에 증착되는 종을 형성할 수 있다.
[0044] 실시예에서, 금속성 텅스텐 층(106)은 ALD(atomic layer deposition) 기법을 사용하여 증착된다. 일 실시예에서, 금속성 텅스텐 층(106)은 마이크로전자 디바이스 제조 분야의 당업자에게 알려진 증착 기법들, 이를테면, CVD, PVD, MBE, MOCVD, 스핀-온 또는 다른 라이너 증착 기법들 중 하나를 사용하여 증착되지만, 이에 제한되지 않는다.
[0045] 형성 후에, 금속 필름(108)은 저온 및 고압(high pressure)에서 어닐링된다. 이와 관련하여 사용되는 바와 같이, "저온"이란 용어는 어닐링 프로세스 동안의 최대 온도가 약 400 ℃ 이하임을 의미한다. 일부 실시예들에서, 최대 어닐링 온도는 약 375 ℃, 350 ℃, 325 ℃, 300 ℃, 275 ℃, 250 ℃, 225 ℃, 200 ℃, 180 ℃ 또는 150 ℃ 이하이다. 이와 관련하여 사용되는 바와 같이, "고압"이란 용어는 약 1 Torr 이상의 압력을 의미한다. 일부 실시예들에서, 어닐링 압력은 2 Torr, 3 Torr, 4 Torr, 5 Torr, 6 Torr, 7 Torr, 8 Torr, 9 Torr, 10 Torr, 15 Torr, 20 Torr, 25 Torr, 30 Torr, 35 Torr, 40 Torr, 45 Torr, 50 Torr, 60 Torr, 70 Torr, 80 Torr, 90 Torr, 100 Torr, 110 Torr, 120 Torr, 130 Torr, 140 Torr, 150 Torr, 200 Torr, 250 Torr, 300 Torr, 350 Torr, 400 Torr, 450 Torr, 500 Torr, 550 Torr, 600 Torr, 650 Torr 또는 700 Torr 이상이다. 일부 실시예들에서, 어닐링 압력은 약 1 Torr 내지 약 700 Torr의 범위, 또는 약 5 Torr 내지 약 100 Torr의 범위, 또는 약 10 Torr 또는 약 80 Torr의 범위에 있다.
[0046] 어닐링 프로세스 동안의 분위기는 예컨대 금속 실리사이드 필름에 따라 변화될 수 있다. 일부 실시예들에서, 금속 실리사이드 필름은 수소, 듀테륨 및 아르곤 중 하나 이상을 포함하는 어닐링 분위기를 갖는다. 일부 실시예들에서, 어닐링 분위기는 아르곤 중의 약 2% H2, 아르곤 중의 4% H2, 아르곤 중의 6% H2, 아르곤 중의 8% H2 또는 아르곤 중의 10% H2를 포함한다. 일부 실시예들에서, 어닐링 분위기는 아르곤 중의 수소를 필수적으로 포함한다. 일부 실시예들에서, 어닐링 분위기는 듀테륨을 포함한다. 일부 실시예들에서, 어닐링 분위기는 듀테륨을 필수적으로 포함한다. 일부 실시예들에서, 어닐링 분위기는 아르곤을 필수적으로 포함한다. 이러한 방식으로 사용되는 바와 같이, 성분을 "필수적으로 포함하는" 어닐링 분위기는, 분위기의 약 98%, 99% 또는 99.5% 이상이 진술된 성분임을 의미한다.
[0047] 어닐링 분위기는 정적 조건들 또는 일정한 유동 조건들 하에 있을 수 있다. 일부 실시예들에서, 가스를 유동시키지 않고 어닐링이 실질적으로 발생하도록, 어닐링 분위기는 정적이다. 예컨대, 어닐링 챔버는 미리 결정된 분위기 성분(atmospheric component)들로 가압될 수 있고, 그런 다음, 챔버 내에서 가스의 유동이 없도록 밀봉될 수 있다.
[0048] 어닐링된 금속성 텅스텐 층/라이너(106)는 약 20 ohms-cm, 19 ohms-cm, 18 ohms-cm, 17 ohms-cm, 16 ohms-cm, 15 ohms-cm, 14 ohms-cm, 13 ohms-cm, 12 ohms-cm, 11 ohms-cm 또는 10 ohms-cm 이하의 비저항을 갖는다. 하나 이상의 실시예에서, 어닐링된 금속성 텅스텐 층/라이너(106)는 ALV/CVD 티타늄 나이트라이드(TiN)를 포함하는 층/라이너의 비저항의 50% 미만의 비저항을 나타낸다. 하나 이상의 실시예에서, 어닐링된 금속성 텅스텐 층/라이너(106)는 ALV/CVD 티타늄 나이트라이드(TiN)를 포함하는 층/라이너의 비저항의 25% 미만의 비저항을 나타낸다.
[0049] 전술한 명세서에서, 본 개시내용의 실시예들은 본 개시내용의 특정 예시적인 실시예들을 참조하여 설명되었다. 다음의 청구항들에서 제시된, 본 개시내용의 실시예들의 더 넓은 사상 및 범위를 벗어나지 않고, 다양한 수정들이 이 실시예들에 대해 행해질 수 있다는 것이 자명할 것이다. 이에 따라서, 명세서 및 도면들은 제한적인 의미가 아닌 예시적인 의미로 간주되어야 한다.

Claims (15)

  1. 기판의 상단 표면 상에 적어도 하나의 피처(feature)를 형성하는 단계;
    상기 상단 표면 상에, 그리고 상기 적어도 하나의 피처 상에 금속성 텅스텐 층을 형성하는 단계;
    상기 금속성 텅스텐 층의 상단 표면 상에 금속 필름을 형성하는 단계; 및
    상기 기판을 어닐링하는 단계
    를 포함하는,
    방법.
  2. 제1 항에 있어서,
    상기 금속성 텅스텐 층을 형성하는 단계 전에, 상기 기판의 상기 상단 표면 상에 유전체 층을 형성하는 단계를 더 포함하는,
    방법.
  3. 제1 항에 있어서,
    상기 금속성 텅스텐 층은, 제1 가스로부터 형성된 플라즈마에 상기 기판을 노출시킴으로써 형성되는,
    방법.
  4. 제3 항에 있어서,
    상기 제1 가스는 금속성 텅스텐 전구체 가스를 포함하는,
    방법.
  5. 제3 항에 있어서,
    상기 제1 가스는 플루오린-부재(free) 텅스텐 옥시-할라이드 전구체, 클로린-부재 텅스텐 할라이드 전구체, 플루오린-부재, 클로린-부재 텅스텐 전구체로 구성된 그룹으로부터 선택되는,
    방법.
  6. 제3 항에 있어서,
    상기 제1 가스는 수소(H2), 암모니아(NH3) 및 하이드라진(N2H4)으로 구성된 그룹으로부터 선택되는 반응 가스를 더 포함하는,
    방법.
  7. 제3 항에 있어서,
    상기 제1 가스는 아르곤(Ar), 헬륨(He), 질소(N2)로 구성된 그룹으로부터 선택되는 캐리어 가스를 더 포함하는,
    방법.
  8. 제1 항에 있어서,
    상기 금속 필름은 코발트를 포함하는,
    방법.
  9. 제1 항에 있어서,
    상기 적어도 하나의 피처는 트렌치, 비아 또는 피크로부터 선택되는,
    방법.
  10. 제1 항에 있어서,
    상기 금속 필름을 증착하기 전에 진공 파괴(vacuum breaking)를 하는 단계를 더 포함하는,
    방법.
  11. 제1 항에 있어서,
    상기 어닐링하는 단계는 H2(가스)의 분위기에서 발생하는,
    방법.
  12. 기판;
    적어도 하나의 피처;
    상기 적어도 하나의 피처 상에 형성된 금속성 텅스텐 층; 및
    상기 금속성 텅스텐 층 상의 금속 필름
    을 포함하는,
    전자 디바이스.
  13. 제12 항에 있어서,
    상기 금속 필름은 코발트를 포함하며, 상기 적어도 하나의 피처는 상기 기판 상에 형성되고 그리고 트렌치, 비아 및 피크로 구성된 그룹으로부터 선택되는,
    전자 디바이스.
  14. 제12 항에 있어서,
    상기 기판 상에 형성된 유전체 층을 더 포함하고, 상기 적어도 하나의 피처는 상기 유전체 층 상에 형성되는,
    전자 디바이스.
  15. 선택적 유전체 층을 갖는 기판;
    기판에 또는 상기 선택적 유전체 층에 형성된 적어도 하나의 피처;
    상기 기판 상의, 그리고 상기 적어도 하나의 피처 상의 금속성 텅스텐 층; 및
    상기 금속성 텅스텐 층 상의, 코발트를 포함하는 금속 필름
    을 포함하는,
    전자 디바이스.
KR1020207034955A 2018-05-04 2019-05-02 금속 필름들의 증착 KR20200141522A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201862667120P 2018-05-04 2018-05-04
US62/667,120 2018-05-04
PCT/US2019/030305 WO2019213338A1 (en) 2018-05-04 2019-05-02 Deposition of metal films

Publications (1)

Publication Number Publication Date
KR20200141522A true KR20200141522A (ko) 2020-12-18

Family

ID=68385471

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020207034955A KR20200141522A (ko) 2018-05-04 2019-05-02 금속 필름들의 증착

Country Status (5)

Country Link
US (2) US11171045B2 (ko)
KR (1) KR20200141522A (ko)
CN (1) CN112105758A (ko)
TW (2) TW202203305A (ko)
WO (1) WO2019213338A1 (ko)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN115136285A (zh) * 2020-01-16 2022-09-30 恩特格里斯公司 蚀刻或沉积的方法
US20220277961A1 (en) * 2021-02-26 2022-09-01 Applied Materials, Inc. Low Resistivity Metal Contact Stack

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1094504A3 (en) 1999-10-18 2001-08-22 Applied Materials, Inc. PVD-IMP tungsten and tungsten nitride as a liner, barrier, and/or seed layer
US7074709B2 (en) * 2002-06-28 2006-07-11 Texas Instruments Incorporated Localized doping and/or alloying of metallization for increased interconnect performance
US6930391B2 (en) 2002-08-27 2005-08-16 Intel Corporation Method for alloy-electroplating group IB metals with refractory metals for interconnections
CN1732287A (zh) * 2002-12-27 2006-02-08 株式会社爱发科 氮化钨膜的成膜方法
JP4974676B2 (ja) * 2004-04-12 2012-07-11 株式会社アルバック バリア膜の形成方法
US7521379B2 (en) 2006-10-09 2009-04-21 Applied Materials, Inc. Deposition and densification process for titanium nitride barrier layers
KR100806128B1 (ko) * 2006-12-11 2008-02-22 삼성전자주식회사 반도체 소자의 배선 구조물 및 이의 형성방법
US8053861B2 (en) * 2009-01-26 2011-11-08 Novellus Systems, Inc. Diffusion barrier layers
US20100267230A1 (en) 2009-04-16 2010-10-21 Anand Chandrashekar Method for forming tungsten contacts and interconnects with small critical dimensions
US9129945B2 (en) * 2010-03-24 2015-09-08 Applied Materials, Inc. Formation of liner and barrier for tungsten as gate electrode and as contact plug to reduce resistance and enhance device performance
US8865594B2 (en) 2011-03-10 2014-10-21 Applied Materials, Inc. Formation of liner and barrier for tungsten as gate electrode and as contact plug to reduce resistance and enhance device performance
US9349637B2 (en) * 2014-08-21 2016-05-24 Lam Research Corporation Method for void-free cobalt gap fill
US9748137B2 (en) * 2014-08-21 2017-08-29 Lam Research Corporation Method for void-free cobalt gap fill
US9754824B2 (en) * 2015-05-27 2017-09-05 Lam Research Corporation Tungsten films having low fluorine content
US9972504B2 (en) * 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US10121671B2 (en) * 2015-08-28 2018-11-06 Applied Materials, Inc. Methods of depositing metal films using metal oxyhalide precursors
CN108431924B (zh) 2015-11-25 2024-03-22 应用材料公司 通过集成工艺流程系统形成低电阻触点的方法
KR20190050776A (ko) * 2016-09-30 2019-05-13 인텔 코포레이션 코발트 인터커넥트들을 가능하게 하기 위해 텅스텐 함유 접착 층들을 사용하여 인터커넥트 신뢰성 성능을 향상시키기 위한 마이크로전자 디바이스들 및 방법들
US20180144973A1 (en) 2016-11-01 2018-05-24 Applied Materials, Inc. Electromigration Improvement Using Tungsten For Selective Cobalt Deposition On Copper Surfaces
TW201840903A (zh) 2016-11-20 2018-11-16 美商應用材料股份有限公司 選擇性沉積無腐蝕金屬觸點之方法
US10319632B2 (en) * 2016-12-14 2019-06-11 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor interconnect structure having a graphene barrier layer
US10177030B2 (en) * 2017-01-11 2019-01-08 International Business Machines Corporation Cobalt contact and interconnect structures
US10204828B1 (en) * 2018-02-09 2019-02-12 International Business Machines Corporation Enabling low resistance gates and contacts integrated with bilayer dielectrics

Also Published As

Publication number Publication date
US20220028793A1 (en) 2022-01-27
TW202203305A (zh) 2022-01-16
TW201947642A (zh) 2019-12-16
US11948836B2 (en) 2024-04-02
CN112105758A (zh) 2020-12-18
US11171045B2 (en) 2021-11-09
US20190341302A1 (en) 2019-11-07
WO2019213338A1 (en) 2019-11-07
TWI743476B (zh) 2021-10-21

Similar Documents

Publication Publication Date Title
US10056328B2 (en) Ruthenium metal feature fill for interconnects
US7498242B2 (en) Plasma pre-treating surfaces for atomic layer deposition
US9219033B2 (en) Via pre-fill on back-end-of-the-line interconnect layer
US6482733B2 (en) Protective layers prior to alternating layer deposition
US6955983B2 (en) Methods of forming metal interconnections of semiconductor devices by treating a barrier metal layer
US10784157B2 (en) Doped tantalum nitride for copper barrier applications
US7928006B2 (en) Structure for a semiconductor device and a method of manufacturing the same
US20050124154A1 (en) Method of forming copper interconnections for semiconductor integrated circuits on a substrate
US11948836B2 (en) Deposition of metal films with tungsten liner
KR101309043B1 (ko) 원자층 증착법에 의한 루테늄 박막 형성 방법 및 그를 이용한 루테늄 박막
JP2020536395A (ja) 相互接続のためのルテニウム金属機能フィリング
US10665542B2 (en) Cobalt manganese vapor phase deposition
US20210384036A1 (en) Fluorine-free tungsten ald for dielectric selectivity improvement
US20220098731A1 (en) Method Of Reducing Titanium Nitride Etching During Tungsten Film Formation
US20220068709A1 (en) Low Resistivity Tungsten Film And Method Of Manufacture
KR20190081455A (ko) 코발트 함유 박막의 제조방법
JP2006147895A (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E601 Decision to refuse application