JP3323530B2 - 半導体装置の製造方法 - Google Patents

半導体装置の製造方法

Info

Publication number
JP3323530B2
JP3323530B2 JP06809892A JP6809892A JP3323530B2 JP 3323530 B2 JP3323530 B2 JP 3323530B2 JP 06809892 A JP06809892 A JP 06809892A JP 6809892 A JP6809892 A JP 6809892A JP 3323530 B2 JP3323530 B2 JP 3323530B2
Authority
JP
Japan
Prior art keywords
gas
etching
chamber
speed
exhaust
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP06809892A
Other languages
English (en)
Other versions
JPH05259119A (ja
Inventor
和典 辻本
新一 田地
正文 金友
孝生 組橋
淳一 小林
建人 臼井
信行 三瀬
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi Ltd
Original Assignee
Hitachi Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=27275928&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=JP3323530(B2) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Priority to JP06809892A priority Critical patent/JP3323530B2/ja
Application filed by Hitachi Ltd filed Critical Hitachi Ltd
Priority to US07/859,336 priority patent/US5242539A/en
Priority to US08/034,126 priority patent/US5318667A/en
Publication of JPH05259119A publication Critical patent/JPH05259119A/ja
Priority to US08/176,461 priority patent/US5354418A/en
Priority to US08/301,388 priority patent/US5474650A/en
Priority to US08/570,689 priority patent/US5650038A/en
Priority to US08/861,600 priority patent/US5795832A/en
Priority to US09/063,406 priority patent/US6008133A/en
Priority to US09/480,477 priority patent/US6136721A/en
Priority to US09/648,772 priority patent/US6333273B1/en
Priority to US10/024,580 priority patent/US6562722B2/en
Publication of JP3323530B2 publication Critical patent/JP3323530B2/ja
Application granted granted Critical
Priority to US10/402,949 priority patent/US7071114B2/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02071Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a delineation, e.g. RIE, of conductive layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • H01J37/32834Exhausting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • H01L21/30655Plasma etching; Reactive-ion etching comprising alternated and repeated etching and passivation steps, e.g. Bosch process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3322Problems associated with coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3343Problems associated with etching

Description

【発明の詳細な説明】
【0001】
【産業上の利用分野】本発明は、半導体装置(半導体集
積回路)の製造過程における、特に微細で深い溝や穴の
加工に好適なドライエッチング技術に関する。
【0002】
【従来の技術】ドライエッチング技術は、薬液を用いた
ウェットエッチング技術に比べて微細加工が容易に行え
るため半導体集積回路(LSI)の製造に広く用いられ
ている。従来の反応性イオンエッチング(RIE)法を
用いた場合、エッチング時のガス圧は10mTorrか
ら100mTorr、反応ガス流量は10sccmから
100sccmである。RIE法においては、該圧力の
下限よりも低いと放電が不安定になり、該圧力の上限よ
りも高いと等方性エッチングとなる。従来のドライエッ
チング装置では、排気速度が1000 l/sec以下
のポンプが多用されており、上記反応ガス流量は上記ガ
ス圧に設定可能な範囲の値が選ばれている。
【0003】また、特公昭52−126174号やソリ
ッド ステ−ト デバイシス アンド マテリアルズ
p207,(1990)(Solid State Devices and Ma
terials P207,(1990))にはマイクロ波プラズマエッチン
グ(ECR)技術が開示されている。さらに、ドライプ
ロセスシンポジウムp54,(1988)にはマグネト
ロン放電型RIEが、ジャ−ナル オブ バキュ−ム
サイエンス テクノロジ ビ− 9(2)、310(1
991)(Journal of Vacuum Science Technology B9
(2),310(1991))にはヘリコン型RIE等のドライエッ
チング装置が開示されている。これらのドライエッチン
グ装置の反応ガス圧力は0.5mTorr以上であり、
ガス流量は20sccm以下である。エッチング速度
は、例えば、ECRエッチング法の場合、被エッチング
物として多結晶シリコン、反応ガスとして塩素(C
2)を用い、ガス圧0.5mTorr、ガス流量20
sccmとすると約300nm/minの値が得られて
いる。
【0004】従来のドライエッチング装置の1例とし
て、マイクロ波ドライエッチング装置を図16に示す。
101はマイクロ波発生部、102は導波管、104は
反応ガス用導入口、105は反応ガス用配管、106は
マスフロ−コントロ−ラ、107は発生したプラズマを
高密度化するための電磁石、109はシリコンウェ−
ハ、110は試料台、111はチャンバ−、112は高
周波電源、114は真空ポンプ、117はエッチング処
理室をそれぞれ示す。マイクロ波発生部101で発生し
たマイクロ波は導波管102を伝わりチャンバ−111
内に導入され、該チャンバ−111内で反応ガスをプラ
ズマ化する。該プラズマは、試料台110上のシリコン
ウェ−ハ表面をエッチングする。該ドライエッチング装
置においては、一種類のガスは一本のガス配管105と
一つのマスフロ−コントロ−ラ105を用いてチャンバ
−111内に導入され、該ガス配管105はチャンバ−
111に直接取り付けられている。そのガス導入口10
4の開口部の面積は、ガス配管105の断面積程度であ
る。チャンバ−111内のガス圧は、チャンバ−内に導
入される反応ガスの流量が多いほど高く、真空ポンプ1
14によるチャンバ−内の実効排気速度が大きいほど低
くなる。ガス圧が1mtorr以上では数十sccm、
0.1mtorr台の低ガス圧領域では数sccmの値
が用いられている。また、実効排気速度は真空ポンプの
排気速度と排気系統のコンダクタンスで決まり、従来の
装置では400 l/sec以下である。
【0005】導入するガス流量に対する処理室内圧力は
次式で表される。
【0006】P=(q+Q)/S …(1)式 ここで、P(Torr)は処理室内圧力(処理室内の場
所により圧力が異なる場合はプラズマ放電部のガス圧
力)、qはガスを導入しない場合の装置からのリーク
量、Qは導入ガス流量(Torr・l/sec)、Sは
装置の実効排気速度(l/sec)である。通常の場
合、qはQの1/1000以下でありほとんど無視でき
る。従来装置では、例えば、ポンプの排気速度(S0
が約1000 l/sec以下のターボ分子ポンプを備
え、処理室の排気コンダクタンス(C)は200 l/
sec〜1000 l/secで、この時の実効排気速
度S0は複数台の排気ポンプの排気速度S1からSn
(nはポンプの台数を示す数値)と真空処理室の排気コ
ンダクタンスCにより次式で表され、 1/S0=(1/ΣSn)+1/C …(2)式 従来は実効排気速度100〜400 l/secの排気
を行っていた。従って、0.5mTorrにガス圧力を
設定すると流すことができるガス流量は4〜20scc
mとなっていた。
【0007】一方、真空処理室内でのガスの流れやすさ
を表す量として、ガスの処理室内滞在時間があり、これ
は次式のように表される。
【0008】 τ=V/S(=PV/Q) …(3)式 ここで、Vは真空処理室の総容積である。従来装置にお
いては、上記の通り実効排気速度が100〜400 l
/secで、真空処理室容積が100〜300l程度で
あり、ガス滞在時間は400msec〜3000mse
c程度となっていた。
【0009】
【発明が解決しようとする課題】LSIの微細化に伴
い、0.3μm程度の寸法の溝や穴の加工技術が要求さ
れてきているが、従来のRIE法を用いたドライエッチ
ングでは、ガス圧が高いためガスプラズマ中でのイオン
の散乱等により基板に入射するイオンの方向性が乱れ、
微細な寸法の溝や穴を高精度に加工することが困難であ
る。
【0010】反応ガス圧を低くすることにより、ガスプ
ラズマ中のイオンの散乱を防止することができる。上記
程度の寸法の溝や穴を異方性加工するためには、試料に
入射する斜めイオンの入射角度を1°以下に抑える必要
が有り、反応ガス圧(動作圧力)としては1mTorr
以下、望ましくは0.5mTorr以下にする必要があ
る。但し、プラズマを安定に放電させるためには0.0
1mTorr以上の圧力が必要である。反応ガス圧の低
いドライエッチング装置としては、上記ECRエッチン
グ装置、マグネトロン放電型RIE装置及びヘリコン型
RIE装置がある。しかしながら、従来のドライエッチ
ング装置においては、反応ガス圧が低いと、エッチング
速度が小さくなるという問題が生じる。すなわち、エッ
チングの方向性を高めることと、エッチング速度高める
こととはドレ−ドオフの関係にあり両立することが困難
である。
【0011】さらに、LSIを形成するSiウェハの直
径は大型化してきており、例えば、上記ECRエッチン
グ装置では、ウェハを一枚ごとに真空処理室に搬送して
エッチング処理する枚葉式ドライエッチング装置が用い
られていた。このような装置を用いると、例えば6イン
チウェハで200nmの厚さのポリシリコンをエッチン
グするために、200〜300nm/minのエッチ速
度で約1〜2分間の処理時間を要する。直径8インチの
ウェハを用いると、エッチ速度はエッチング面積依存性
(いわゆるローディング効果)のために低下し、処理時
間が2〜4分間に増え、エッチング処理速度(スループ
ット)が低下するとの問題が生じる。高周波またはマイ
クロ波の入力パワーを増大してエッチング速度を高めて
スル−プットを高めると、イオンエネルギが増大して選
択性が低下するとの問題が発生する。上記枚葉式ドライ
エッチング装置を複数台用いて並列処理することによ
り、エッチング条件を変えることなくスループットの向
上をはかることが可能であるが、装置コストが膨大にな
る。
【0012】また、上記ECRエッチング装置では、ガ
ス導入口の開口部の断面積が小さいために、実効的な排
気速度を従来の装置よりも大きくしてエッチング処理室
117を流れるガス流量を大きくし、例えば、1300
l/sec以上にすると、ガス導入口104からチャ
ンバー111へガスが流れ込むときのガス流速が音速近
くまで上昇し、流れの中に衝撃波が生じて流れの中の圧
力が不均一になる。この状態では試料上のガス密度の均
一性だけでなく、放電によるプラズマの不均一や不安定
が生じて、エッチング速度の均一性の低下などの問題を
生じる。このため、ガスの流速は音速以下、望ましくは
音速の1/3以下にする必要がある。
【0013】また、ガス導入口104が、エッチング処
理室117の排気口である試料台110の横の部分に近
いところにある構成では、ガス導入口からチャンバー内
に入るガスがチャンバー全体に広がる前に排気口から排
気されてしまい、効率よくガスが利用されないという問
題があった。また、チャンバー形状によってはガスの流
れが十分エッチング処理室117の中心に広がらないと
いう問題があった。
【0014】また、一種類のガスを流すのに一つのマス
フローコントローラ106と一本のガス配管のみを用い
ていたので、エッチング処理室117内のガスの流れが
偏るためにエッチングの均一性が悪くなるという問題が
あった。
【0015】さらに、従来の上記装置ではウェハーの大
口径化が進むにつれ、ガスの流れがエッチング処理室1
17の中心に十分広がらないという問題があった。
【0016】本発明の主たる目的は、微細な寸法を有す
る溝や穴を高精度に、かつ、高速にエッチングすること
のできるドライエッチング技術を適用した半導体装置の
製造方法を提供することにある。
【0017】本発明の他の目的は、スループットの大き
なドライエッチング技術を適用した半導体装置の製造方
を提供することにある。
【0018】本発明の他の目的は、異方性の高いドライ
エッチング技術を適用した半導体装置の製造方法を提供
することにある。
【0019】本発明の他の目的は、選択性の高いドライ
エッチング技術を適用した半導体装置の製造方法を提供
することにある。
【0020】本発明の他の目的は、1mTorr以下、
望ましくは0.5mTorr以下の低ガス圧力において
500nm/min以上、望ましくは1000nm/m
in以上の高エッチ速度を得るドライエッチング方法を
提供することにある。
【0021】本発明の他の目的は、均一性の良好なドラ
イエッチング技術を適用した半導体装置の製造方法を提
供することにある。
【0022】本発明の他の目的は、ウエハ表面や処理室
内壁への反応生成物の再付着による汚染の少ないドライ
エッチング技術を適用した半導体装置の製造方法を提供
することにある。
【0023】
【課題を解決するための手段】上記目的は、第一に、真
空処理室内におけるガス圧を5mTorr以下望ましく
は1mTorr以下とし、かつ、実効排気速度500
l/sec以上で反応ガスの滞在時間を300msec
以下、望ましくは実効排気速度1300 l/sec以
上で反応ガスの滞在時間を100msec以下とするこ
とにより達成される。
【0024】図33に、本発明の排気速度、ガス圧力制
御範囲とその効果を示す。前述したように、ガス圧力
は、エッチング方向性(異方性)を制御するパラメータ
であり、排気速度はエッチ速度を制御するパラメータで
ある。従来エッチングでは、実効排気速度は約400
l/sec以下の低速排気のため、マイクロ波エッチン
グ等高密度プラズマエッチング装置を用いても低エッチ
速度の問題があり、また低ガス圧において入射粒子の方
向性が揃ってもマスクとの選択比が小さいこと等のため
実際には高異方性加工が困難であった。
【0025】本発明の主な適用範囲は、図に示す三つの
領域に分けることができる。すなわち、(1)ガス圧力
の領域によらず、従来の1.5倍以上の中程度のエッチ
速度高速化を目的とする領域、すなわち、実効排気速度
800 l/sec以上を必要とする領域、(2)従来
の1.5倍以上の中程度のエッチ速度高速化、従来の
1.5倍以上の中程度の高異方性を目的とする領域、す
なわち、実効排気速度500 l/sec以上、ガス圧
力5mTorr以下を必要とする領域、(3)従来の2
倍以上の高速化、従来の2倍以上の高異方性を目的とす
る領域、すなわち、実効排気速度1300 l/sec
以上、ガス圧力1mTorr以下を必要とする領域、で
ある。
【0026】プロセス向上の点のみからすると(3)の
方式が最適であるが、半導体製造プロセスには種々の加
工工程があるため、装置のコストを考慮にいれると、低
コストで要求性能を得るために、(1)や(2)の適用
法も可能である。
【0027】前述の(1)式により、ガス圧力P、排気
速度S、ガス流量Qの関係は、P=Q/Sで表されるの
で、上記手段を満足するために必要なガス流量は必要最
低ガス圧力を0.5mTorr、実効排気速度を800
l/secとすると32sccmとなる。しかし、実
際にはガス圧力の微調整をするため、この変動分を考慮
し、望ましくは40sccm以上となる。
【0028】ここで、ガス圧力は0.01mTorr以
下で放電が不安定になるので、ガス圧力の下限は0.0
1mTorrを越えることが望ましい。
【0029】また、排気速度は装置の大きさを考慮にい
れると、最大でも実効排気速度100000 l/se
cを越えるべきではない。
【0030】また、ガス滞在時間は、真空処理室の容積
と上記排気速度の上限、及びエッチング表面反応の反応
時間を考慮に入れると、0.1msec以上とするべき
である。
【0031】また、ガス流量は、ガスの使用コストとガ
ス流制御を考慮に入れると、10000sccmを越え
るべきではない。
【0032】第二に、前項の目的は、ガス導入口の面積
を広げて導入ガスの流速を音速の1/3以下とするこ
と、ガス導入口とガス配管の間にガスバッファ室を設け
ること、排気口と試料台とを近接して設け、該試料台と
チャンバへのガス導入口取り付け位置を離すとともに、
該チャンバ−の中心方向に設けること、マスフローコン
トローラでガス流量を制御してガスを流すガス配管及び
ガス導入口をチャンバーの周りに対称性よく複数取り付
けること、ガスの流れを制御するじゃま板をチャンバー
内に設けること、エッチング処理室の高さ/幅の比を
0.5以上とすること、ガス導入口の高さをエッチング
処理室の上部から1/3以内の位置に設けること、排気
系とエッチング処理室の間に真空バッファ室を設けるこ
と、典型的には、ポンプの排気速度を2500 l/s
ec以上、望ましくは4000 l/sec以上にし、
排気コンダクタンスを2000 l/sec以上、望ま
しくは3000 l/sec以上にして実効排気コンダ
クタンスを1300 l/sec以上にすること等によ
り、効果的に達成される。
【0033】第三に、前項の目的は、チャンバ−内にお
ける反応ガスの滞在時間を100msec以下とすると
するとともに、さらに大型ベッセルを用いて大口径ウェ
ハを多数枚を同時にバッチ処理することにより達成され
る。
【0034】さらに、上記目的は、大型ベッセル内の試
料台の中心部に排気口を設けること、大型ベッセルの真
空室内に導入するガス流量を100sccm以上とする
こと、試料台となる電極面積を5000cm2以上とす
ること、処理室、及び排気管の総排気コンダクタンスを
3300 l以上とし、かつ、排気速度5000 l/
sec以上の排気ポンプを用いること、実効排気速度を
2000 l/sec以上とすること等により、効果的
に達成される。
【0035】
【作用】従来のドライエッチング装置では、ガス圧力を
低くするとエッチング速度は著しく減少し、実用的なエ
ッチング速度が得られなくなる。これは、ガス圧力を低
くすると反応室内のイオン数が減少するためであると考
えられている。本発明者らは、ガス圧力を低くし、か
つ、高エッチング速度を得るために種々の検討を重ね
た。その結果、イオンが最初に被エッチング物と衝突す
る際、エッチングが生じることを見出した。即ち、未反
応のイオン(反応性ガス)が既反応イオン(反応生成
物)に比べて反応室内に多数存在すれば、ガス圧力が同
一でもエッチング速度を高めることができることを見出
した。そこで、さらに、未反応のイオンと反応生成物と
の割合を決定する要因について検討を行った。
【0036】図5は、ガス流量を変化させた時の反応性
ガスと全入射粒子(反応性ガス+反応生成物)の基板へ
の入射割合Rを計算した結果である。即ち、該入射割合
Rは、 R=1/(1+2.735×1021・A・P/(α・Q)) …( 4)式 で与えられる。ここで、C1は被エッチング物及びエッ
チングガスにより決まる定数で、0.1から10の範囲
の値を有する。Aはエッチングされる面積、Pはガス
圧、αはガスの利用率を表し、エッチング装置における
導入ガスの放電効率やエッチング処理室の形状等により
決まる定数で10から100%の範囲の値を有する。Q
はガス流量である。図5は、エッチング面積Aを78.
5cm2、ガス利用率αを42%、ガス圧力を0.5m
Torrとして表示したものである。この結果から、反
応性ガスの割合はガス流量とともに増大することがわか
る。一方、図6にガス流量を変化させた時のガス滞在時
間の変化を式(3)を用いて計算で求めた結果を示す。
ガス流量が増大するとガス滞在時間は急激に減少する。
従って、ガス流量の増加にともないエッチング反応を阻
害する反応生成物の処理室内滞在時間が減少し、速やか
に処理室外に排気されるため、エッチング反応が促進さ
れ、エッチング速度が増大する。しかしながら、単にガ
ス流量を大きくすると、図17に示すように動作圧力
(ガス圧力)が大きくなり、その結果、異方性が低下す
る。動作圧力(ガス圧力)を変えず、ガス流量を大きく
する方法については、図17に示すように実効排気速度
(エッチング処理内部におけるガス流量)が大きいほど
同一動作圧力でのガス導入口でのガス流量が大きくなる
ことになる。即ち、実効排気速度を大きくすることによ
り、同一動作圧力でのガス流量を大きくすることができ
る。
【0037】図7は反応性ガス及び全入射粒子の割合と
ガス滞在時間との関係を式(3)及び式(4)を用いて
求めた結果を示す。なお、真空処理室内の総容積は10
0l、エッチング面積Aは78.5cm2、ガス利用率
αは42%として求めた。図7から、ガス滞在時間の減
少とともに反応性ガスの割合が増大し、1secから1
00msecの間に大幅に変化することがわかる。従っ
て、エッチング反応を効率良く行うためには、反応性ガ
スの割合をウェハ入射全粒子の60%以上とした場合、
図5からガス流量を40sccm以上、望ましくは10
0sccm以上、また、図7からガス滞在時間を100
msec以下、望ましくは50msec以下にすればよ
いことがわかる。
【0038】エッチング処理室内の容積が1000 l
以下の場合、該室内を流れるガス流量を1300 l/
sec以上とすることにより、上記ガス滞在時間を実現
できる。また、ガス導入口の総開口部面積を150cm
2とすることによりガス導入口でのガス流速を音速の1
/3以下とすることが可能となり、ガスの流れが圧縮性
になることを防ぐことができた。これにより、ガスの流
れに発生する衝撃波を抑えることができ、プラズマの不
安定性や不均一性を抑制することができた。
【0039】ガス導入口を、エッチング処理室の排気口
である試料台の横の部分から遠い位置に取り付けた結
果、ガスの流れがチャンバー内に十分広がるようにな
り、効率よくガスがプラズマ化されるのでエッチング処
理速度と均一性が増加した。またその向きをチャンバー
中心方向に向けたためにチャンバー中心方向にガスが十
分流れるようになり、そのためエッチング速度と均一性
が向上した。
【0040】マスフローコントローラでガス流量を制御
してガスを流すガス配管をチャンバーの周りに対称性よ
く複数取り付けることにより、チャンバー内のガスの流
れの偏りを防止することができた。その結果、エッチン
グの均一性が上昇した。ガス導入口の取り付けも対称性
を考慮したため、ガスの流れの均一性が改善された。ま
た、じゃま板を取り付けることにより、チャンバー内の
ガスの流れを制御することが可能になり、特にプラズマ
を生成する場所にガスの流れを作ることができ、エッチ
ング速度が増加した。
【0041】チャンバーの高さ/幅の比を0.5以上に
することにより、チャンバー中心方向へ十分にガスが流
れるようになった。これを図15を用いて説明する。図
に示したのはエッチング処理室内のガスの流れの密度が
エッチング処理室の高さ/幅の比にどのように影響を受
けるかをシミュレーションした結果である。エッチング
処理室の高さ/幅の比を大きくすればエッチング処理室
の中心であるウェハー上部の流れの密度が大きくなり、
均一性が向上することがわかる。このように本発明では
エッチング処理室の中心へ効率よく均一にガスが流れる
ようにできたので、プラズマ密度が増加し、プラズマの
均一性がよくなった。その結果、低圧力領域でもエッチ
ング速度が速く均一性の良いエッチングを行うことがで
きるようになった。
【0042】ドライエッチング装置では動作圧力が低い
ほど、プラズマからウェハに入射するイオンの散乱の頻
度が減り、エッチングの異方性が高くなる。本発明によ
れば、実用的なエッチング速度で低圧力動作による異方
性エッチングを均一性よく行なうことができる。
【0043】大型の真空処理室を用いてドライエッチン
グを行うことにより、一度に多数の試料を処理すること
ができるのでスル−プットを向上することができる。大
型ベッセルを用いた場合、特に、エッチング反応によっ
て発生する反応生成物を速やかに処理室外に排気するこ
とが重要である。そのために、高速排気が必要である。
従来装置では、例えば、ポンプ排気速度が約1000
l/sec以下のターボ分子ポンプを備え、排気コンダ
クタンスCが200 l/sec〜1000l/sec
で、100〜400 l/secであった。従って、5
mTorrにガス圧力を設定すると流すことができるガ
ス流量は40〜200sccmとなっていた。本発明で
は、大型ベッセル装置において前述のような高速排気ポ
ンプと大きい排気コンダクタンスにより実効排気速度1
300 l/sec以上、望ましくは2000 l/s
ec以上にし、5mTorrで800sccmのガス流
量を流すことが可能である。
【0044】図18は真空処理室容積を100 lから
10000 lまで変化させた場合の、実効排気速度と
ガス滞在時間の関係を示す。処理室容積が100 lの
場合実効排気速度を700 l/sec以上、容積50
0 lでは3600 l/sec以上、容積10000
lでは70000 l/sec以上の実効排気速度と
することにより、ガス滞在時間を100msec以下に
することができる。一例として実効排気速度70000
l/secを実現するためには、前記(2)式から、
例えば140000 l/secの排気速度のポンプを
用い、140000 l/secの排気コンダクタンス
の真空処理室を用いればよい。
【0045】また、試料台の中心部に排気口を設けるこ
とにより、試料台の中心と周辺での処理ガス密度を均一
にすることができる。
【0046】
【実施例】
(実施例1)本発明による高速排気マイクロ波プラズマ
エッチング装置の一実施例を図1に示す。真空処理室1
にエッチングガスを導入し、マイクロ波発生器2におい
て2.45GHzの高周波を発生させ、これを導波管3
により放電部4に輸送してガスプラズマ5を発生させ
る。高効率放電のために磁場発生用のソレノイドコイル
6が放電部周囲に配置され、875ガウスの磁場により
電子サイクロトロン共鳴(Electron Cycl
otron Resonance: ECRともいう)
により高密度のプラズマが発生される。放電部には試料
台7があり、この上に設置されたウェハ8をガスプラズ
マによりエッチング処理する。処理後のエッチングガス
はガス導入口9から放電部4、真空処理室1を経て排気
管10から排気ポンプ11により真空処理室外へ排出さ
れる。この際、コンダクタンスバルブ12を可変にする
ことにより、排気速度を変えることができる。処理ガス
はガス流量コントローラー13を通しガス配管14を経
てガス導入口9からメッシュ状に小孔の開いたバッファ
室15を通して放電部4へ導入される。ガス導入口9は
2個所以上設け、放電部中心軸に対して対称に配置し
た。エッチング時のガス圧力はプラズマ放電部に設置し
たガス圧力センサ23により測定した。これにより、プ
ラズマ放電部におけるガス流量、ガス圧力、ガス排気速
度、ガス滞在時間を決定できる。ウェハを設置する試料
台には、ウェハを0℃以下に冷却する冷却機構16が備
えられ、13.56MHzから400KHzのRFバイ
アス17が印加できる。真空処理室にはヒータ18が付
いており、50℃以上に加熱できる。
【0047】排気ポンプには排気速度2000 l/s
ecのターボ分子ポンプ2台を用い、総排気速度400
0 l/secにして放電部の中心軸に対して対称に配
置した。また、真空処理室の実質的なガス排気口部分1
0もウエハ中心軸に対して対照に配置した。これによ
り、排気コンダクタンスを極力大きくしながら、ガスの
流れをウェハ中心に対して対照にすることができた。ガ
スの通路となる放電部、真空処理室、排気管及びコンダ
クタンスバルブの総排気コンダクタンスは4000 l
/secとした。このために、放電部4の下方部の直径
を上方部より大きくし、これにともなって、この部分に
設置する磁場コイル6の直径も、その上部に位置するコ
イル直径より大きくした。エッチング時のウェハ位置
は、最下段のコイルの厚み方向の中心よりも下に位置さ
せ、放電部の下方の排気コンダクタンスを極力大きくす
る構造とした。この時、最大実効排気速度は2000
l/secである。また、放電部、真空処理室、排気管
の総容積は100 lであり、真空処理室内のガス滞在
時間は前述の(3)式より50msecである。
【0048】この高速排気マイクロ波プラズマエッチン
グ装置を用いて、Siトレンチに用いられるSi単結晶
のエッチングを行なった。試料は、Si基板を500n
mの厚さに熱酸化膜し、その上にホトレジストマスクを
形成し、酸化膜をドライエッチングして直径0.1μm
から1.0μmのホ−ルパタ−ンを形成後、ホトレジス
トを除去してSiO2マスクを形成したものである。エ
ッチングガスにはCl2を用い、ガス圧力0.5mTo
rr、マイクロ波パワー500W、RFバイアスは2M
Hzで20W、ウェハ温度は−30℃とし、ガス流量を
2から100sccmまで変化させた。磁場強度分布は
放電部の上方から下方に向けて小さく、ECR条件を満
たす875ガウスの位置はウェハ上方40mmであっ
た。この時のSiエッチ速度のガス流量依存性を図2に
示す。2sccmでは80nm/minのエッチ速度は
Cl2ガス流量とともに増加し、100sccmにおい
て1300nm/minとなった。また、同様のエッチ
ング条件による、ガス圧力とSiのマスクからのアンダ
ーカット量の関係を図3に示す。Siのエッチング形状
は、0.5mTorrの低ガス圧力であるため高い方向
性が得られ、5μmの深さのSi深孔のアンダーカット
量は0.03μm以下で、ガス流量依存性はほとんどな
かった。図4に、本発明による実効排気速度2500
l/secの装置、及び従来の実効排気速度150 l
/secの装置を用いた場合のSiエッチング速度のガ
ス圧力依存性を示す。エッチング条件は図2の結果にお
けるものと同様である。従来エッチング装置ではガス圧
力低下とともにSiのエッチング速度は大幅に減少して
いる。これはガス滞在時間が470msecと長く、ま
た、排気速度が遅いため低ガス圧でガス流量が減少して
いることによる。高排気速度の本発明装置を用いると、
0.5mTorr以下の低ガス圧において従来装置の1
0倍以上のエッチ速度が得られ、0.5mTorr以下
で1μm/min以上の高速エッチングを行なうことが
できた。一方、エッチング速度の孔径依存性は小さく
0.1μmから1.0μmの間の孔径において速度差は
3%以内であった。また、ガス流量を変化させても、S
iO2のエッチ速度はほとんど変化せず、ガス流量10
0sccmにおいてエッチングマスクに用いたSiO2
との選択比(Si/SiO2)は約50であった。
【0049】また、リンドープポリシリコンのエッチン
グでも図2および図3とほぼ同様の結果が得られ、Cl
2流量100sccmで1500nm/minで、アン
ダーカット量は0.03μm以下であった。
【0050】(実施例2)図1に示す高速排気マイクロ
波プラズマエッチング装置により、コンタクトホールに
用いられるSiO2のエッチングを行った。試料はSi
基板上に2μmの厚さにCVD法でSi酸化膜を形成
し、その上に、ホトレジストマスクを形成した。エッチ
ングガスにはCHF3を用い、ガス圧力0.5mTor
r、マイクロ波パワー500W、RFバイアスは800
KHzで200W、ウェハ温度は−30℃とし、ガス流
量を2から100sccmまで変化させた。2sccm
では50nm/minのエッチ速度はCl2ガス流量と
ともに増加し、100sccmにおいて500nm/m
inとなった。SiO2のエッチング形状は、0.5m
Torrの低ガス圧力であるため高い方向性が得られ、
2μmの深さのSiO2深孔のアンダーカット量は0.
05μm以下で、ガス流量依存性はほとんどなかった。
さらに、エッチング速度の孔径依存性は小さく0.1μ
mから1.0μmの間の孔径において速度差は3%以内
であった。また、ガス流量を2sccmから100sc
cm増大させた時のSiO2とホトレジストとの選択比
は、2倍以上増大した。
【0051】(実施例3)図8に高速排気反応性イオン
エッチング(RIE)装置の実施例を示す。磁場コイル
を備えた磁場印加型であるため、1mTorr以下でも
放電は可能である。真空処理室1にエッチングガスを導
入し、13.56MHzの高周波で放電しガスプラズマ
5を発生させる。放電部には試料台7があり、この上に
設置されたウェハ8をガスプラズマによりエッチング処
理する。処理後のエッチングガスはガス導入口9から真
空処理室1を経て排気管10から排気ポンプ11により
真空処理室外へ排出される。この際、コンダクタンスバ
ルブ12を可変にすることにより、排気速度を変えるこ
とができる。処理ガスはガス流量コントローラー13を
通しガス配管14を経てガス導入口9からメッシュ状に
小孔の開いたバッファ室15を通して真空処理室1へ導
入される。ガス導入口9は2個所以上設け、放電部中心
軸に対して対称に配置した。ウェハを設置する試料台に
は、ウェハを0℃以下に冷却する冷却機構16が備えら
れている。真空処理室にはヒータ18が付いており、5
0℃以上に加熱できる。
【0052】排気ポンプには排気速度2000 l/s
ecのターボ分子ポンプ2台を放電部の中心軸に対して
対称に配置した。ガスの通路となる放電部、真空処理
室、排気管及びコンダクタンスバルブの総排気コンダク
タンスは4000 l/secとした。この時、実効排
気速度は2000 l/secである。また、放電部、
真空処理室、排気管の総容積は100 lであり、真空
処理室内のガス滞在時間は前述の(3)式より50ms
ecである。
【0053】図8に示す高速排気反応性イオンエッチン
グ装置により、多層レジストマスクに用いられるホトレ
ジストのエッチングを行った。試料は、Si基板上にホ
トレジストを1.5μmの厚さに塗布しベークし、SO
G(Spin−On−Glass)やチタンシリカ等の
中間層を形成し、その上にホトレジストでパターニング
を行った後、中間層をドライエッチングして下層ホトレ
ジストをエッチングするためのマスクを形成したもので
ある。エッチングガスにはO2を用い、ガス圧力0.5
mTorr、RFパワー500W、ウェハ温度は−10
0℃とし、ガス流量を2から100sccmまで変化さ
せた。2sccmでは100nm/minのエッチ速度
はCl2ガス流量とともに増加し、100sccmにお
いて1000nm/minとなった。レジストのエッチ
ング形状は、0.5mTorrの低ガス圧力であるため
高い方向性が得られ、1.5μmの深さのレジストのア
ンダーカット量は0.05μm以下で、ガス流量依存性
はほとんどなかった。さらに、エッチング速度の孔径依
存性は小さく0.1μmから1.0μmの間の孔径にお
いて速度差は3%以内であった。
【0054】(実施例4)本発明の一実施例を図9に示
す。マイクロ波発生器101から発生したマイクロ波は
導波管102を通り、マイクロ波導入口を通してチャン
バー111内のエッチング処理室117に送られる。ガ
スはマスフローコントローラ106で流量を調節した
後、ガス配管105を通してエッチング処理室117に
送られる。ガスはガス配管105の後に備え付けられた
ガス導入口104を通ってエッチング処理室117に広
がる。
【0055】エッチング処理室117に入ったガスはじ
ゃま板108により流れを制御されてエッチング処理室
117の中心部の密度が均一になるように流れる。この
ガスの流れはウェハー109の上部でマイクロ波により
励起されてプラズマ状態になる。このプラズマにより活
性な粒子を生成してウェハーのエッチングを行なう。こ
の時に電磁石107により外部磁場を印加することによ
りマイクロ波のエネルギーが効率よくプラズマに伝わる
ように調整する。
【0056】試料台には高周波電源112により高周波
電圧を印加することができる。この電源によりウェハー
109にバイアス電圧を印加して入射イオンの方向性や
エネルギーを制御する。この試料台に冷却機構や加熱機
構を装備すればウェハー温度を制御したエッチングを行
なうこともできる。
【0057】ガス導入口104からチャンバー111内
に入り、エッチング処理室117でプラズマ状態になっ
てウェハー109でエッチング反応に用いられたガスの
流れは、反応生成物とともにエッチング処理室117か
らみた排気口である試料台110の横を通り、排気バッ
ファ室113を介して真空ポンプ114により排気され
る。
【0058】高排気速度の真空ポンプを用いるときや、
真空ポンプを複数用いるときには、チャンバー111に
直接真空ポンプ114を取り付けるのではなく、排気バ
ッファ室113を介してチャンバー111に取り付ける
ことにより、エッチング処理室117からみた排気口で
ある試料台の横の排気速度を均一化することができる。
その結果、ガスの流れにムラがなくなるために、均一性
のよいエッチングが可能になる。
【0059】本実施例のガスの流れを制御するための構
成要素にはガス導入口104とじゃま板108と排気バ
ッファ室113がある。
【0060】ガス導入口104は従来の装置では特に何
も処理がされていなかった。ガス配管105をチャンバ
ー111に直接接続し、その接続位置も特に考慮はされ
ていなかった。従来の装置の一例を図16に示してい
る。ガス配管105はチャンバー111に直接取り付け
てある。
【0061】本発明ではガス導入口の開口部の面積を広
げることにより、ガス流速が音速の1/3を越えないよ
うにすることを特徴とする。図9に示した実施例ではガ
ス配管105がチャンバー111と接続する部分にガス
導入バッファ室116を設けて、そのバッファ部の壁面
に複数のガス導入口104を設けることにより、ガス導
入口の開口部面積を増やしてガス流速を音速の1/3以
下に抑えている。
【0062】マスフローコントローラ106通してガス
配管105を流れるガスの圧力は1気圧程度であり、そ
のガスを直接チャンバーの中に流し込むと、圧力差から
チャンバーにガスが入るところで流れが乱れやすい。本
実施例ではガス配管105とチャンバー111との間に
ガス導入バッファ室116を設けたことにより、圧力差
による流れの乱れを抑えることもできる。
【0063】さらに本実施例ではマスフローコントロー
ラ106を含めたガス配管105をチャンバーの周りに
対称性を考慮して複数取り付けることにより、ガスの流
れの均一性を上げている。
【0064】プラズマはエッチング処理室の中心付近に
生じる方が活性粒子が効率良くウェハーに入射し、均一
性も上昇する。エッチング処理室117の壁面に沿って
流れるガスの流れはエッチングに対する寄与が小さい。
そこで本実施例ではこのチャンバー111の壁面を流れ
るガスの流れをチャンバーの中心付近に流れるように流
れを制御するために、じゃま板108を取り付けた。じ
ゃま板108は流れのコンダクタンスを悪くする副作用
もあるので、あまり大きなものを取り付けると逆効果に
なる可能性もある。本実施例ではガス導入口104か
ら、エッチング処理室117の排気口になる試料台11
0とチャンバー111の間の隙間が見えなくなり、かつ
ウェハー109の上にかからないようにした。
【0065】さらに本実施例ではチャンバー111と真
空ポンプ114の間に排気バッファ室113を取り付け
たことも流れを制御する特徴の一つである。流れを均一
にするためには排気系も対称性がよいことが望ましい。
しかし、試料台112にはバイアス印加電圧のための高
周波電源112を接続したり、ウェハー109の温度制
御をする低温ドライエッチングを行なうために、冷媒を
流すための冷却機構を取り付けたりする必要がある。そ
のために、真空ポンプを含めた排気系を対称性良く配置
することは難しい。本実施例で取り付けた真空バッファ
113は真空ポンプ114の排気能力がエッチング処理
室117の排気部分に均一にかかるようにする働きがあ
る。さらに排気能力を上げるために複数の真空ポンプを
取り付けるときなども、排気バッファ室113はエッチ
ング処理室117の排気を均一にする働きの効果が高
い。
【0066】図10は本実施例のチャンバー111のガ
ス配管105を含んだ水平方向の断面図である。ここで
はガス配管105は4本取り付けてあるが、ガス導入バ
ッファ室116があるのでガス配管105は1本でもよ
い。しかし流れを均一にするためには対称性を考慮して
複数本取り付けた方がよい。
【0067】以上のような構成のマイクロ波ドライエッ
チング装置を用いて0.3〜0.5μmの穴や溝をSi
基板表面に形成した。試料はレジストマスク、もしくは
SiO2マスクによりパターンを形成したものを用い、
マイクロ波パワー400W、圧力0.5mTorr、ガ
ス流量50sccm、RFバイアス30W(13.56
MHz)の条件で、SF6ガスを用いた。その結果、エ
ッチング速度は500nm/min以上であった。ま
た、サイドエッチ量は0.05μm以下であり、良好な
垂直形状を得ることができた。
【0068】(実施例5)図11は本発明の他の一実施
例を示したものである。この実施例ではガス導入バッフ
ァ室116を円周状ではなく、ガス配管105に対応し
た数の孤立したガス導入バッファ室116を取り付け
た。均一性を比べると図9に示した実施例の方がよい
が、装置を作成するのは、図11に示した実施例の方が
簡単にできるという長所がある。
【0069】じゃま板108も円周状でなく、孤立した
ものを複数取り付ける方法がある。また円周状のじゃま
板108をチャンバー111の違う高さの場所に複数取
り付けたり、円周状のじゃま板と孤立したじゃま板を組
み合せて使ったり、大きさや形の違うじゃま板をチャン
バー111内のさまざまな部分に取り付けて、ガスの流
れを制御することができる。このように、バッファ室1
16を設けることにより、該室を設けない場合に比べて
8インチウェ−ハ内のエッチング速度の均一性が2倍以
上向上し、±10%以下にすることができた。
【0070】(実施例6)図12は本発明の一実施例と
して他のガス配管法を説明したものである。この例では
ガス配管105がチャンバー111に複数の部分で接続
しているのに対し、ボンベ115から流れてくるガスを
1つのマスフローコントローラ106だけで流量を制御
している。1つのマスフローコントローラだけで流量を
制御しているので、流量を正確に制御でき、装置構造も
簡単にできるという長所があるのに対し、マスフローコ
ントローラ106からチャンバー111までのガス配管
105の距離が変わってくるために、エッチング処理室
117内のガスの流れの均一性が多少悪くなる欠点があ
る。しかし、ガス導入口バッファ室116の大きさを場
所によって変化させたり、ガス導入口104の開口面積
や開口率を場所によって変化させたり取付け高さを調節
することにより、ガスの流れの均一性をシステムとして
調整することもできるので、均一性の低下は実用上はそ
れほど問題にならない。
【0071】このように、複数のガス配管105を用い
ることにより、単一ガス配管の場合に比べて8インチウ
ェ−ハ内のエッチング速度の均一性が2倍以上向上し、
±10%以下にすることができた。
【0072】(実施例7)図13は本発明の一実施例と
して他のガス配管法を説明したものである。チャンバー
111に接続する複数のガス配管105に対して、それ
ぞれ一つ以上のマスフローコントローラ106を用いて
1つ以上のボンベ115からのガス流量を制御すること
が本実施例の特徴である。それぞれのマスフローコント
ローラ106を流れるガス流量を調整することによりチ
ャンバー111内のガスの流れを均一にすることができ
る。また同一ガス種のボンベを複数用いることによりそ
のガスのエッチング処理室内の流れを均一にするという
使用法の他に、異なる種類のガス種をエッチング処理室
で混合するために違うガス種のボンベを使用する方法も
行なうことができる。それぞれのガス種に対するガス配
管の数や位置、そしてその中を流れるガス流量を調節す
ることにより、異なる種類のガスを十分均一に混合し
て、なおかつその混合ガスのエッチング処理室内での流
れを均一にすることができる。このように、複数のガス
配管105と複数のガスボンベを用いることにより、単
一ガス配管、単一ガスボンベを用いる場合に比べて8イ
ンチウェ−ハ内のエッチング速度の均一性が2倍以上向
上し、±10%以下にすることができた。
【0073】(実施例8)本発明の他の一実施例を図1
4に示す。この実施例ではマイクロ波導入窓103の下
にガス導入バッファ室116を取り付け、ウェハー10
9の上部にガス導入口104を形成した。この方法はガ
スの流れの均一性が良くなり、特にチャンバー中心部の
ガス流量密度が増加するという長所を持つ。しかしマイ
クロ波の通り道にガス圧力が高い部分が生じるために、
マイクロ波の進行を妨げたり、ガス導入バッファ室11
6内で放電を起こす可能性があるという問題点もある。
しかしこれはマイクロ波のパワーや電磁石107による
調整、ガス導入口バッファ室116内の圧力上昇を抑え
るためにガス流量に時間変調をかけたり、その時間変調
と同期してマイクロ波を投入するようにして回避するこ
とができるために、実用上はそれほど問題ではない。
【0074】(実施例9)本発明による大型ベッセル高
速排気反応性イオンエッチング(RIE)装置の実施例
を図19示す。真空処理室201にエッチングガスを導
入し、13.56MHzの高周波202で放電しガスプ
ラズマ203を発生させる。真空処理室は直径120c
m、高さ約40cmの円筒型で、電極は平行平板型のカ
ソードカップリング型で、上部電極204がアース電
位、下部電極205が高周波印加電極であり、下部電極
がウェハを載置する試料台になっている。下部電極の直
径は90cmで、エッチングの均一性向上のため、下部
電極中央部にも処理ガスを排気できる直径10cmの排
気口206を設け、下部電極の中央と周辺の両方から真
空処理室外へ排気した。電極面積は約6300cm2
り、8インチウェハ207を6枚載置して同時にエッチ
ング処理した。処理ガスの排気速度はコンダクタンスバ
ルブ208を可変にすることにより変えることができ
る。処理ガスはガス流量コントローラー209を通しガ
ス配管210を経てガス導入口211からメッシュ状に
小孔の開いたバッファ室212を通して真空処理室20
1へ導入される。ガス導入口211は2個所以上設け、
放電部中心軸に対して対称に配置した。ウェハを設置す
る試料台には、ウェハを0℃以下に冷却する冷却機構2
13が備えられている。真空処理室にはヒータ214が
付いており50℃以上に加熱できる。
【0075】排気ポンプには排気速度6000 l/s
ecのターボ分子ポンプ2台を放電部の中心軸に対して
対称に配置した。ガスの通路となる放電部、真空処理
室、排気管及び全開のコンダクタンスバルブの総排気コ
ンダクタンスは12000 l/secであった。この
時、実効排気速度は6000 l/secである。ま
た、真空処理室、排気管の総容積は約500 lであ
り、真空処理室内のガス滞在時間は前述の(3)式より
83msecである。
【0076】図19に示す高速排気反応性イオンエッチ
ング装置により、Si単結晶のエッチングを行なった。
試料は、8インチSi基板の上にホトレジストマスクを
形成したもので、試料台に6枚同時に載置した。エッチ
ングガスにはCF4を用い、ガス圧力200mTor
r、RFパワー2KW(パワー密度は0.32W/cm
2)、ウェハ温度は−50℃とし、コンダクタンスバル
ブの開度を変えることにより排気速度を変えてガス滞在
時間を変化させた。このとき、ガス圧力は一定でガス流
量を変化させた。この時のSiエッチ速度のガス流量依
存性を図20に示す。ガス流量50sccmの時、Si
エッチ速度は100nm/minであったが、ガス流量
900sccmでは800nm/minにエッチ速度が
増大した。この時、1μmの深さにエッチングしSiの
マスクからのアンダーカット量は0.1μm以下であっ
た。また、Siとホトレジストとの選択比は4.0であ
った。エッチ速度のウェハ内及びウェハ間均一性は±5
%以下であった。
【0077】(実施例10)本発明による大型ベッセル
高速排気マイクロ波プラズマエッチング装置の実施例を
図21示す。真空処理室201には5個所のマイクロ波
放電部216が設置され、それぞれ独立にガスプラズマ
203を発生させることができる。真空処理室内に配置
された試料台上で5個所のマイクロ波放電部の下にそれ
ぞれ、合計5枚の8インチウェハ207を設置し、同時
にエッチング処理した。試料台内部で5個所のウェハ設
置部の近辺にそれぞれガス排気口206を設けた。ガス
プラズマは、真空処理室201にエッチングガスを導入
し、マイクロ波発生器217において2.45GHzの
高周波を発生させ、これを導波管218により放電部2
16に輸送して発生させる。高効率放電のために磁場発
生用のソレノイドコイル219が放電部周囲に配置さ
れ、875ガウスの磁場により電子サイクロトロン共鳴
(Electron Cyclotron Reson
ance: ECRともいう)により高密度のプラズマ
が発生される。エッチングガスはガス導入口211から
放電部219、真空処理室201を経て排気ポンプ21
5により真空処理室外へ排出される。排気速度はコンダ
クタンスバルブ208を可変にすることにより変えるこ
とができる。処理ガスはガス流量コントローラー209
を通しガス配管210を経てガス導入口211からメッ
シュ状に小孔の開いたバッファ室212を通して放電部
216へ導入される。ガス導入口211は2個所以上設
け、放電部中心軸に対して対称に配置した。ウェハを設
置する試料台には、ウェハを0℃以下に冷却する冷却機
構213が備えられ、13.56MHzから400KH
zのRFバイアス202が印加できる。真空処理室には
ヒータ214が付いており、50℃以上に加熱できる。
【0078】排気ポンプには排気速度20000 l/
secのターボ分子ポンプ2台を放電部の中心軸に対し
て対称に配置した。ガスの通路となる放電部、真空処理
室、排気管及び全開のコンダクタンスバルブの総排気コ
ンダクタンスは40000l/secとした。この時、
実効排気速度は20000 l/secである。また、
真空処理室、放電部、排気管の総容積は約2000 l
であり、真空処理室内のガス滞在時間は100msec
である。
【0079】図21に示す大型ベッセル高速排気マイク
ロ波プラズマエッチング装置により、Si単結晶のエッ
チングを行なった。試料は、8インチSi基板の上にホ
トレジストマスクを形成したもので、試料台に5枚同時
に載置した。エッチングガスにはCF4を用い、ガス圧
力5mTorr、マイクロ波パワー2KW、RFバイア
スは2MHzで200W、ウェハ温度は−50℃とし
た。この時のSiエッチ速度は、ガス流量900scc
mにおいて1.5μm/minであった。この時、1μ
mの深さにエッチングしSiのマスクからのアンダーカ
ット量は0.1μm以下であった。また、Siとホトレ
ジストとの選択比は3.0であった。エッチ速度のウェ
ハ内及びウェハ間均一性は±5%以下であった。
【0080】(実施例11)図1に示す高速排気マイク
ロ波プラズマエッチング装置により、8インチウェハ上
に総面積の異なるパターンを形成して、Alエッチング
を行った。エッチング条件はCl2ガス圧力3mTor
r、マイクロ波パワー500W、RFバイアスは2MH
zで50W、ウェハ温度は0℃とした。ウェハ口径を6
インチから8インチに変化させた場合の実効排気速度
(以下の実施例内容説明では単に排気速度と表す)とエ
ッチ速度の関係を、図22に示す。ウェハ内エッチング
面積比率は50%である。ガス圧を一定(3mTor
r)にしているので、排気速度(Sl/sec)に対す
るガス流量(Q sccm)は、Q=79.05×S×
0.003である。
【0081】従来の低速排気(約200 l/sec)
のAlエッチングでは6インチの場合、エッチ速度は約
0.8μm/minであった。排気速度を500 l/
secにすると、エッチ速度は約1.5倍の1.2μm
/minとなり、800 l/secでは約1.8倍の
1.4μm/minになり、1300 l/secでは
2倍の1.6μm/minとなった。8インチウェハで
は、より顕著な変化が認められ、800 l/secで
は従来の2.4倍、1300 l/secでは従来の約
3倍になった。
【0082】従って、8インチウェハで従来エッチ速度
(6インチ、200 l/sec)の1.5倍以上を得
ようとすると、少なくとも800 l/sec以上が必
要であることがわかり、2倍以上を得ようとすると少な
くとも1300 l/sec以上が必要であることがわ
かった。
【0083】なお、このようなエッチ速度の面積依存性
はAl以外にSi等の他材料でもほぼ同様に見られ、8
インチウェハで従来エッチ速度の1.5倍以上を得るた
めには、800 l/sec以上の排気速度が必要であ
った。またガス圧力、マイクロ波パワー、試料温度、バ
イアス等のエッチング条件の異なる場合も同様に、8イ
ンチウェハで従来エッチ速度の1.5倍以上を得るため
には、800 l/sec以上の排気速度が必要であっ
た。
【0084】(実施例12)図1に示す高速排気マイク
ロ波プラズマエッチング装置により、ECR面(プラズ
マ内で磁場が875Gになる面)とウェハとの距離(E
CR面距離)を変化させて、Siエッチングを行った。
エッチング条件はCl2ガス圧力0.5mTorr、マ
イクロ波パワー500W、RFバイアスは2MHzで2
0W、ウェハ温度は−30℃とした。排気速度を変化さ
せた場合のECR面距離とエッチ速度の関係を図23に
示す。従来排気速度(200 l/sec)ではECR
面距離を0から150mmに遠ざけるとエッチ速度は3
00から100nm/minまで減少した。一方、50
0 l/secの高速排気によるエッチングではECR
面距離が150mmと遠くても、エッチ速度は300n
m/minが得られ、さらに距離を近付けると1000
nm/min以上に増大した。すなわち、高速排気エッ
チングによりECR面距離がある程度離れても、ECR
面を近付けた場合と同等もしくはそれ以上のエッチ速度
が得られることがわかった。
【0085】ECR面を近付けた場合に問題となるの
は、ECR領域ではプラズマの解離効率が高いために、
ウェハから発生した反応生成物が再解離してウェハ表面
に再デポジションすることである。この減少により、エ
ッチング形状の劣化や表面汚染につながる場合がある。
また、ECR面距離を小さくすると、エッチング均一性
が低下する場合もある。表面分析から、反応生成物のウ
ェハへの吸着量を調べると図24に示すように、排気速
度が500 l/secの場合、ECR面距離が小さく
なるにつれて吸着量の増大することが分かった。排気速
度が小さい場合(200 l/sec)には反応生成物
の排気速度が遅いためECR面距離がある程度離れて再
解離が少なくても、ウェハへの吸着量が多くなる。従っ
て、反応生成物吸着の少ない低汚染で高速のエッチング
のためには、ECR面距離をある程度大きくして高速排
気することが良い。図24の結果から、ECR面距離は
40mm以上離して、排気速度500 l/sec以上
を用いることが適当であることが分かった。
【0086】(実施例13)図1に示す高速排気マイク
ロ波プラズマエッチング装置により、1から10mTo
rrのガス圧力においてAlをエッチングした。エッチ
ング条件はCl2ガス圧力5mTorr、マイクロ波パ
ワー500W、RFバイアスは2MHzで20W、ウェ
ハ温度は0℃とした。排気速度とAlエッチ速度の関係
を図25に示す。ガス流量はガス圧力に排気速度を乗じ
たものである。500 l/sec以上でエッチ速度は
大きく増大する。一方、アンダーカット量の排気速度依
存性を図26に示す。ガス圧が5mTorrと高いため
アンダーカットは生じやすく、特に排気速度1300
l/sec以上において増大傾向が大きかった。排気速
度1300 l/sec以下においてアンダーカット量
が小さい理由は、反応生成物の滞在時間が長く、これが
パターン側壁にデポして側面エッチングを防止するから
である。従って、側壁デポを用いなければアンダーカッ
トを押さえられないエッチングで、しかも高エッチ速度
が必要な場合に、1000nm/min以上の高エッチ
速度で、アンダーカット量を0.1μm以下に抑えるた
めには、500 l/secが適当であった。また、同
様のエッチング傾向は1から10mTorrの圧力で得
られ、1000nm/min以上の高エッチ速度で、ア
ンダーカット量0.1μm以下を満足する排気速度は5
00 l/secと1300l/secの間にあった。
なお、ガス滞在時間は500 l/secの時に300
msecであった。
【0087】(実施例14)図1に示す高速排気マイク
ロ波プラズマエッチング装置により、BCl3ガスを用
いてAlをエッチングした。エッチング条件はBCl3
ガス圧力4mTorr、マイクロ波パワー500W、R
Fバイアスは2MHzで20W、ウェハ温度は20℃と
した。Alアンダーカット量のガス圧力依存性を図27
に示す。排気速度は800 l/secとした。アンダ
ーカット量は5mTorr以下で顕著に減少し、0.1
μm以下になった。Cl2によるエッチングに比較し、
BCl3ではより高いガス圧力でアンダーカットが減少
する。この理由は、BCl3がパターン側壁にデポジシ
ョンし側壁を保護する効果があるためである。一方、A
lエッチ速度のマイクロローディング(パターンサイズ
依存性:ここでは0.2μm;aと10μm;bの溝パ
ターンでのエッチ速度の比;a/b)の排気速度依存性
を図28に示す。マイクロロディングは排気速度の増大
とともに減少し、800 l/sec以上で実用に適す
る0.9以上となった。排気速度増大とともにマイクロ
ロディングが減少する理由は、排気速度増大によりエッ
チング反応粒子が小さい溝内にも十分供給されるように
なるためである。従って、BCl3を用いたAlエッチ
ングにおいてアンダーカットとマイクロローディングを
抑えたエッチングを行うためには、ガス圧力5mTor
r以下で排気速度800 l/sec以上が良いことが
わかった。マイクロロディグは、小さい溝内を最後まで
エッチングするのに必要なオーバーエッチング量に関係
するが、この場合マイクロローディングは0.9以上で
は実用上大きな問題がないため、排気速度を必要以上に
大きくする必要はない。
【0088】(実施例15)図1に示す高速排気マイク
ロ波プラズマエッチング装置及び図29に示す反応性イ
オンエッチング装置により、Alをエッチングした。エ
ッチング条件は、マイクロ波エッチング装置ではCl2
ガス、マイクロ波パワー500W、RFバイアスは2M
Hzで20W、ウェハ温度は10℃とし、反応性イオン
エッチングではRFパワー500W、Cl2ガス、ウェ
ハ温度は10℃とした。Alエッチ速度とガス圧力の関
係を図30に示す。排気速度は500 l/secとし
た。マイクロ波エッチングではガス圧力の低いところで
エッチングできるため、4mTorrでアンダーカット
が0.1μm以下になり、エッチ速度は1000nm/
minであった。反応性イオンエッチングでは、低ガス
圧ではエッチングできず、10mTorrでアンダーカ
ットは0.2μmであり、エッチ速度は300nm/m
inであった。すなわち、マイクロ波エッチングは反応
性イオンエッチングに比べると、低ガス圧でアンダーカ
ットが小さく高速のエッチングが可能である。一方、A
lエッチ速度と排気速度との関係を図31に示す。ガス
圧力は4mTorrである。排気速度を増大すると、A
lエッチ速度は反応性イオンエッチングよりもマイクロ
波エッチングの方が顕著に増大する。これは、マイクロ
波エッチングでは反応性イオンエッチングに比べて表面
反応速度が大きく、いわばエッチング反応粒子の供給律
速の状態にあるため、排気速度増大によりエッチング反
応粒子の供給を増大するとエッチング反応が促進される
ためである。特に、500 l/sec以上でエッチ速
度が飽和傾向にあった。一方、反応性イオンエッチング
では、表面反応速度が小さく、反応律速の状態にあるた
め、排気速度増大によりエッチング反応粒子の供給を増
やしてもエッチ速度の増加は小さい。従って、マイクロ
波プラズマエッチングを用いて、低ガス圧でアンダーカ
ットを防止し、高速排気でエッチ速度を増大させるため
に、ガス圧を4mTorr以下にし、500 l/se
c以上の排気速度にすることが適する。アンダーカット
はガス圧力を下げるほど小さくなるが、Alエッチ速度
は0.5mTorr以下で大きく低下して300nm/
min以下になり、実用的にはあまり適さない。
【0089】(実施例16)図1に示す高速排気マイク
ロ波プラズマエッチング装置及び図29に示す反応性イ
オンエッチング装置により、Alをエッチングした。エ
ッチング条件は、マイクロ波エッチング装置ではCl2
ガス圧4mTorr、マイクロ波パワー500W、RF
バイアスは2MHzで20W、ウェハ温度は10℃と
し、反応性イオンエッチングではRFパワー500W、
Cl2ガス圧10mTorr、ウェハ温度は10℃とし
た。Alエッチ速度とガス滞在時間の関係を図32に示
す。ここではガス流量を可変とした。滞在時間の減少も
にいずれのエッチング方法でもAlエッチ速度は増加傾
向にあるが、マイクロ波エッチングの方が顕著に増加し
た。滞在時間300msecにおいてAlエッチ速度は
1000nm/minであった。従って、アンダーカッ
ト0.1μm以下で、エッチ速度1000nm/min
を得るためには、ガス圧力4mTorr以下でガス滞在
時間300msec以下にすることが必要である。
【0090】
【発明の効果】本発明によれば、1mTorr以下の高
真空下でガス流量を40sccm以上に増大でき、ガス
滞在時間を100msec以下にできるため、高真空下
でアンダーカットを防止し、大ガス流量で高いエッチ速
度を達成でき、被エッチング材料とその他の材料とのエ
ッチング速度比(選択比)も増大できる効果がある。そ
の結果、非常に高い方向性が必要となるSiトレンチや
コンタクト孔等の高アスペクト比(パターン幅/エッチ
ング深さの比)エッチングを、高速度で高精度に加工す
ることができる。
【0091】また、1mTorr以上のガス圧力でもア
ンダーカットをある程度防止し、エッチ速度、エッチン
グ選択性を向上することができる。
【0092】また、反応生成物の再デポジションが少な
いので、これによるウェハや装置の汚染、エッチング形
状の異常などを低減できる。
【0093】本発明の効果は前述のエッチング装置やエ
ッチング材料に限らず、例えば、マグネトロン型RIE
やヘリコン共振型RIE等の他の装置、およびアルミニ
ウム、タングステン、タングステンシリサイド、銅、G
aAs、Si窒化膜等の他の材料についても同様の効果
がある。
【0094】また、大型ベッセルを用いることにより、
例えば8インチ以上のウェハを多数枚同時にエッチング
処理でき、そのエッング速度も従来と同程度にできるの
で、ドライエッチングのスループットを向上でき、半導
体製品のコスト低減できる効果がある。
【0095】本発明による大型ベッセル、高速排気処理
装置での大口径ウェハ一括処理は、ドライエッチング以
外のプロセスにおいてもスループット増大の効果が大き
い。例えば、プラズマCVD装置、スパッタリング装
置、イオンミリング装置、プラズマドーピング装置等が
その例である。いずれの装置でも真空処理室が大型化す
ると処理室内の残留ガス量が増加し、例えば形成膜内へ
の残留ガス混入による膜質劣化等の問題が生ずるが、高
速排気によりこのような効果が低減でき、良質の薄膜を
形成できる。さらに、残留ガス量を膜形成のために必要
な値以下にする時間を高速排気により短縮でき、プロセ
ススループット向上を図ることも可能である。
【図面の簡単な説明】
【図1】本発明に係る高真空高速排気型のマイクロ波プ
ラズマエッチング装置の概略断面図である。
【図2】本発明に係る高真空高速排気型のマイクロ波プ
ラズマエッチング装置を用いたSiエッチングにおける
ガス流量とエッチング速度の関係を示す図である。
【図3】本発明に係る高真空高速排気型のマイクロ波プ
ラズマエッチング装置を用いたSiエッチングにおけ
る、ガス圧力とアンダーカット量の関係を示す図であ
る。
【図4】本発明に係る高真空高速排気型のマイクロ波プ
ラズマエッチング装置を用いたSiエッチングにおけ
る、ガス圧力とエッチ速度の関係を示す図である。
【図5】ガス流量を変化させた時の反応性ガスと反応生
成物の基板への入射割合を求めた計算結果を示す図であ
る。
【図6】ガス流量を変化させた時のガス滞在時間を求め
た計算結果を示す図である。
【図7】ガス滞在時間を変化させた時の反応性ガスと反
応生成物の基板への入射割合を求めた計算結果を示す図
である。
【図8】本発明に係る高真空高速排気型の反応性イオン
エッチング(RIE)装置の概略断面図である。
【図9】本発明に係るドライエッチング装置の概略断面
図である。
【図10】本発明に係るドライエッチング装置の部分平
面図である。
【図11】本発明に係るドライエッチング装置の部分平
面図である。
【図12】本発明に係るドライエッチング装置のガス配
管の構成を示した平面図である。
【図13】本発明に係るドライエッチング装置のガス配
管の構成を示した平面図である。
【図14】本発明に係るドライエッチング装置の概略断
面図である。
【図15】エッチング処理室の高さと幅の比と、エッチ
ング処理室内のガスの流れ密度の関係を、シミュレーシ
ョンにより求めた結果である。
【図16】従来のドライエッチング装置の概略断面図で
ある。
【図17】異なる実行排気速度に対するガス圧力とガス
流量との関係を示す図である。
【図18】実効排気速度とガス滞在時間との関係を真空
処理室容積をパラメ−タとして示す図である。
【図19】本発明に係る大型ベッセル高速排気反応性イ
オンエッチング(RIE)装置の概略図である。
【図20】高真空高速排気型のマイクロ波プラズマエッ
チング装置を用いたSiエッチングにおける、ガス流量
とエッチ速度の関係を示す図である。
【図21】本発明に係る大型ベッセル高速排気マイクロ
波プラズマエッチング装置の概略図である。
【図22】本発明に係るAlエッチ速度と実効排気速度
のグラフである。
【図23】本発明に係るSiエッチ速度とウェハ−EC
R面距離のグラフである。
【図24】本発明に係るウェハ表面への反応生成物とウ
ェハ−ECR面距離のグラフである。
【図25】本発明に係るAlエッチ速度と実効排気速度
のグラフである。
【図26】本発明に係るAlアンダーカット量と実効排
気速度のグラフである。
【図27】本発明に係るAlアンダーカット量とガス圧
力のグラフである。
【図28】本発明に係るAlエッチング深さ比(パター
ンサイズ依存)と実効排気速度のグラフである。
【図29】本発明に係る高速排気反応性イオンエッチン
グ(RIE)装置の概略図である。
【図30】本発明に係るAlエッチ速度とガス圧力のグ
ラフである。
【図31】本発明に係るAlエッチ速度と実効排気速度
のグラフである。
【図32】本発明に係るAlエッチ速度とガス滞在時間
のグラフである。
【図33】本発明の効果を適用する処理ガス圧力と実効
排気速度の範囲を示す図である。
【符号の説明】
1…真空処理室、2…マイクロ波発生器、3…導波管、
4…放電部、5…ガスプラズマ、6…ソレノイドコイ
ル、7…試料台、8…ウェハ、9…ガス導入口、10…
排気管、11…排気ポンプ、12…コンダクタンスバル
ブ、13…ガス流量コントローラ、14…ガス配管、1
5…バッファ室、16…冷却機構、17…RFバイア
ス、18…ヒータ、19…バタフライバルブ、20…ガ
スの流れ、21…マイクロ波動導入窓、22…上部電
極、23…ガス圧センサ 101…マイクロ波発生部、102…導波管、103…
マイクロ波導入窓、104…ガス導入口、105…ガス
配管、106…マスフローコントローラ、107…電磁
石、108…じゃま板、109…ウェハ、110…試料
台、111…チャンバー、112…高周波電源、113
…排気バッファ室、114…真空ポンプ、115…ガス
ボンベ、116…ガス導入バッファ室、117…エッチ
ング処理室201…真空処理室、202…高周波、20
3…ガスプラズマ、204…上部電極、205…下部電
極、206…ガス排気口、207…8インチウェハ、2
08…コンダクタンスバルブ、209…ガス流量コント
ローラ、210…ガス配管、211…ガス導入口、21
2…バッファ室、213…冷却機構、214…ヒータ、
215…排気ポンプ、216…放電部、217…マイク
ロ波発生器、RFバイアス、218…導波管、219…
ソレノイドコイル、220…ガスの流れ。
───────────────────────────────────────────────────── フロントページの続き (72)発明者 金友 正文 東京都国分寺市東恋ケ窪1丁目280番地 株式会社日立製作所中央研究所内 (72)発明者 組橋 孝生 東京都国分寺市東恋ケ窪1丁目280番地 株式会社日立製作所中央研究所内 (72)発明者 小林 淳一 東京都千代田区神田駿河台四丁目6番地 株式会社日立製作所内 (72)発明者 臼井 建人 茨城県土浦市神立町502番地 株式会社 日立製作所機械研究所内 (72)発明者 三瀬 信行 茨城県土浦市神立町502番地 株式会社 日立製作所機械研究所内 (56)参考文献 特開 昭61−174634(JP,A) 特開 平2−49977(JP,A) 特開 昭54−117919(JP,A) 特開 平2−39427(JP,A) 特開 昭51−50012(JP,A) 特開 平2−309631(JP,A) 特開 昭63−217620(JP,A) 特開 平2−52428(JP,A) 実開 平2−138424(JP,U)

Claims (5)

    (57)【特許請求の範囲】
  1. 【請求項1】処理室内に半導体基体を設置する工程と、 前記処理室内にガスを供給し、前記ガスをプラズマ化
    し、前記プラズマにより前記半導体基体の被処理面をエ
    ッチング処理する工程を含む半導体装置の製造方法であ
    って、 前記エッチング処理工程時において、前記処理室内のガ
    ス圧を1mTorr以下とし、前記処理室内の前記ガス
    の滞在時間を100msec以下とし、前記処理室を含
    む装置の総排気コンダクタンスを2000l/s以上
    で、前記ガスを800l/s以上の実効排気速度で排気
    する ことを特徴とする半導体装置の製造方法。
  2. 【請求項2】前記導入ガスの流速は、音速の1/3以下
    であることを特徴とする請求項1記載の半導体装置の製
    造方法。
  3. 【請求項3】前記導入ガスの流量は、40sccm以上
    であることを特徴とする請求項1記載の半導体装置の製
    造方法。
  4. 【請求項4】前記処理室のガス圧は、0.5mTorr
    以下、0.01mTorr以上であることを特徴とする
    請求項1記載の半導体装置の製造方法。
  5. 【請求項5】前記ガスの滞在時間は、50msec以下
    であることを特徴とする請求項1記載の半導体装置の製
    造方法。
JP06809892A 1991-04-04 1992-03-26 半導体装置の製造方法 Expired - Lifetime JP3323530B2 (ja)

Priority Applications (12)

Application Number Priority Date Filing Date Title
JP06809892A JP3323530B2 (ja) 1991-04-04 1992-03-26 半導体装置の製造方法
US07/859,336 US5242539A (en) 1991-04-04 1992-03-27 Plasma treatment method and apparatus
US08/034,126 US5318667A (en) 1991-04-04 1993-03-18 Method and apparatus for dry etching
US08/176,461 US5354418A (en) 1991-04-04 1994-01-03 Method for dry etching
US08/301,388 US5474650A (en) 1991-04-04 1994-09-07 Method and apparatus for dry etching
US08/570,689 US5650038A (en) 1991-04-04 1995-12-11 Method for dry etching
US08/861,600 US5795832A (en) 1991-04-04 1997-05-22 Method and apparatus for dry etching
US09/063,406 US6008133A (en) 1991-04-04 1998-04-21 Method and apparatus for dry etching
US09/480,477 US6136721A (en) 1991-04-04 2000-01-11 Method and apparatus for dry etching
US09/648,772 US6333273B1 (en) 1991-04-04 2000-08-28 Method and apparatus for dry etching
US10/024,580 US6562722B2 (en) 1991-04-04 2001-12-21 Method and apparatus for dry etching
US10/402,949 US7071114B2 (en) 1991-04-04 2003-04-01 Method and apparatus for dry etching

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
JP7146491 1991-04-04
JP367592 1992-01-13
JP4-3675 1992-01-13
JP3-71464 1992-01-13
JP06809892A JP3323530B2 (ja) 1991-04-04 1992-03-26 半導体装置の製造方法

Related Child Applications (5)

Application Number Title Priority Date Filing Date
JP7171784A Division JPH0845917A (ja) 1995-07-07 1995-07-07 プラズマ処理装置およびプラズマ処理方法
JP36405798A Division JPH11260797A (ja) 1998-12-22 1998-12-22 プラズマ処理方法及び半導体装置の製造方法
JP2000072453A Division JP3327285B2 (ja) 1991-04-04 2000-03-10 プラズマ処理方法及び半導体装置の製造方法
JP2000072452A Division JP2000299311A (ja) 1991-04-04 2000-03-10 プラズマ処理装置
JP2002108671A Division JP3752464B2 (ja) 1991-04-04 2002-04-11 半導体装置の製造方法

Publications (2)

Publication Number Publication Date
JPH05259119A JPH05259119A (ja) 1993-10-08
JP3323530B2 true JP3323530B2 (ja) 2002-09-09

Family

ID=27275928

Family Applications (1)

Application Number Title Priority Date Filing Date
JP06809892A Expired - Lifetime JP3323530B2 (ja) 1991-04-04 1992-03-26 半導体装置の製造方法

Country Status (2)

Country Link
US (1) US5242539A (ja)
JP (1) JP3323530B2 (ja)

Families Citing this family (376)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6008133A (en) * 1991-04-04 1999-12-28 Hitachi, Ltd. Method and apparatus for dry etching
US5474650A (en) * 1991-04-04 1995-12-12 Hitachi, Ltd. Method and apparatus for dry etching
US5318667A (en) * 1991-04-04 1994-06-07 Hitachi, Ltd. Method and apparatus for dry etching
US5368685A (en) * 1992-03-24 1994-11-29 Hitachi, Ltd. Dry etching apparatus and method
US6328845B1 (en) * 1993-03-18 2001-12-11 Hitachi, Ltd. Plasma-processing method and an apparatus for carrying out the same
US5759922A (en) * 1993-08-25 1998-06-02 Micron Technology, Inc. Control of etch profiles during extended overetch
US6069090A (en) 1994-01-11 2000-05-30 Matsushita Electric Industrial Co., Ltd. Method and apparatus for semiconductor device fabrication
JP2871460B2 (ja) 1994-05-20 1999-03-17 株式会社日立製作所 シリコンのエッチング方法
JP3847357B2 (ja) * 1994-06-28 2006-11-22 株式会社荏原製作所 真空系の排気装置
JP2701775B2 (ja) * 1995-03-17 1998-01-21 日本電気株式会社 プラズマ処理装置
EP0821395A3 (en) * 1996-07-19 1998-03-25 Tokyo Electron Limited Plasma processing apparatus
JP4356117B2 (ja) * 1997-01-29 2009-11-04 財団法人国際科学振興財団 プラズマ装置
JP2942239B2 (ja) * 1997-05-23 1999-08-30 キヤノン株式会社 排気方法及び排気装置、それを用いたプラズマ処理方法及びプラズマ処理装置
JP2000114245A (ja) 1998-10-05 2000-04-21 Hitachi Ltd 半導体集積回路装置およびその製造方法
JP3352418B2 (ja) 1999-01-28 2002-12-03 キヤノン株式会社 減圧処理方法及び減圧処理装置
US6417013B1 (en) 1999-01-29 2002-07-09 Plasma-Therm, Inc. Morphed processing of semiconductor devices
US6749763B1 (en) 1999-08-02 2004-06-15 Matsushita Electric Industrial Co., Ltd. Plasma processing method
JP2002025979A (ja) * 2000-07-03 2002-01-25 Hitachi Ltd 半導体集積回路装置の製造方法
US6716302B2 (en) * 2000-11-01 2004-04-06 Applied Materials Inc. Dielectric etch chamber with expanded process window
JP2003209097A (ja) * 2001-08-29 2003-07-25 Tokyo Electron Ltd ウエハ処理マシン
US6893506B2 (en) * 2002-03-11 2005-05-17 Micron Technology, Inc. Atomic layer deposition apparatus and method
WO2003085717A1 (fr) 2002-04-08 2003-10-16 Tokyo Electron Limited Procede de gravure au plasma
EP1365043B1 (de) * 2002-05-24 2006-04-05 Schott Ag Vorrichtung für CVD-Beschichtungen
US20050139321A1 (en) * 2002-07-03 2005-06-30 Tokyo Electron Limited Plasma processing apparatus
US7199064B2 (en) 2003-09-08 2007-04-03 Matsushita Electric Industrial Co., Ltd. Plasma processing method and apparatus
GB0329933D0 (en) * 2003-12-24 2004-01-28 Boc Group Plc Load lock
CN100517596C (zh) * 2004-06-29 2009-07-22 优利讯美国有限公司 减少时分复用蚀刻工艺中蚀刻纵横比相关度的方法和装置
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
WO2010024036A1 (ja) * 2008-08-28 2010-03-04 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理装置のクリーニング方法
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP2011049360A (ja) * 2009-08-27 2011-03-10 Tokyo Electron Ltd プラズマエッチング方法
US9117769B2 (en) 2009-08-27 2015-08-25 Tokyo Electron Limited Plasma etching method
KR102201934B1 (ko) 2009-08-31 2021-01-11 램 리써치 코포레이션 무선 주파수 (rf) 접지 복귀 장치들
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9029253B2 (en) 2012-05-02 2015-05-12 Asm Ip Holding B.V. Phase-stabilized thin films, structures and devices including the thin films, and methods of forming same
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
GB201309583D0 (en) * 2013-05-29 2013-07-10 Spts Technologies Ltd Apparatus for processing a semiconductor workpiece
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
TWI693638B (zh) * 2014-04-07 2020-05-11 美商蘭姆研究公司 獨立於配置的氣體輸送系統
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10557197B2 (en) 2014-10-17 2020-02-11 Lam Research Corporation Monolithic gas distribution manifold and various construction techniques and use cases therefor
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10215317B2 (en) 2016-01-15 2019-02-26 Lam Research Corporation Additively manufactured gas distribution manifold
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10927449B2 (en) * 2017-01-25 2021-02-23 Applied Materials, Inc. Extension of PVD chamber with multiple reaction gases, high bias power, and high power impulse source for deposition, implantation, and treatment
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10851457B2 (en) * 2017-08-31 2020-12-01 Lam Research Corporation PECVD deposition system for deposition on selective side of the substrate
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020147772A (ja) * 2019-03-11 2020-09-17 東京エレクトロン株式会社 成膜装置及び成膜方法
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
CN113445029A (zh) * 2020-03-25 2021-09-28 拓荆科技股份有限公司 双面沉积设备及方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS52126174A (en) * 1976-04-15 1977-10-22 Hitachi Ltd Microwave discharge device
JPS5673539A (en) * 1979-11-22 1981-06-18 Toshiba Corp Surface treating apparatus of microwave plasma
JPS5751265A (en) * 1980-09-10 1982-03-26 Hitachi Ltd Microwave plasma etching device
JPH0695501B2 (ja) * 1985-09-14 1994-11-24 日本電信電話株式会社 エッチング方法
US5000113A (en) * 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US4842683A (en) * 1986-12-19 1989-06-27 Applied Materials, Inc. Magnetic field-enhanced plasma etch reactor
JPH01268029A (ja) * 1988-04-20 1989-10-25 Hitachi Ltd 半導体製造装置の圧力制御方法
JPH0252428A (ja) * 1988-08-16 1990-02-22 Tokyo Electron Ltd 処理装置
JP2993675B2 (ja) * 1989-02-08 1999-12-20 株式会社日立製作所 プラズマ処理方法及びその装置
JPH0362517A (ja) * 1989-03-27 1991-03-18 Anelva Corp マイクロ波プラズマ処理装置
JPH0336723A (ja) * 1989-07-04 1991-02-18 Fujitsu Ltd 半導体装置の製造方法及び電子サイクロトロン共鳴エッチング装置

Also Published As

Publication number Publication date
US5242539A (en) 1993-09-07
JPH05259119A (ja) 1993-10-08

Similar Documents

Publication Publication Date Title
JP3323530B2 (ja) 半導体装置の製造方法
KR100234661B1 (ko) 이방성 에칭장치
US6423242B1 (en) Etching method
US5593539A (en) Plasma source for etching
KR100352770B1 (ko) 식각균일도개선을 위한 표면기복유도 플라즈마 향상기술
JPH08264515A (ja) プラズマ処理装置、処理装置及びエッチング処理装置
JPS63238288A (ja) ドライエツチング方法
JP2007531280A (ja) 最少スカラップ基板の処理方法
US7517468B2 (en) Etching method
JP2000299311A (ja) プラズマ処理装置
JP3408994B2 (ja) プラズマ処理装置及びプラズマ処理装置の制御方法
JP3752468B2 (ja) 半導体装置の製造方法
JP3327285B2 (ja) プラズマ処理方法及び半導体装置の製造方法
JP3752464B2 (ja) 半導体装置の製造方法
JP3583294B2 (ja) プラズマ放出装置及びプラズマ処理装置
JPH11260797A (ja) プラズマ処理方法及び半導体装置の製造方法
JPH0845917A (ja) プラズマ処理装置およびプラズマ処理方法
JP2000082698A (ja) プラズマ処理装置
JPH06163465A (ja) ドライエッチング装置
JP4128365B2 (ja) エッチング方法及びエッチング装置
JP3192352B2 (ja) プラズマ処理装置
JP3172340B2 (ja) プラズマ処理装置
JP3314403B2 (ja) 半導体集積回路装置の製造方法
JP3150044B2 (ja) プラズマ処理装置及びその制御方法
JPH05144773A (ja) プラズマエツチング装置

Legal Events

Date Code Title Description
FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080628

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090628

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100628

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100628

Year of fee payment: 8

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110628

Year of fee payment: 9

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110628

Year of fee payment: 9

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313111

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110628

Year of fee payment: 9

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120628

Year of fee payment: 10

EXPY Cancellation because of completion of term
FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120628

Year of fee payment: 10