TW201933496A - 在腔室調節中的抗氧化保護層 - Google Patents

在腔室調節中的抗氧化保護層 Download PDF

Info

Publication number
TW201933496A
TW201933496A TW107144067A TW107144067A TW201933496A TW 201933496 A TW201933496 A TW 201933496A TW 107144067 A TW107144067 A TW 107144067A TW 107144067 A TW107144067 A TW 107144067A TW 201933496 A TW201933496 A TW 201933496A
Authority
TW
Taiwan
Prior art keywords
film
chamber
wafer processing
processing chamber
protective layer
Prior art date
Application number
TW107144067A
Other languages
English (en)
Inventor
賴鋒源
龔伯
袁光璧
許宸華
巴德里 凡拉德拉彥
Original Assignee
美商蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商蘭姆研究公司 filed Critical 美商蘭姆研究公司
Publication of TW201933496A publication Critical patent/TW201933496A/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/24Optical enhancement of defects or not directly visible states, e.g. selective electrolytic deposition, bubbles in liquids, light emission, colour change
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

在一些範例中,一種用以調節晶圓處理腔室的方法包含:將該腔室中的壓力設定至預定壓力範圍內;將該腔室中的溫度設定至預定溫度範圍內;以及將一處理氣體混合物供應至該腔室內的氣體分配裝置。在該腔室內點燃電漿並監視該腔室中的狀態。基於偵測到所監視之狀態到達或越過閾值,而實行一腔室調節操作。該腔室調節操作可包含將一預調節薄膜沉積於該腔室的內表面上、將一碳氧化矽(SiCO)薄膜沉積於該預調節薄膜上、以及將一保護層沉積於該SiCO薄膜上。

Description

在腔室調節中的抗氧化保護層
本揭示內容大體上係關於晶圓處理腔室中的處理及調節循環,尤其係關於藉由在晶圓處理及腔室調節之操作中利用抗氧化保護層以減少缺陷及擴展批量大小。
此處所提供之先前技術說明係為了大體上介紹本發明之背景。在此先前技術章節中所敘述之範圍內之本案列名之發明人的成果、以及在申請時不適格作為先前技術之說明書的實施態樣,皆非有意地或暗示地被承認為對抗本發明之先前技術。
隨著時間推移,處理腔室中之重複的晶圓處理循環可能造成各種類型的薄膜累積於腔室壁上。隨著薄膜高度在反應腔室內的元件上逐漸地增長,薄膜應力可能增加,且累積的薄膜與腔室表面之間的附著力可能隨時間而顯著劣化。此附著力損失可能導致累積的薄膜之部分從腔室壁剝脫。當腔室內存在過多的薄膜累積時,累積的薄膜不會良好地附著於腔室壁且其開始剝離。剝離的薄膜之薄片及部分可能落於處理腔室中的晶圓上,並表現為粒子或缺陷。在一些情況下,富氧電漿使得薄膜剝脫加劇,因為首先其可能造成累積的薄膜收縮,而導致較高的張力,其次,其可能消耗在累積的薄膜中之碳物種,並因此導致薄膜附著力的進一步劣化。
在一些範例中,一種用以調節晶圓處理腔室的方法包含:將腔室中的壓力設定至預定壓力範圍內;將該腔室中的溫度設定至預定溫度範圍內;將一處理氣體混合物供應至該腔室內的氣體分配裝置,其中該處理氣體混合物包括至少包含氧物種、及氦或氬氣之氣體;在該腔室內點燃電漿;監視該腔室中的狀態;基於偵測到所監視之狀態到達或越過一閾值,而實行一腔室調節操作,其中該腔室調節操作包含:將一預調節薄膜沉積於該腔室的內表面上,將一碳氧化矽(SiCO)薄膜沉積於該預調節薄膜上,以及將一保護層沉積於該SiCO薄膜上。
以下的敘述包含實施本發明之說明性實施例的系統、方法、技術、指令序列、及計算機程式產品。在以下的敘述中,為了說明之目的,描述了大量的特定細節,以提供對範例實施例的徹底理解。然而,以下對於熟習本技藝者係清楚明白的:可在無該等特定細節之情況下實行本發明標的。
本專利文件之揭示內容之部分可能含有受到版權保護的材料。版權所有者不反對任何人對專利文件或專利揭示內容進行傳真重製,因為其出現於專利及商標局之專利檔案或紀錄中,但除此之外保留所有的版權。以下聲明適用於下文所描述及構成本文件之一部分之圖式中的任何資料:Copyright Lam Research Corporation, 2018, All Rights Reserved。
作為先前技術,晶圓處理腔室(例如Striker Carbide™處理腔室)可允許遠程電漿化學氣相沉積(RPCVD)技術產生高度保形矽碳化物薄膜。有些高度保形矽碳化物薄膜被稱為SPARC™薄膜。在腔室中之晶圓處理循環期間的許多時候,可能需要腔室清潔或調節之操作。當晶圓上缺陷性能偏離規格時可能需要腔室清潔。如上所述,若腔室內的薄膜累積過多,累積的薄膜可能開始剝脫並在受處理之晶圓上沉積粒子。此可能導致由在腔室中處理之晶圓所製成之晶片及裝置中的高程度缺陷。腔室清潔操作可將一些或全部的累積薄膜移除,以使缺陷性能再次回到規格中。
在腔室清潔之後,通常利用原子層沉積(ALD)以將氧化物膜(亦稱為預調節膜)之薄層沉積於處理腔室之一或更多內表面上,然後利用RPCVD以沉積另一碳氧化矽(SiCO)膜薄層。在一些情況下,腔室內的累積薄膜厚度僅由SiCO組成。由於SiCO薄膜易於被氧化,故透過富氧電漿在腔室內之受處理晶圓上所進行的進一步薄膜沉積使得氧化問題加劇。因此,在清潔之後塗佈SiCO薄膜未必解決缺陷性能劣化的問題。換言之,處理腔室之「缺陷性能」(或其防止缺陷在其內之受處理晶圓中發生的能力)可能隨時間而變得越來越差。
在其他習知方法中,在將SPARC™薄膜沉積於晶圓上之前,可執行晶圓預調節操作,以在將SPARC™薄膜塗佈於晶圓時使基板(晶圓)的表面狀態改質。晶圓預調節步驟可包含例如對晶圓表面塗佈起始層並施用其表面處理。但即使在此晶圓預調節操作期間,腔室表面上的薄膜層仍可能受到富氧電漿侵蝕。電漿可能使腔室表面上之預調節薄膜及腔室表面上之其它累積薄膜層的厚度減小。厚度減小(或收縮)可能導致腔室表面(包含例如腔室壁及噴淋頭)上之累積薄膜的「應力」本質上變得更為伸張性,同時預調節薄膜與後續膜層的附著力本身可能因類似原因而受影響。該等收縮及應力效應可能導致晶圓性能之早期劣化、腔室缺陷性能減弱、更多的晶圓上缺陷發生、以及批量大小減小,批量大小減小係因拒斥來自受影響晶圓之缺陷晶片或其他裝置而造成。
在本揭示內容的一些範例中,以上所討論的問題係透過實行週期性腔室調節來解決,其在腔室表面上產生高碳含量且抗氧化的保護層,俾補償薄膜應力並使富氧電漿侵蝕對預調節薄膜的影響減輕。腔室調節的範例觸發情況可包含(但不限於)偵測到缺陷性能位準劣化而接近或到達閾值、或偵測到累積薄膜應力位準接近或到達閾值。在一些範例中,閾值可與腔室內之累積薄膜的厚度相關,累積薄膜的厚度係在0.05至0.5 µm(微米)的範圍內。
在一些範例中,透過化學氣相沉積(CVD)以在腔室表面上形成保護層。沉積反應中所使用的範例化學品包括諸如矽烷或二矽烷的含矽物種、諸如氧或二氧化碳的含氧物種、及諸如氬或氦的稀釋氣體。該反應可發生於在0.1至10 Torr之範圍內的壓力下、及在100°C至600°C之範圍內的溫度下。當達到閾值腔室薄膜累積(例如,在0.05至0.5 µm之範圍內的厚度)時,腔室停止處理另外的晶圓。在一些範例中,處理停止可在偵測到閾值累積厚度時自動發生。在一些範例中,處理腔室係進一步配置以於其後在沒有晶圓支撐於處理腔室內之情況下開始沉積保護層。保護層之厚度可基於晶圓處理的類型而變化。範例厚度可落於50奈米(nm)至1微米(µm)的範圍內。在一些範例中,在處理腔室之表面上沉積初始的保護層之後,處理腔室可繼續處理晶圓直到腔室薄膜累積增加至進一步的閾值厚度(例如厚度加倍)為止。其他的閾值係可能的。當達到或接近進一步的閾值時,可再次將保護層沉積於初始層上,以使腔室的缺陷性能維持於給定的規格內。在一些範例中,保護層之沉積循環持續直到形成複合保護膜為止。在一些範例中,複合保護膜之形成持續直到其外層無法附著於複合膜為止、或直到以下情況為止:外層崩解無法防止先前塗佈於腔室內表面的初始薄膜剝離並以上述方式導致晶圓上缺陷及其他問題。
具有概括標示為400的薄膜成分層之範例保護層之剖面圖係顯示於圖4。此範例中的保護層400A-N係形成於預調節薄膜404上方,預調節薄膜404係形成於腔室壁的表面402之上。所示保護層400A-N在此範例中形成複合保護層,為清楚起見,之後將稱為複合保護膜。複合保護膜及其內之膜層的其他範例係可能的。可例如透過執行以上進一步討論的操作之一或多者而隨時間形成複合保護膜400A-N。複合保護膜400A-N可包含重複或交替的SiCO與保護層對(pairs),例如連續膜層400A至400N所示。可使成對的SiCO與保護層之生成重複循環,俾使複合保護膜400擴展,直到達到所監視之腔室狀態的閾值為止。範例閾值可為複合保護膜的厚度,例如雙層400N之外表面所示。
在一些範例中,為了改善處理腔室(例如Striker Carbide™腔室)的缺陷性能(亦即,使晶圓缺陷減至最少),在一或更多腔室表面上生成保護層以使由預調節操作所引起之薄膜損害最小化。一旦基於例如上述閾值之一或多者而偵測到反應腔室中的某些薄膜累積,即在一或更多腔室表面上沉積包含高碳含量SiCO薄膜的保護層。在一些範例中,保護層的固有應力本質上係壓縮性的,因此其可完全或部分地補償由預調節薄膜厚度收縮、或由富氧電漿在先前所塗佈之預調節薄膜中所引起的任何張應力。此等薄膜應力減小或收縮補償可有助於抑制由高張應力所引起的薄膜裂化及剝脫。
在一些範例中,由於保護層中存在過量碳原子,因此保護層可進一步用作犧牲層以防止富氧電漿侵蝕的影響。過量碳原子被富氧電漿犧牲性地消耗。因此,反應腔室內之剩餘的預調節薄膜的碳含量可相似於例如具有公知的優異附著力性質及缺陷性能的SPARC™薄膜。藉由本揭示內容之方法及系統,可顯著地改善並維持處理腔室(例如Striker Carbide™處理腔室)之缺陷性能。此外,如本文所述之經改善之腔室處理可產生比習知範例大250%的批量大小。有些範例有助於在高沉積速率下進行薄膜沉積,例如針對高碳保護層之在50至500埃(A)/min之範圍內的沉積速率。有些範例高碳保護層包含含量在30%至45%重量百分比之間的碳。有些範例保護層具有相對低的所需厚度,因此產生此類保護層對生產產能的影響係最小的。利用本文所述方法所進行的測試顯示:在一些範例中,批量大小可改善250%,同時維持達成該等批量大小之處理腔室的滿意的缺陷性能。
可在其中使用本揭示內容之一些範例操作的範例腔室(以及針對薄膜沉積及控制測試的適當腔室修改)係顯示於附圖的圖1中。電漿蝕刻(或沉積)設備包含一反應器,在其中有一腔室,(複數)反應氣體流過該腔室。在腔室內,將該等氣體電離為電漿,其通常係藉由射頻能量。電漿氣體的高反應性離子能夠與材料(例如被加工成積體電路(IC’s)的半導體晶圓之表面上的聚合物遮罩)進行反應。電漿氣體(例如富氧電漿氣體)可以上述方式與腔室中的其他材料(例如預調節膜或保護膜)進行反應。
在蝕刻之前,將晶圓放置於腔室中並藉由夾頭或固持件而加以固持於適當位置,夾頭或固持件使晶圓之頂表面暴露於電漿氣體。有若干類型的夾頭係在該技術中所公知的。夾頭提供等溫表面並用作晶圓的熱沈。在一類型中,藉由機械夾持的方式將半導體晶圓固持於適當位置。在另一類型的夾頭中,藉由夾頭與晶圓間之電場所產生的靜電力而將半導體晶圓固持於適當位置。本方法適用於以上兩種類型的夾頭。
圖1顯示一範例電容耦合式電漿處理腔室100,其表示通常用以在基板上蝕刻或進行薄膜沉積之類型的例示性電漿處理腔室。夾頭102表示範例工件固持器,可在蝕刻或沉積期間於其上安置基板(例如晶圓104)。可藉由任何合適的夾持技術(例如,靜電、機械、夾固、真空等)以實行夾頭102。在蝕刻期間,夾頭102通常係透過雙頻率源106以在蝕刻期間同時供應雙RF頻率(低頻及高頻),例如2 MHz及27 MHz。
上電極108係位在晶圓104上方。上電極108為接地的。圖1顯示一蝕刻反應器,其中上電極108之表面大於夾頭102及晶圓104之表面。在蝕刻期間,電漿110係由蝕刻劑來源氣體所形成,蝕刻劑來源氣體係經由氣體管線112而供應,並通過排放管線114而泵出。電絕緣體環109使上電極108與接地的腔室100絕緣。可將侷限環116設置於上電極108與下電極(例如圖1中之夾頭102)之間。一般而言,侷限環116協助將蝕刻電漿110限制於晶圓104上方的區域,俾改善製程控制並確保再現性。
當從雙頻功率源106將RF功率供應至夾頭102時,等電位場線被設置於晶圓104上方。等電位場線為橫跨電漿鞘的電場線,電漿鞘係位於晶圓104與電漿110之間。在電漿處理期間,正離子在等電位場線上加速而撞擊在晶圓104之表面上,從而提供期望的蝕刻效應,例如改善蝕刻方向性。由於上電極108與夾頭102的幾何,場線在整個晶圓表面可能係不均勻的,且可能在晶圓104之邊緣處顯著變化。因此,通常設置聚焦環118以改善在整個晶圓表面的處理均勻性。參照圖1,晶圓104係顯示為設置於聚焦環118之內,聚焦環118可由合適的介電材料(例如陶瓷、石英、塑膠等)所形成。因此,聚焦環118之存在使等電位場線能實質上均勻地設置於晶圓104的整個表面上。
導電屏蔽120實質上包圍聚焦環118。導電屏蔽120係配置為在電漿處理腔室內實質上接地。屏蔽120避免在聚焦環118外部存在不樂見的等電位場線。
現參照附圖之圖2,其顯示範例薄膜應力及厚度收縮結果的表200。此處,在一些範例中,基準薄膜係在沒有腔室預調節步驟之情況下形成於測試晶圓上,以作為對照範例。使用與基準薄膜相同的總沉積時間以在另一測試晶圓上產生第一比較性混合式薄膜,但在此情況下,在產生第一混合式薄膜的過程中,針對每十分之一的薄膜厚度,逐次執行以上進一步描述之類型的習知腔室預調節步驟達總共十次。從圖2的表200中可看出,預調節操作使得薄膜應力從對照基準薄膜的初始值23.9MPa增加將近400%至加壓之第一混合式薄膜之數值99 MPa。此外,薄膜經歷收縮(厚度減小),由對照基準薄膜的1313.0 (A)至第一混合式薄膜的1152.6 (A)。
繪示於圖3A-3B之圖形中的比較結果係關於第二混合式薄膜。第二混合式薄膜係形成於在腔室中受處理之測試晶圓上,該腔室係藉由使用如本文所例示的保護層而進行調節。採用視圖中包含標示Stn1至Stn4之四個站的象限模組,俾量測存在於各別薄膜內之各種沉積層的粒子大小(缺陷),該等薄膜係設置以受測試。加壓之第一混合式薄膜(以上在圖2中所測試之類型)的結果係圖示於圖3A中。形成於本揭示內容之經調節(受保護)之腔室中的第二混合式薄膜的結果係圖示於圖3B中。在圖3A-3B之視圖兩者中,在示圖的左側垂直軸上顯示粒子(缺陷)大小,且沿底部水平軸針對四個量測站之各者而顯示薄膜厚度(或累積)。
如圖所示,針對圖3A中所示的加壓之第一混合式薄膜,大於50 nm的粒子大小(亦即,缺陷)在四個站之各者、於大約0.8 µm之薄膜厚度時突增。相反地,圖3B中所示的經調節之第二混合式薄膜結果中發現非常少(若有)的缺陷,即使係在Stn1之大約2 µm(例如1.904 µm)的薄膜厚度深度時。顯示上控限(UCL)(例如,設於三個標準差)、下控限(LCL)、及平均值之各別數值,以及圖3B之經調節第二混合式薄膜的可論證改良。
鑒於上述情形,基於所示範例薄膜結果之可用批量大小(亦即,在腔室清潔之間於晶圓上沉積薄膜的程度)可於0.8 µm時加以建立,因為在更大的薄膜厚度時可看見顯著的缺陷發生。相反地,針對依據本揭示內容之方法而調節的薄膜,可用批量大小可於甚至約2 µm以上的薄膜厚度時加以建立,因為在此範例數值以下看似沒有顯著缺陷出現。
本揭示內容之一些實施例包含方法。參照圖5,用以調節晶圓處理腔室的方法500包含:在操作502,將腔室中的壓力設定至預定的壓力範圍;在操作504,將腔室中的溫度設定至預定的溫度;在操作506,將處理氣體混合物供應至腔室內的氣體分配裝置,其中該處理氣體混合物包括至少包含氧物種、及氦或氬氣之氣體;在操作508,於腔室內點燃電漿;在操作510,監視腔室中的狀態;在操作512,基於偵測到所監視之狀態到達或越過一閾值,而實行腔室調節操作,其中該腔室調節操作包含:在操作514,將預調節薄膜沉積於腔室的內表面上,在操作516,將碳氧化矽(SiCO)薄膜沉積於預調節薄膜上,以及在操作518,將保護層沉積於SiCO薄膜上。
方法500的一些態樣可能包含以下特徵或操作。在一些範例中,所監視之狀態包含腔室缺陷性能。在一些範例中,所監視之狀態包含薄膜應力值。在一些範例中,所監視之狀態包含腔室之內表面上的薄膜累積厚度。在一些範例中,薄膜累積厚度係在0.05至0.5 µm(微米)的範圍內。
在一些範例中,透過化學氣相沉積(CVD)而形成保護層。CVD反應中所使用的化學品可包括含矽物種,例如矽烷或二矽烷。
在一些範例中,保護層沉積期間之腔室預定壓力範圍係在0.1至10 Torr的範圍內。在一些範例中,保護層沉積期間之腔室預定溫度範圍係在100°C至600°C的範圍內。在一些範例中,保護層的厚度係在50奈米(nm)至1微米(µm)的範圍內。
在一些範例中,將碳氧化矽(SiCO)薄膜沉積於預調節薄膜上、及將保護層沉積於SiCO薄膜上之操作係相繼地重複執行,俾在複合保護膜內形成SiCO薄膜與保護層之成對層。
在一些範例中,保護層為高碳、抗氧化的保護層,其包含30%至45%之重量百分比的碳。
在一些範例中,方法500更包含在50至500埃(A)/min之範圍內的沉積速率下沉積保護層。
在一些範例中,點燃電漿之步驟包含將500至6000W之範圍內的HF功率供應至上電極與下電極之其中一者、以及將500至6000W之範圍內的LF功率供應至上電極與下電極之該其中一者。在一些範例中,點燃電漿之步驟包含將2000至4000W之範圍內的HF功率供應至上電極與下電極之其中一者、以及將1000至4000W之範圍內的LF功率供應至上電極與下電極之該其中一者。
雖然已參照特定的範例實施例而描述實施例,但顯然地,可在不偏離本發明標的之更廣泛精神及範疇之情況下對該等實施例進行各種修改及改變。因此,說明書及圖式係視為說明性的而非限制性。構成本文中之一部分的附圖係以說明(而非限制)的方式顯示特定實施例,可在該等特定實施例中實行標的。所示實施例係以足夠細節描述,俾使熟習本技藝者能夠實行本文所揭示之教示。可利用其他實施例及從中衍生其他實施例,使得可在不偏離本揭示內容之範疇的情況下進行結構與邏輯的替換及變化。因此此實施方式說明並非視為限制性的,且各種實施例之範疇僅由隨附之申請專利範圍、以及此等申請專利範圍所賦予之等效物的全部範圍所界定。
本發明標的之此等實施例在此可個別及/或共同地由用語「發明」所提及,其僅係為了方便,而非意圖將本申請案之範疇自願性地限制於任何單一的發明或發明概念(若事實上揭露多於一個發明或發明概念)。因此,雖然本文顯示並描述特定實施例,但應理解,為實現相同目的而計算的任何配置可替代所示特定實施例。本揭示內容係意圖涵蓋各種實施例之任何及所有的調整或變化。在閱讀以上說明後,上述實施例之組合、及本文未具體描述的其他實施例對於熟習本技藝者係清楚明白的。
100‧‧‧腔室
102‧‧‧夾頭
104‧‧‧晶圓
106‧‧‧雙頻功率源
108‧‧‧上電極
109‧‧‧電絕緣體環
110‧‧‧電漿
112‧‧‧氣體管線
114‧‧‧排放管線
116‧‧‧侷限環
118‧‧‧聚焦環
120‧‧‧導電屏蔽
200‧‧‧圖表
400A-N‧‧‧複合保護膜
402‧‧‧腔室壁表面
404‧‧‧預調節薄膜
500‧‧‧方法
502‧‧‧操作
504‧‧‧操作
506‧‧‧操作
508‧‧‧操作
510‧‧‧操作
512‧‧‧操作
514‧‧‧操作
516‧‧‧操作
518‧‧‧操作
在附圖之圖式中藉由範例而非限制的方式描述一些實施例:
圖1為晶圓處理腔室之示意圖,可在該晶圓處理腔室內使用本揭示內容的一些範例方法。
依據一些範例實施例,圖2顯示薄膜收縮及應力之結果的圖表。
依據一些範例實施例,圖3A-3B顯示所量測之缺陷性能的比較圖。
依據一範例實施例,圖4為複合保護層的剖面圖。
依據一範例實施例,圖5為繪示一方法中之操作的流程圖。

Claims (16)

  1. 一種用以調節晶圓處理腔室的方法,該方法包含: 將腔室中的壓力設定至預定壓力範圍內; 將該腔室中的溫度設定至預定溫度範圍內; 將一處理氣體混合物供應至該腔室內的氣體分配裝置,其中該處理氣體混合物包括至少包含氧物種、及氦或氬氣之氣體; 在該腔室內點燃電漿; 監視該腔室中的狀態; 基於偵測到所監視之狀態到達或越過一閾值,而實行一腔室調節操作,其中該腔室調節操作包含: 將一預調節薄膜沉積於該腔室的內表面上, 將一碳氧化矽(SiCO)薄膜沉積於該預調節薄膜上,以及 將一保護層沉積於該SiCO薄膜上。
  2. 如申請專利範圍第1項之用以調節晶圓處理腔室的方法,其中所監視之狀態包含腔室缺陷性能。
  3. 如申請專利範圍第1項之用以調節晶圓處理腔室的方法,其中所監視之狀態包含薄膜應力值。
  4. 如申請專利範圍第1項之用以調節晶圓處理腔室的方法,其中所監視之狀態包含該腔室之內表面上的薄膜累積之厚度。
  5. 如申請專利範圍第4項之用以調節晶圓處理腔室的方法,其中該薄膜累積之厚度係在0.05至0.5 µm(微米)的範圍內。
  6. 如申請專利範圍第1項之用以調節晶圓處理腔室的方法,其中透過化學氣相沉積(CVD)而形成該保護層。
  7. 如申請專利範圍第6項之用以調節晶圓處理腔室的方法,其中CVD反應中所使用的化學品包括含矽物種。
  8. 如申請專利範圍第7項之用以調節晶圓處理腔室的方法,其中該含矽物種包含矽烷或二矽烷。
  9. 如申請專利範圍第1項之用以調節晶圓處理腔室的方法,其中在沉積該保護層期間之該腔室的該預定壓力範圍係在0.1至10 Torr的範圍內。
  10. 如申請專利範圍第1項之用以調節晶圓處理腔室的方法,其中在沉積該保護層期間之該腔室的該預定溫度範圍係在100°C至600°C的範圍內。
  11. 如申請專利範圍第1項之用以調節晶圓處理腔室的方法,其中該保護層的厚度係在50奈米(nm)至1微米(µm)的範圍內。
  12. 如申請專利範圍第1項之用以調節晶圓處理腔室的方法,其中,將該碳氧化矽(SiCO)薄膜沉積於該預調節薄膜上、及將該保護層沉積於該SiCO薄膜上之該等操作係相繼地重複執行,俾在一複合保護膜內形成SiCO薄膜與保護層之成對層。
  13. 如申請專利範圍第1項之用以調節晶圓處理腔室的方法,其中該保護層為高碳抗氧化保護層,其包含30%至45%之重量百分比的碳。
  14. 如申請專利範圍第1項之用以調節晶圓處理腔室的方法,更包含在50至500埃(A)/min之範圍內的沉積速率下沉積該保護層。
  15. 如申請專利範圍第1項之用以調節晶圓處理腔室的方法,其中點燃該電漿之步驟包含將500至6000W之範圍內的HF功率供應至上電極與下電極之其中一者、以及將500至6000W之範圍內的LF功率供應至該上電極與該下電極之該其中一者。
  16. 如申請專利範圍第1項之用以調節晶圓處理腔室的方法,其中點燃該電漿之步驟包含將2000至4000W之範圍內的HF功率供應至上電極與下電極之其中一者、以及將1000至4000W之範圍內的LF功率供應至該上電極與該下電極之該其中一者。
TW107144067A 2017-12-07 2018-12-07 在腔室調節中的抗氧化保護層 TW201933496A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201762595948P 2017-12-07 2017-12-07
US62/595,948 2017-12-07

Publications (1)

Publication Number Publication Date
TW201933496A true TW201933496A (zh) 2019-08-16

Family

ID=66751182

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107144067A TW201933496A (zh) 2017-12-07 2018-12-07 在腔室調節中的抗氧化保護層

Country Status (6)

Country Link
US (2) US11761079B2 (zh)
JP (1) JP2021506126A (zh)
KR (1) KR20200086750A (zh)
CN (1) CN111448640A (zh)
TW (1) TW201933496A (zh)
WO (1) WO2019113351A1 (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9828672B2 (en) 2015-03-26 2017-11-28 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
JP2021506126A (ja) 2017-12-07 2021-02-18 ラム リサーチ コーポレーションLam Research Corporation チャンバ調整における耐酸化保護層

Family Cites Families (161)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4410395A (en) 1982-05-10 1983-10-18 Fairchild Camera & Instrument Corporation Method of removing bulk impurities from semiconductor wafers
US4892753A (en) 1986-12-19 1990-01-09 Applied Materials, Inc. Process for PECVD of silicon oxide using TEOS decomposition
US5154810A (en) * 1991-01-29 1992-10-13 Optical Coating Laboratory, Inc. Thin film coating and method
US5443686A (en) 1992-01-15 1995-08-22 International Business Machines Corporation Inc. Plasma CVD apparatus and processes
US5654475A (en) 1996-03-25 1997-08-05 Twenty-First Century Research Corporation Methods of making intermediate oxidation products by controlling oxidation rates in an atomized liquid
US5605859A (en) 1995-07-05 1997-02-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making insulator structure for polysilicon resistors
DE19538983A1 (de) 1995-10-19 1997-04-24 Siemens Ag Verfahren zum Beseitigen von Kristallfehlern in Siliziumscheiben
US5647953A (en) 1995-12-22 1997-07-15 Lam Research Corporation Plasma cleaning method for removing residues in a plasma process chamber
US5811356A (en) 1996-08-19 1998-09-22 Applied Materials, Inc. Reduction in mobile ion and metal contamination by varying season time and bias RF power during chamber cleaning
US5824375A (en) 1996-10-24 1998-10-20 Applied Materials, Inc. Decontamination of a plasma reactor using a plasma after a chamber clean
US6020035A (en) * 1996-10-29 2000-02-01 Applied Materials, Inc. Film to tie up loose fluorine in the chamber after a clean process
US6444037B1 (en) 1996-11-13 2002-09-03 Applied Materials, Inc. Chamber liner for high temperature processing chamber
TW460943B (en) 1997-06-11 2001-10-21 Applied Materials Inc Reduction of mobile ion and metal contamination in HDP-CVD chambers using chamber seasoning film depositions
US6534380B1 (en) 1997-07-18 2003-03-18 Denso Corporation Semiconductor substrate and method of manufacturing the same
JP3317209B2 (ja) 1997-08-12 2002-08-26 東京エレクトロンエイ・ティー株式会社 プラズマ処理装置及びプラズマ処理方法
US6121164A (en) 1997-10-24 2000-09-19 Applied Materials, Inc. Method for forming low compressive stress fluorinated ozone/TEOS oxide film
FI104383B (fi) 1997-12-09 2000-01-14 Fortum Oil & Gas Oy Menetelmä laitteistojen sisäpintojen päällystämiseksi
US5970383A (en) 1997-12-17 1999-10-19 Advanced Micro Devices Method of manufacturing a semiconductor device with improved control of deposition layer thickness
US6071573A (en) 1997-12-30 2000-06-06 Lam Research Corporation Process for precoating plasma CVD reactors
KR100323874B1 (ko) 1999-12-22 2002-02-16 박종섭 반도체 소자의 알루미늄 산화막 형성 방법
TW518686B (en) * 1999-12-29 2003-01-21 Tokyo Electron Ltd System for automatic control of the wall bombardment to control wall deposition
US7183177B2 (en) 2000-08-11 2007-02-27 Applied Materials, Inc. Silicon-on-insulator wafer transfer method using surface activation plasma immersion ion implantation for wafer-to-wafer adhesion enhancement
KR100375102B1 (ko) 2000-10-18 2003-03-08 삼성전자주식회사 반도체 장치의 제조에서 화학 기상 증착 방법 및 이를수행하기 위한 장치
US6800173B2 (en) 2000-12-15 2004-10-05 Novellus Systems, Inc. Variable gas conductance control for a process chamber
KR100382370B1 (ko) * 2001-01-12 2003-05-09 주성엔지니어링(주) 어닐링장치의 서셉터 전처리방법
US6696362B2 (en) 2001-02-08 2004-02-24 Applied Materials Inc. Method for using an in situ particle sensor for monitoring particle performance in plasma deposition processes
WO2002090614A1 (en) 2001-03-20 2002-11-14 Mattson Technology, Inc. Method for depositing a coating having a relatively high dielectric constant onto a substrate
JP2002343787A (ja) 2001-05-17 2002-11-29 Research Institute Of Innovative Technology For The Earth プラズマ処理装置およびそのクリーニング方法
DE10130340A1 (de) 2001-06-26 2003-01-02 Bhs Corr Masch & Anlagenbau Bahnspannungs-Regelungs-Vorrichtung für Wellpappeanlage
US6626188B2 (en) 2001-06-28 2003-09-30 International Business Machines Corporation Method for cleaning and preconditioning a chemical vapor deposition chamber dome
US20030013314A1 (en) 2001-07-06 2003-01-16 Chentsau Ying Method of reducing particulates in a plasma etch chamber during a metal etch process
US6720259B2 (en) 2001-10-02 2004-04-13 Genus, Inc. Passivation method for improved uniformity and repeatability for atomic layer deposition and chemical vapor deposition
JP2003146751A (ja) 2001-11-20 2003-05-21 Toshiba Ceramics Co Ltd 耐プラズマ性部材及びその製造方法
US6942929B2 (en) 2002-01-08 2005-09-13 Nianci Han Process chamber having component with yttrium-aluminum coating
JP2003224076A (ja) 2002-01-30 2003-08-08 Seiko Epson Corp 半導体製造装置の排ガス処理方法
US6776873B1 (en) 2002-02-14 2004-08-17 Jennifer Y Sun Yttrium oxide based surface coating for semiconductor IC processing vacuum chambers
US6815007B1 (en) 2002-03-04 2004-11-09 Taiwan Semiconductor Manufacturing Company Method to solve IMD-FSG particle and increase Cp yield by using a new tougher UFUN season film
US6818570B2 (en) * 2002-03-04 2004-11-16 Asm Japan K.K. Method of forming silicon-containing insulation film having low dielectric constant and high mechanical strength
US20030203123A1 (en) 2002-04-26 2003-10-30 Applied Materials, Inc. System and method for metal induced crystallization of polycrystalline thin film transistors
US7204913B1 (en) 2002-06-28 2007-04-17 Lam Research Corporation In-situ pre-coating of plasma etch chamber for improved productivity and chamber condition control
KR20040022056A (ko) 2002-09-06 2004-03-11 삼성전자주식회사 반응 챔버의 표면 처리 방법
WO2004044970A1 (ja) 2002-11-11 2004-05-27 Hitachi Kokusai Electric Inc. 基板処理装置
US20040134427A1 (en) 2003-01-09 2004-07-15 Derderian Garo J. Deposition chamber surface enhancement and resulting deposition chambers
US20040182833A1 (en) 2003-01-31 2004-09-23 Tokyo Electron Limited Method for manufacturing a substrate with a pre-seasoned plasma processing system
JP4959333B2 (ja) 2003-05-09 2012-06-20 エーエスエム アメリカ インコーポレイテッド 化学的不活性化を通じたリアクタ表面のパシベーション
US7914847B2 (en) 2003-05-09 2011-03-29 Asm America, Inc. Reactor surface passivation through chemical deactivation
US7138332B2 (en) * 2003-07-09 2006-11-21 Asm Japan K.K. Method of forming silicon carbide films
JP4171380B2 (ja) 2003-09-05 2008-10-22 株式会社日立ハイテクノロジーズ エッチング装置およびエッチング方法
KR100519798B1 (ko) 2003-12-11 2005-10-10 삼성전자주식회사 향상된 생산성을 갖는 박막 형성 방법
KR100557673B1 (ko) 2003-12-22 2006-03-06 어댑티브프라즈마테크놀로지 주식회사 플라즈마 장비를 시즌닝하는 방법
US7288284B2 (en) 2004-03-26 2007-10-30 Taiwan Semiconductor Manufacturing Co., Ltd. Post-cleaning chamber seasoning method
US20050221020A1 (en) 2004-03-30 2005-10-06 Tokyo Electron Limited Method of improving the wafer to wafer uniformity and defectivity of a deposited dielectric film
WO2006014753A1 (en) 2004-07-23 2006-02-09 Sundew Technologies, Llp Capacitors with high energy storage density and low esr
JP5115798B2 (ja) 2004-09-01 2013-01-09 アクセリス テクノロジーズ インコーポレーテッド フォトレジストの除去速度を増加する装置及びプラズマアッシング方法
US8088296B2 (en) 2004-09-01 2012-01-03 Shibaura Mechatronics Corporation Plasma processing device and plasma processing method
US20060093756A1 (en) 2004-11-03 2006-05-04 Nagarajan Rajagopalan High-power dielectric seasoning for stable wafer-to-wafer thickness uniformity of dielectric CVD films
KR100773755B1 (ko) 2004-11-18 2007-11-09 주식회사 아이피에스 플라즈마 ald 박막증착방법
US20060189171A1 (en) 2005-02-23 2006-08-24 Chua Choon A Seasoning process for a deposition chamber
US8163087B2 (en) 2005-03-31 2012-04-24 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7241690B2 (en) 2005-04-12 2007-07-10 Texas Instruments Incorporated Method for conditioning a microelectronics device deposition chamber
JP2006339253A (ja) * 2005-05-31 2006-12-14 Toshiba Corp プラズマ処理装置及びプラズマ処理方法
JP4492963B2 (ja) 2005-06-14 2010-06-30 ルネサスエレクトロニクス株式会社 薄膜の成膜方法、気相成長装置、プログラム
CN101278072A (zh) 2005-08-02 2008-10-01 麻省理工学院 使用nf3除去表面沉积物的方法
KR20080050403A (ko) 2005-08-02 2008-06-05 매사추세츠 인스티튜트 오브 테크놀로지 표면 침착물을 제거하고 화학 증착 챔버 내부의 내면을부동태화하는 방법
US7977149B2 (en) 2005-08-31 2011-07-12 Sumitomo Chemical Company, Limited Transistor, organic semiconductor device, and method for manufacture of the transistor or device
EP1989335A4 (en) 2005-11-23 2010-04-07 Surface Comb Inc SURFACE TREATMENT OF METAL OBJECTS IN AN ATMOSPHERIC OVEN
JP4476232B2 (ja) 2006-03-10 2010-06-09 三菱重工業株式会社 成膜装置のシーズニング方法
US7923376B1 (en) 2006-03-30 2011-04-12 Novellus Systems, Inc. Method of reducing defects in PECVD TEOS films
JP4866658B2 (ja) 2006-05-23 2012-02-01 東京エレクトロン株式会社 半導体製造装置
US8366953B2 (en) 2006-09-19 2013-02-05 Tokyo Electron Limited Plasma cleaning method and plasma CVD method
US20080118663A1 (en) 2006-10-12 2008-05-22 Applied Materials, Inc. Contamination reducing liner for inductively coupled chamber
US7704894B1 (en) 2006-11-20 2010-04-27 Novellus Systems, Inc. Method of eliminating small bin defects in high throughput TEOS films
US20080216302A1 (en) 2007-03-07 2008-09-11 Novellus Systems, Inc. Methods utilizing organosilicon compounds for manufacturing pre-seasoned components and plasma reaction apparatuses having pre-seasoned components
US7691755B2 (en) 2007-05-15 2010-04-06 Applied Materials, Inc. Plasma immersion ion implantation with highly uniform chamber seasoning process for a toroidal source reactor
KR100777043B1 (ko) * 2007-05-22 2007-11-16 주식회사 테스 비정질 탄소막 형성 방법 및 이를 이용한 반도체 소자의제조 방법
KR101400918B1 (ko) * 2007-05-31 2014-05-30 주성엔지니어링(주) 반도체 제조 장비 운용 방법
JP5276347B2 (ja) 2007-07-03 2013-08-28 国立大学法人 新潟大学 シリコンウェーハ中に存在する原子空孔の定量評価装置、その方法、シリコンウェーハの製造方法、及び薄膜振動子
US20090041952A1 (en) 2007-08-10 2009-02-12 Asm Genitech Korea Ltd. Method of depositing silicon oxide films
US20120122319A1 (en) 2007-09-19 2012-05-17 Hironobu Shimizu Coating method for coating reaction tube prior to film forming process
JP5201934B2 (ja) 2007-10-10 2013-06-05 東京エレクトロン株式会社 基板処理装置のメタル汚染低減方法
US9498845B2 (en) 2007-11-08 2016-11-22 Applied Materials, Inc. Pulse train annealing method and apparatus
US8129029B2 (en) 2007-12-21 2012-03-06 Applied Materials, Inc. Erosion-resistant plasma chamber components comprising a metal base structure with an overlying thermal oxidation coating
JP5011148B2 (ja) 2008-02-06 2012-08-29 大陽日酸株式会社 半導体装置の製造方法、クリーニング方法及び基板処理装置
US7968439B2 (en) 2008-02-06 2011-06-28 Applied Materials, Inc. Plasma immersion ion implantation method using a pure or nearly pure silicon seasoning layer on the chamber interior surfaces
CN102027574B (zh) 2008-02-08 2014-09-10 朗姆研究公司 等离子体处理室部件的保护性涂层及其使用方法
JP2009263764A (ja) 2008-04-01 2009-11-12 Hitachi Kokusai Electric Inc 半導体製造装置及び半導体装置の製造方法
US20090325391A1 (en) 2008-06-30 2009-12-31 Asm International Nv Ozone and teos process for silicon oxide deposition
US9222172B2 (en) 2008-08-20 2015-12-29 Applied Materials, Inc. Surface treated aluminum nitride baffle
JP5123820B2 (ja) 2008-10-27 2013-01-23 東京エレクトロン株式会社 基板処理装置の真空排気方法及び基板処理装置
US8017527B1 (en) 2008-12-16 2011-09-13 Novellus Systems, Inc. Method and apparatus to reduce defects in liquid based PECVD films
US8418744B2 (en) 2009-03-24 2013-04-16 Nonferrous Materials Technology Development Centre Molten metal casting die
KR100930580B1 (ko) 2009-07-17 2009-12-09 주식회사 티지 바이오텍 다물린 에이 및 다물린 비 함량이 증가된 신규 돌외추출물의 제조방법 및 이를 이용한 대사질환 치료용 약학 조성물
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US7989365B2 (en) * 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
KR200479181Y1 (ko) 2009-09-10 2015-12-30 램 리써치 코포레이션 플라즈마 처리 장치의 교체가능한 상부 체임버 부품
US20110151142A1 (en) 2009-12-22 2011-06-23 Applied Materials, Inc. Pecvd multi-step processing with continuous plasma
JP5514129B2 (ja) 2010-02-15 2014-06-04 東京エレクトロン株式会社 成膜方法、成膜装置、および成膜装置の使用方法
US8293658B2 (en) 2010-02-17 2012-10-23 Asm America, Inc. Reactive site deactivation against vapor deposition
JP5571770B2 (ja) 2010-03-08 2014-08-13 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置
KR20130055582A (ko) 2010-03-17 2013-05-28 어플라이드 머티어리얼스, 인코포레이티드 원격 플라즈마 소오스 지원형 실리콘 함유 막 증착을 위한 장치 및 방법
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
TW201210058A (en) 2010-05-12 2012-03-01 Applied Materials Inc Method of manufacturing crystalline silicon solar cells using epitaxial deposition
US8101531B1 (en) 2010-09-23 2012-01-24 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US20120097330A1 (en) 2010-10-20 2012-04-26 Applied Materials, Inc. Dual delivery chamber design
JP2012216696A (ja) 2011-04-01 2012-11-08 Hitachi Kokusai Electric Inc 基板処理装置及び半導体装置の製造方法
US20130064973A1 (en) 2011-09-09 2013-03-14 Taiwan Semiconductor Manufacturing Company, Ltd. Chamber Conditioning Method
JP6199292B2 (ja) 2011-09-23 2017-09-20 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated プラズマ活性化されるコンフォーマル誘電体膜
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
KR101926687B1 (ko) 2011-10-24 2018-12-07 엘지이노텍 주식회사 에피 웨이퍼 제조 장치, 에피 웨이퍼 제조 방법 및 에피 웨이퍼
EP2809455B1 (en) 2012-01-30 2018-04-04 Hemlock Semiconductor Operations LLC Method of repairing and/or protecting a surface in a reactor
CN103243310B (zh) 2012-02-14 2017-04-12 诺发系统公司 在衬底表面上的等离子体激活的保形膜沉积的方法
US8728955B2 (en) 2012-02-14 2014-05-20 Novellus Systems, Inc. Method of plasma activated deposition of a conformal film on a substrate surface
CN104272440B (zh) 2012-03-27 2017-02-22 诺发系统公司 用核化抑制的钨特征填充
KR102131581B1 (ko) 2012-03-27 2020-07-08 노벨러스 시스템즈, 인코포레이티드 텅스텐 피처 충진
US10211310B2 (en) * 2012-06-12 2019-02-19 Novellus Systems, Inc. Remote plasma based deposition of SiOC class of films
JP6257071B2 (ja) * 2012-09-12 2018-01-10 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
US9330899B2 (en) 2012-11-01 2016-05-03 Asm Ip Holding B.V. Method of depositing thin film
US20140127852A1 (en) 2012-11-07 2014-05-08 International Business Machines Corporation Low vacuum fabrication of microcrystalline solar cells
JP6201313B2 (ja) 2012-12-27 2017-09-27 セイコーエプソン株式会社 液体噴射ヘッド及び液体噴射装置
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US20150218700A1 (en) 2013-03-08 2015-08-06 Applied Materials, Inc. Chamber component with protective coating suitable for protection against flourine plasma
CN105190847A (zh) 2013-03-08 2015-12-23 应用材料公司 具有适于保护抵抗氟等离子体的保护涂层的腔室部件
US20140272184A1 (en) 2013-03-13 2014-09-18 Applied Materials, Inc. Methods for maintaining clean etch rate and reducing particulate contamination with pecvd of amorphous silicon filims
US9123651B2 (en) 2013-03-27 2015-09-01 Lam Research Corporation Dense oxide coated component of a plasma processing chamber and method of manufacture thereof
US9869020B2 (en) 2013-04-10 2018-01-16 Picosun Oy Protecting a target pump interior with an ALD coating
US9711334B2 (en) 2013-07-19 2017-07-18 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based thin film coatings on process rings
US9745658B2 (en) 2013-11-25 2017-08-29 Lam Research Corporation Chamber undercoat preparation method for low temperature ALD films
US9328416B2 (en) 2014-01-17 2016-05-03 Lam Research Corporation Method for the reduction of defectivity in vapor deposited films
US9236284B2 (en) 2014-01-31 2016-01-12 Applied Materials, Inc. Cooled tape frame lift and low contact shadow ring for plasma heat isolation
JP2017512375A (ja) 2014-01-31 2017-05-18 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated チャンバコーティング
US9976211B2 (en) 2014-04-25 2018-05-22 Applied Materials, Inc. Plasma erosion resistant thin film coating for high temperature application
US9551070B2 (en) 2014-05-30 2017-01-24 Applied Materials, Inc. In-situ corrosion resistant substrate support coating
US20150361547A1 (en) 2014-06-13 2015-12-17 Taiwan Semiconductor Manufacturing Co., Ltd Method and apparatus for cleaning chemical vapor deposition chamber
US9925639B2 (en) 2014-07-18 2018-03-27 Applied Materials, Inc. Cleaning of chamber components with solid carbon dioxide particles
JP6009513B2 (ja) 2014-09-02 2016-10-19 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
CN109023303A (zh) 2015-02-13 2018-12-18 恩特格里斯公司 衬底部分上的复合原子层沉积ald涂层及在衬底部分上形成经图案化ald涂层的方法
US9828672B2 (en) 2015-03-26 2017-11-28 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
US10023956B2 (en) 2015-04-09 2018-07-17 Lam Research Corporation Eliminating first wafer metal contamination effect in high density plasma chemical vapor deposition systems
CN107636374B (zh) 2015-05-07 2019-12-27 应用材料公司 一种波纹管和阀门组件
US20160329206A1 (en) * 2015-05-08 2016-11-10 Lam Research Corporation Methods of modulating residual stress in thin films
US20160375515A1 (en) 2015-06-29 2016-12-29 Lam Research Corporation Use of atomic layer deposition coatings to protect brazing line against corrosion, erosion, and arcing
US11326253B2 (en) 2016-04-27 2022-05-10 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
US11572617B2 (en) 2016-05-03 2023-02-07 Applied Materials, Inc. Protective metal oxy-fluoride coatings
US9850573B1 (en) 2016-06-23 2017-12-26 Applied Materials, Inc. Non-line of sight deposition of erbium based plasma resistant ceramic coating
US20180016678A1 (en) 2016-07-15 2018-01-18 Applied Materials, Inc. Multi-layer coating with diffusion barrier layer and erosion resistant layer
US20180057939A1 (en) 2016-08-31 2018-03-01 Electronics And Telecommunications Research Institute Manufacturing method of transparent electrode
US10400323B2 (en) 2016-11-04 2019-09-03 Lam Research Corporation Ultra-low defect part process
US10211099B2 (en) 2016-12-19 2019-02-19 Lam Research Corporation Chamber conditioning for remote plasma process
JP7282678B2 (ja) 2017-01-16 2023-05-29 インテグリス・インコーポレーテッド フルオロアニーリング膜でコーティングされた物品
US10975469B2 (en) 2017-03-17 2021-04-13 Applied Materials, Inc. Plasma resistant coating of porous body by atomic layer deposition
US20180337026A1 (en) 2017-05-19 2018-11-22 Applied Materials, Inc. Erosion resistant atomic layer deposition coatings
US20180347037A1 (en) 2017-06-05 2018-12-06 Applied Materials, Inc. Selective in-situ cleaning of high-k films from processing chamber using reactive gas precursor
US20190078200A1 (en) 2017-09-08 2019-03-14 Applied Materials, Inc. Fluorinated rare earth oxide ald coating for chamber productivity enhancement
US10134569B1 (en) * 2017-11-28 2018-11-20 Lam Research Corporation Method and apparatus for real-time monitoring of plasma chamber wall condition
JP2021506126A (ja) 2017-12-07 2021-02-18 ラム リサーチ コーポレーションLam Research Corporation チャンバ調整における耐酸化保護層
US10760158B2 (en) 2017-12-15 2020-09-01 Lam Research Corporation Ex situ coating of chamber components for semiconductor processing
US10704141B2 (en) 2018-06-01 2020-07-07 Applied Materials, Inc. In-situ CVD and ALD coating of chamber to control metal contamination
CN113196451A (zh) 2018-10-19 2021-07-30 朗姆研究公司 用于半导体处理的室部件的原位保护性涂层
US20220277936A1 (en) 2019-08-09 2022-09-01 Applied Materials, Inc. Protective multilayer coating for processing chamber components
US11976357B2 (en) 2019-09-09 2024-05-07 Applied Materials, Inc. Methods for forming a protective coating on processing chamber surfaces or components

Also Published As

Publication number Publication date
US20210164097A1 (en) 2021-06-03
CN111448640A (zh) 2020-07-24
US20230383401A1 (en) 2023-11-30
JP2021506126A (ja) 2021-02-18
US11761079B2 (en) 2023-09-19
WO2019113351A1 (en) 2019-06-13
KR20200086750A (ko) 2020-07-17

Similar Documents

Publication Publication Date Title
CN110391122B (zh) 基底加工装置以及基底加工方法
EP3673504B1 (en) Method and apparatus for surface preparation prior to epitaxial deposition
US11257685B2 (en) Apparatus and process for electron beam mediated plasma etch and deposition processes
US7226869B2 (en) Methods for protecting silicon or silicon carbide electrode surfaces from morphological modification during plasma etch processing
US7816272B2 (en) Process of cleaning a semiconductor manufacturing system and method of manufacturing a semiconductor device
US9607811B2 (en) Workpiece processing method
US20230383401A1 (en) Oxidation resistant protective layer in chamber conditioning
TWI324361B (zh)
US20220282366A1 (en) High density, modulus, and hardness amorphous carbon films at low pressure
US6863926B2 (en) Corrosive-resistant coating over aluminum substrates for use in plasma deposition and etch environments
US11495437B2 (en) Surface pretreatment process to improve quality of oxide films produced by remote plasma
US11610766B2 (en) Target object processing method and plasma processing apparatus
WO2020163132A1 (en) Plasma resistant component for a plasma processing chamber
KR20210116679A (ko) 프로세스 챔버를 세정하기 위한 방법
TW202213503A (zh) 矽之乾蝕刻方法
KR20220075426A (ko) 플라즈마 챔버 컴포넌트의 무기 코팅
US11875973B2 (en) Methods for preparing void-free coatings for plasma treatment components
US20230223292A1 (en) Flat bottom shadow ring
TW202409320A (zh) 用於減小半導體晶片中的可流動化學氣相沉積氧化物層的濕式蝕刻速率的方法以及系統
KR20210153379A (ko) 기판 처리 장치의 시즈닝 박막 형성 방법
JP2005142596A (ja) 半導体製造装置の洗浄方法、及び半導体装置の製造方法
JP2011127168A (ja) プラズマcvd装置