TWI602245B - 電漿活化之保形介電薄膜沉積 - Google Patents

電漿活化之保形介電薄膜沉積 Download PDF

Info

Publication number
TWI602245B
TWI602245B TW105101797A TW105101797A TWI602245B TW I602245 B TWI602245 B TW I602245B TW 105101797 A TW105101797 A TW 105101797A TW 105101797 A TW105101797 A TW 105101797A TW I602245 B TWI602245 B TW I602245B
Authority
TW
Taiwan
Prior art keywords
film
substrate
dopant
plasma
reactant
Prior art date
Application number
TW105101797A
Other languages
English (en)
Other versions
TW201616576A (zh
Inventor
史旺明內森珊卡
亨利強
M 豪斯曼恩丹尼斯
瑟藍莫尼恩普拉莫
西里蘭漫德彥
藍格拉傑費許旺納森
K 凱帝吉克西
史貴凡迪巴頓 J 凡
J 瑪克羅安組
Original Assignee
諾發系統有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US13/242,084 external-priority patent/US8637411B2/en
Application filed by 諾發系統有限公司 filed Critical 諾發系統有限公司
Publication of TW201616576A publication Critical patent/TW201616576A/zh
Application granted granted Critical
Publication of TWI602245B publication Critical patent/TWI602245B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/4554Plasma being used non-continuously in between ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02129Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being boron or phosphorus doped silicon oxides, e.g. BPSG, BSG or PSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02348Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to UV light
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76825Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by exposing the layer to particle radiation, e.g. ion implantation, irradiation with UV light or electrons etc.
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76837Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Description

電漿活化之保形介電薄膜沉積
本發明有關於保形介電薄膜沉積,且尤其關於電漿活化之保形介電薄膜沉積。
各種用於半導體之薄膜層可用原子層沉積(ALD)製程來沉積。然而,現有的ALD製程可能不合適用來沉積高度保形之介電薄膜。
於本文中揭露之各種實施態樣涉及在基板表面上沉積薄膜的方法及設備。在一些實施例中,該方法包含藉由薄膜在反應物吸附及反應之一或更多循環期間生長之表面介導反應來沉積薄膜。在一實施態樣中,該方法具有在吸附及反應的循環之間間歇遞送摻雜物種至薄膜之特徵。在一些情況下,可驅使摻雜物物種橫越基板表面至基板的摻雜區域。
在一實施態樣中,所揭露之方法在反應腔室中於基板表面上沉積薄膜。該方法可具有以下操作之特徵:(a)在允許第一反應物吸附至基板表面上的條件下,將第一反應物導入反應腔室中;(b)在第一反應物吸附在基板表面上時,將第二反應物導入反應腔室中;(c)使基板表面曝露至電漿以驅動基板表面上第一及第二反應物之間的反應,從而形成薄膜的一部份;(d)重複(a)-(c)至少一 次;(e)在允許含摻雜物材料接觸薄膜之曝露表面的條件下,將未在(a)-(d)期間導入之含摻雜物材料導入反應腔室中;以及(f)自含摻雜物材料將摻雜物導入薄膜中。將摻雜物導入薄膜中可涉及使含摻雜物材料曝露至電漿。
在各種實施例中,該方法額外包含自薄膜將摻雜物驅入其上存留薄膜之基板表面的特徵部中。可藉由回火該薄膜來完成自薄膜驅入摻雜物。在一些應用中,薄膜存留在基板表面之三維特徵部上並從而自該薄膜驅入摻雜物提供摻雜物進入該特徵部之保形擴散。在一特定的應用中,特徵部具有不大於約40奈米的寬度。
在一些實施例中,該薄膜係介電薄膜。在一些情況下,總薄膜厚度介於約10-100埃(Angstroms)之間。在各種實施例中,薄膜中摻雜物的濃度介於約0.01及10重量百分率之間。
在一些實施例中,此實施態樣之方法額外包含在(e)或(f)之後重複(a)-(c)。在一些實施例中,此實施態樣之方法額外包含在重複(a)-(e)。在一些實施例中,於(a)-(c)期間所沉積之薄膜數量介於約0.5至1埃之間。
在一些實施例中,該方法額外包含在使基板表面曝露至電漿之前自反應腔室清除第二反應物。可藉由使包含氧化劑之氣體流入反應腔室中來完成該清除。在一些實施例中,第一及第二反應物以氣相共存於反應腔室中,並且第一及第二反應物在反應腔室中不明顯互相反應直到在(c)之中曝露至電漿為止。
在一些實施例中,第一反應物係例如一氧化二氮之氧化劑。在一些實施例中,第二反應物係介電質前驅物,例如(i)烷胺基矽烷(alkylamino silanes)(SiHx(UR2)4-x),其中x=1-3,並且R包含烷基;或(ii)鹵素矽烷(halosilanes)(SiHxY4-x),其中x=1-3,並且Y包含Cl、Br、以及I。在一具體實施例中,第二反應物係BTBAS。在一些實施例中,含摻雜物材料係磷化氫、砷化 氫、烷基硼、烷基鎵、烷基磷、磷鹵化物、砷鹵化物、鎵鹵化物、硼鹵化物、烷基硼、或乙硼烷。
在另一實施態樣中,所揭露之方法在反應腔室中於基板表面上沉積介電薄膜。此方法可具有以下操作之特徵:(a)在允許第一反應物吸附至基板表面上的條件下,使氧化劑流入反應腔室中;(b)在氧化劑持續流入反應腔室時,將介電質前驅物導入反應腔室中;(c)使基板表面曝露至電漿以驅動基板表面上介電質前驅物及氧化劑之間的反應,從而形成薄膜的一部份;(d)在允許含摻雜物材料接觸薄膜之曝露表面的條件下,將未在(a)-(c)期間導入之含摻雜物材料導入反應腔室中;以及(e)使摻雜物自含摻雜物材料結合至介電薄膜中。在一實施例中,介電質前驅物係BTBAS或如先前實施態樣中所確認之另一前驅物。
此外,該方法可能需要重複操作(a)-(c)一或更多次。在一具體範例中,當(a)最先執行時,氧化劑包含氧對氮之第一比率;然而當(a)隨後才執行時,氧化劑包含氧對氮之第二比率。第二比率小於第一比率。舉例而言,當(a)最先執行時,氧化劑可包含元素氧;然而當(a)重複時,氧化劑包含一氧化二氮。在一些實施例中,當(c)最先執行時,基板處於第一溫度下;而且當(c)重複時,基板處於第二溫度下,其高於第一溫度。
在一些情況下,該方法更包含將摻雜物自介電薄膜驅入基板中。在一些實施例中,該方法更包含在(a)之前使基板表面與含摻雜物材料接觸。
在另一實施態樣中,所揭露之方法根據以下操作在反應腔室中於基板表面上沉積薄膜:(a)在允許前驅物吸附至基板表面上的條件下,將介電質前驅物導入反應腔室中;(b)之後在前驅物保持吸附在基板表面上時,自反應腔室清除介電質前驅物;(c)使基板表面曝露至電漿以驅動基板表面上介電質前驅物的反應,從而形成介電薄膜的一部份;以及(d)在允許摻雜物前驅物接觸部份介電薄膜的條件下,將未在(a)-(c)期間導入之摻雜物前驅物導入反應腔室中。在 一些實施例中,該方法額外涉及在(a)-(c)之前及期間使氧化劑流入反應腔室中。在一些情況下,該方法額外涉及使摻雜物前驅物反應以將摻雜物併入至薄膜中。
又另一實施態樣涉及用以在基板表面上沉積薄膜之設備。該設備可具有以下特徵部之特徵:一反應腔室包含摻雜介電薄膜的沉積期間用以夾持基板之裝置;耦接至反應腔室之一或更多處理氣體進氣口;以及一控制器。該控制器係設計或配置以造成該設備執行以下操作:(a)在允許第一反應物吸附至基板表面上的條件下,將第一反應物導入反應腔室中;(b)在第一反應物吸附在基板表面上時,將第二反應物導入反應腔室中;(c)使基板表面曝露至電漿以驅動基板表面上第一及第二反應物之間的反應,從而形成薄膜的一部份;(d)重複(a)-(c)至少一次;(e)在允許含摻雜物材料接觸薄膜之曝露表面的條件下,將未在(a)-(d)期間導入之含摻雜物材料導入反應腔室中;以及(f)將摻雜物自含摻雜物材料導入薄膜中。控制器可設計或配置以指示例如那些依據其他實施態樣所討論的其他方法之實行。
在一些實施例中,控制器更設計或配置以造成該設備在(a)-(d)之前及期間使氧化劑流入反應腔室中。在一些實施例中,該控制器更設計或配置以造成在(e)或(f)之後重複(a)-(c)。在一些實施例中,該控制器更設計或配置以造成將摻雜物自薄膜驅入其上存留薄膜之基板表面的特徵部中。可藉由回火該薄膜來完成自薄膜驅入摻雜物。在一些實施例中,該控制器更設計或配置以造成在(a)-(d)之一或更多重複之間的間隔執行(e),且其中該間隔在沉積薄膜的過程中改變。
在各種實施例中,該控制器更設計或配置以造成在使基板表面曝露至電漿之前自反應腔室清除第二反應物。在一範例中,藉由在控制器的指示下使包含氧化劑之氣體流入反應腔室中來完成清除。
以下將參考相關的圖式更詳細地敘述這些及其他特徵。
100‧‧‧時序圖
110A、110B‧‧‧沉積循環
120A、120B‧‧‧A曝露階段
130‧‧‧反應物A後飽和曝露時間
140A、140B‧‧‧B曝露階段
150‧‧‧反應物B後飽和曝露時間
160A‧‧‧清除階段
160B‧‧‧清除階段
180A、180B‧‧‧電漿活化階段
190‧‧‧電漿後飽和曝露時間
200‧‧‧時序圖
210‧‧‧沉積循環
240A、240B‧‧‧B曝露階段
260A‧‧‧清除階段
300‧‧‧時序圖
310‧‧‧沉積階段
380‧‧‧電漿活化階段
390‧‧‧電漿處理循環
390A‧‧‧電漿處理清除階段
390B‧‧‧電漿處理活化階段
400‧‧‧時序圖
500‧‧‧比較
502、504‧‧‧製程
600‧‧‧相關性
700‧‧‧相關性
702、704‧‧‧CFD二氧化矽薄膜
800‧‧‧非平面基板
802、802A、802B、802C‧‧‧間隙
804‧‧‧保形薄膜
900‧‧‧時序圖
902‧‧‧CFD製程階段
904‧‧‧過渡階段
904A‧‧‧B曝露階段
904B‧‧‧電漿活化階段
906‧‧‧PECVD製程階段
1000‧‧‧結構
1002‧‧‧基板
1006‧‧‧較厚之薄膜
1008‧‧‧孔洞
1100‧‧‧時序圖
1102‧‧‧沉積階段
1104‧‧‧蝕刻階段
1106‧‧‧沉積階段
1200‧‧‧非平面基板
1202‧‧‧間隙
1204‧‧‧薄膜
1204A‧‧‧上部區域
1204B‧‧‧下部區域
1206‧‧‧凹入部
1300‧‧‧處理站
1301‧‧‧反應物遞送系統
1302‧‧‧處理腔室本體
1303‧‧‧汽化點
1304‧‧‧混合容器
1306‧‧‧噴淋頭
1308‧‧‧基座
1310‧‧‧加熱器
1314‧‧‧RF電力供應
1316‧‧‧匹配網路
1318‧‧‧蝶形閥
1320‧‧‧混合容器進氣閥
2400‧‧‧處理工具
2402‧‧‧入站裝載鎖
2404‧‧‧出站裝載鎖
2406‧‧‧機械臂
2408‧‧‧箱體
2410‧‧‧大氣口
2412‧‧‧基座
2414‧‧‧處理腔室
2416‧‧‧腔室運送口
2418‧‧‧加熱基座
2450‧‧‧系統控制器
2452‧‧‧處理器
2454‧‧‧大量儲存裝置
2456‧‧‧記憶體裝置
2458‧‧‧系統控制軟體
2490‧‧‧晶圓搬運系統
2502‧‧‧介電隔離層
圖1示意地顯示根據本發明之實施例之範例性保形薄膜沉積(CFD)製程的時序圖。
圖2示意地顯示根據本發明之實施例之另一範例性CFD製程的時序圖。
圖3示意地顯示根據本發明之實施例之另一範例性CFD製程的時序圖。
圖4示意地顯示根據本發明之實施例之包含電漿處理循環之範例性CFD製程的時序圖。
圖5顯示根據本發明之實施例所沉積薄膜的濕蝕刻速率比率與沉積溫度之間的範例相關性。
圖6顯示根據本發明之實施例所沉積薄膜的濕蝕刻速率比率與薄膜應力之間的範例相關性。
圖7顯示根據本發明之實施例所沉積薄膜的薄膜污染物濃度與沉積溫度之間的範例相關性。
圖8示意地顯示包含複數間隙之非平面基板的範例橫剖面。
圖9示意地顯示根據本發明之實施例之包含過渡至PECVD製程的範例性CFD製程的時序圖。
圖10示意地顯示包含鎖眼孔洞之間隙填充的範例橫剖面。
圖11示意地顯示根據本發明之實施例之包含原位蝕刻的範例性CFD製程的時序圖。
圖12A示意地顯示凹入間隙填充輪廓之範例橫剖面。
圖12B示意地顯示根據本發明之實施例於原位蝕刻製程期間圖12A的凹入間隙填充輪廓的範例橫剖面。
圖12C示意地顯示根據本發明之實施例在原位蝕刻之後的沉積製程期間圖12B的間隙填充輪廓的範例橫剖面。
圖13示意地顯示根據本發明之實施例的範例處理站。
圖14示意地顯示根據本發明之實施例之包含複數處理站及一控制器的範例處理工具。
圖15示意地顯示根據本發明之實施例於包含原位蝕刻的CFD製程期間直通矽穿孔的範例橫剖面圖。
圖16圖例說明具有三維閘極結構之電晶體,其中源極和汲極係形成為難以藉由習知離子植入技術來摻雜之細薄垂直結構。
圖17以沿著x軸前進時間由左至右呈現基線CFD操作的順序。
圖18及19繪示其中將摻雜物沉積在與下方基板的界面處、後接以摻雜物遞送散置其中之CFD循環、並且選擇性地用可為CFD氧化物薄膜之未摻雜保護「覆蓋」層完成之實施例。
圖20顯示用以合成CFD BSG/PSG薄膜之典型沉積組塊。
圖21顯示在密集和分離的結構上之CFD薄膜的階梯覆蓋估計為~100%。
圖22呈現之SIMS資料顯示CFD薄膜中的平均硼濃度可調整在約0.5-3.5wt%硼的範圍中。
半導體裝置的製造通常涉及:在一整合製程中,於非平面基板上沉積一或更多薄膜。在一些整合製程的實施態樣中,沉積與基板表面狀態一致 之薄膜是有幫助的。例如,可在提高之閘極堆疊的頂部上沉積氮化矽薄膜作為用以保護少量摻雜源極和汲極區域免於受到後續之離子植入製程影響的間隔層。
在間隔層沉積製程中,化學氣相沉積(CVD)製程可用以在非平面基板上形成氮化矽薄膜,接著將該氮化矽薄膜加以非等向蝕刻以形成間隔層。然而,隨著閘極堆疊之間的距離減小,CVD氣相反應的質量傳輸限制可能導致「麵包條」(“bread-loafing”)沉積效應。如此效應通常展現在閘極堆疊的頂面處之較厚沉積、以及在閘極堆疊的底角處之較薄沉積。此外,因為一些晶粒可具有不同裝置密度的區域,所以晶圓表面範圍之質量傳輸效應可導致晶粒內和晶圓內的薄膜厚度差異。這些厚度差異可導致一些區域的過蝕刻及其他區域的蝕刻不足。這可能降低裝置表現及/或晶粒良率。
對應這些問題的一些方法涉及原子層沉積(ALD)。與其中使用熱活化氣相反應以沉積薄膜之CVD製程相比,ALD製程使用表面介導沉積反應在逐層基礎上沉積薄膜。在一ALD製程的範例中,使包含表面活性部位之群體的基板表面曝露至第一薄膜前驅物(P1)之氣相分佈。一些P1的分子可在包含P1的化學吸附物種及物理吸附分子之基板表面的頂上形成凝相。然後排空反應器以移除氣相及物理吸附P1使得僅化學吸附物種存留。然後將第二薄膜前驅物(P2)導入反應器以使一些P2的分子吸附至基板表面。可再次將反應器排空,此次係用以移除未結合的P2。隨後,提供至基板之熱能使吸附之P1和P2的分子之間的表面反應活化,從而形成薄膜層。最後,將反應器排空以移除反應副產物以及可能未反應的P1和P2,而結束ALD循環。可包含額外ALD循環以增長薄膜厚度。
依據前驅物施用步驟的曝露時間及前驅物的黏附係數,在一實例中每一ALD循環可沉積介於二分之一埃及三埃厚之薄膜層。因此,當沉積大於 數奈米(nanometers)厚的薄膜時,ALD製程會很耗時。又,一些前驅物可具有長曝露時間以沉積保形薄膜,而其亦可減低晶圓吞吐量時間。
亦可將保形薄膜沉積在平面基板上。例如,可由包含交替薄膜類型之平面堆疊形成用於微影圖案化應用之抗反射層。如此之抗反射層可為大約100至1000埃厚,因而使得ALD製程比CVD製程較不具吸引力。然而,如此之抗反射層亦可具有比許多CVD製程可提供較為低的晶圓內厚度差異之允差。例如,600埃厚的抗反射層可容許小於3埃的厚度範圍。
因此,在本文中提供之各種實施例提供用於在非平面及平面基板上之電漿活化保形薄膜沉積(CFD)的製程及設備。這些實施例包含部份但非全部CFD製程中所採用的各種特徵。這些特徵之中為:(1)排除或減少自反應腔室「清除」反應物一者或二者所需的時間;(2)提供至少一反應物的連續流量,同時使一不同反應物間歇地流入反應腔室中;(3)在反應物其中一者存在於氣相中時,而不是當自反應腔室清除所有反應物時激發電漿;(4)用電漿處理所沉積之CFD薄膜以修改薄膜特性;(5)在藉由CFD沉積薄膜的第一部份之後,通常在相同的反應腔室中藉由PECVD來沉積薄膜的一部份;(6)在CFD階段之間蝕刻部份沉積之薄膜;以及(7)在僅薄膜沉積循環的情況下,藉由散佈摻雜物遞送循環來摻雜CFD薄膜。當然,此列表並非詳盡無遺。當考量到說明書的剩餘部份,則各種其他CFD特徵將顯而易見。
CFD「循環」的概念與本文中各種實施例的討論有關。通常一循環係執行一次表面沉積反應所需之最小一組操作。一循環的結果係在基板表面上產生至少一部份薄膜層。通常,CFD循環將僅包含那些遞送及吸附每一反應物至基板表面、且隨後使那些吸附之反應物發生反應以形成部份薄膜層之必要步驟。當然,該循環可包含一些輔助步驟,例如清除反應物或副產物之一者及/或處理所沉積之部份薄膜。一般而言,一循環僅包含唯一的操作序列之實例。 作為一範例,一循環可包含以下操作:(i)反應物A之遞送/吸附;(ii)反應物B之遞送/吸附;(iii)將B清除至反應腔室外;以及(iv)施加電漿以驅動A和B之表面反應,從而在該表面上形成部份薄膜層。
此刻將進一步討論以上提及之七項特徵。在以下敘述中,考量其中使一或更多反應物吸附至基板表面、並隨後藉由與電漿相互作用而反應以便在該表面上形成薄膜之CFD反應。
特徵1(反應物之連續流量)-使反應物A在CFD循環之一或更多部份期間持續流入反應腔室,然而反應物通常不會流入習知ALD中。在習知ALD中,只為了使反應物吸附至基板表面上的目的而流入反應物A。在ALD循環的其他階段,不流入反應物A。然而依照本文中所述之一些CFD實施例,反應物A不僅在與其吸附相關聯之階段期間流入,也在CFD循環之執行其他非吸附A的操作之階段期間流入。例如在許多實施例中,在該設備正在施用第二反應物(本文中的反應物B)時,使反應物A流入反應器中。因此,於至少一部份之CFD循環期間,反應物A和B共存於氣相中。此外,當施加電漿以驅動在基板表面處之反應時,可流入反應物A。注意到連續流入之反應物可連同例如氬之氣體載體遞送至反應腔室。
連續流量實施例之優點為所建立之流量避免由與流量開啟和關閉相關聯之流量的暫態初始化及穩定化所引起之延遲及流量差異。
作為一具體範例,可藉由使用主要反應物(有時稱為「固體成分」前驅物,或於此範例中簡稱「反應物B」)的保形薄膜沉積製程來沉積氧化物薄膜。二(第三丁基胺基)矽烷(BTBAS,bis(tert-butylamino)silane)係一如此主要反應物。在此範例中,氧化物沉積製程涉及如氧或一氧化二氮之氧化劑的遞送,該氧化劑在不同的曝露階段於主要反應物的遞送期間初始並持續流入。氧化劑亦於不同的電漿曝露階段期間持續流入。參見例如描述於圖1之序列。為了比 較,在習知ALD製程中,當固體成分前驅物遞送至反應器時,氧化劑的流量將停止。舉例而言,當遞送反應物B時,則反應物A的流量將停止。
在一些具體範例中,持續流入之反應物係「輔助」反應物。如同本文中所使用,「輔助」反應物係不為主要反應物以外之任何反應物。如以上建議,主要反應物包含在室溫下為固態之元素,該元素對藉由CFD形成之薄膜有幫助。如此元素的例子為金屬(例如鋁和鈦)、半導體(例如矽和鍺)、以及非金屬或準金屬(例如硼)。輔助反應物的例子包括氧、臭氧、氫、一氧化碳、一氧化二氮、氨、烷基胺、及其類似者。
持續流入之反應物能以固定流速、或以變動但受控之流速來提供。在後者情況下,作為一範例,於曝露階段期間當主要反應物遞送時,可降低輔助反應物之流速。舉例而言,在氧化物沉積中,於整個沉積序列期間氧化劑(例如氧或一氧化二氮)可持續流入,但當主要反應物(例如BTBAS)遞送時,可降低其流速。此增大BTBAS於其施用期間之分壓,從而減少使基板表面飽和所需之曝露時間。就在激發電漿前,可增大氧化劑的流量以降低於電漿曝露階段期間BTBAS存在的可能性。再一些實施例中,持續流入之反應物在二或更多沉積循環的過程中以變動之流速流動。舉例而言,反應物可於第一CFD循環期間以第一流速流動,並且於第二CFD循環期間以第二流速流動。
當採用多數反應物且其中一者之流量為連續時,於部份CFD循環期間其至少二者將共存於氣相中。同樣地,在遞送第一反應物之後而沒有執行清除步驟時,二反應物將共存。因此,採用在不施加活化能的氣相中不明顯互相反應之反應物可能很重要。通常,反應物應不反應直到存在於基板表面上、且曝露至電漿或另一適當的非熱活化條件為止。選取如此之反應物涉及至少(1)所期望反應之熱力學合適性(thermodynamic favorability)(Gibb之自由能<0)、以 及(2)該反應的活化能(其應足夠大以致於在所期望之沉積溫度下的反應可以忽略)之考量。
特徵2(減少或排除清除步驟)-在一些實施例中,該製程免除或減少與通常會在習知ALD中執行之清除步驟相關聯的時間。習知ALD中,在每一反應物遞送及吸附至基板表面上之後執行各別的清除步驟。在習知ALD清除步驟中,極少或沒有吸附或反應發生。在CFD循環中,在遞送反應物至少一者之後減少或排除清除步驟。圖1呈現其中移除清除步驟之製程序列的範例。不執行自反應腔室清除反應物A之清除步驟。在一些情況下,在CFD循環中遞送第一反應物之後不執行清除步驟,但是在遞送第二或最後遞送的反應物之後選擇性地執行清除步驟。
CFD「清除」步驟或階段的概念出現在本文中所討論的各種實施例中。一般而言,清除階段自反應腔室移除或清除氣相反應物之一者,並且通常只在如此反應物之遞送完成之後發生。換言之,反應物於清除階段期間不再遞送至反應腔室。然而,反應物於清除階段期間仍然吸附在基板表面上。通常,該清除用以在反應物吸附在基板表面上達到所期望之程度後移除腔室中任何殘留的氣相反應物。清除階段亦可自基板表面移除微弱吸附之物種(例如一些前驅物配子或反應副產物)。在ALD中,清除階段已被視為對於防止二反應物之氣相交互作用,或一反應物與用於表面反應的熱、電漿、或其他驅動力之交互作用是必要的。一般而言,並且除非於本文中另外指明,否則清除階段可藉由(i)排空反應腔室,及/或(ii)使不包含欲清除物種之氣體流入通過反應腔室來實現。在(ii)的情況下,如此氣體可例如為惰性氣體或輔助反應物(如持續流入之輔助反應物)。
清除階段之排除可在具有或不具有其他反應物之連續流量的情況下來實現。在圖1繪示之實施例中,並非將反應物A清除出去,而是在其吸附至基板表面上完成之後持續流入(由圖中之參考數字130圖示說明)。
在其中採用二或更多反應物之各種實施例中,使其清除步驟被排除或減少之反應物係輔助反應物。作為一範例,輔助反應物為氧化劑或氮源,並且主要反應物為含有矽、硼、或鍺之前驅物。當然,主要反應物之清除也可減少或排除。在一些範例中,在遞送輔助反應物之後不執行清除步驟,但在遞送主要反應物之後選擇性地執行清除步驟。
如所提及,清除階段不必完全排除,而是與習知ALD製程中的清除階段相比僅為減少持續時間。舉例而言,於CFD循環期間反應物(如輔助反應物)之清除階段可執行持續約0.2秒或更短,例如持續約0.001至0.1秒。
特徵3(在反應物之一者存在於氣相中時激發電漿)-有此特徵的情況下,在已將所有反應物自反應腔室清除之前激發電漿。此與其中僅在氣相反應物不再存在於反應腔室中之後提供電漿活化或其他反應驅動操作之習知ALD相反。注意到當反應物A在如圖1所示之CFD循環的電漿部份期間持續流入時,此特徵將必然存在。然而,所揭露之實施例不受限於此方式。一或更多反應物可於CFD循環的電漿部份期間流入而不必在CFD循環期間持續流入。此外,於電漿活化期間呈氣相存在之反應物可為主要反應物或輔助反應物(當CFD循環中採用二個以上反應物時)。
舉例而言,一序列可為(i)導入反應物A、(ii)清除A、(iii)導入反應物B同時在B流動時撞擊電漿、以及(iv)清除。在如此之實施例中,該製程採用來自氣相之電漿活化反應物物種。此為其中CFD不限制在連續步驟之序列的一般範例。
若在將固體成分前驅物(主要反應物)提供至反應器時的期間提供活化電漿,則階梯覆蓋可能變得較不保形,但沉積速率通常將增加。然而,若電漿活化僅於遞送一輔助反應物期間發生,則情況未必如此。電漿可活化氣相輔助成分以使其更易反應,並從而增加其在保形薄膜沉積反應中之反應性。在一些實施例中,在沉積如氧化物、氮化物、或碳化物之含矽薄膜時採用此特徵。
特徵4(沉積CFD薄膜之電漿處理)-在這些實施例中,電漿在保形薄膜沉積製程中可作為二或更多作用。其作用之一為在每一CFD循環期間活化或驅動薄膜形成反應。其另一作用為在一或更多CFD循環過後CFD薄膜已部份或完全沉積之後處理該薄膜。電漿處理欲修改一或更多薄膜特性。通常(儘管非必然)電漿處理階段係在不同於那些用以活化薄膜形成反應(即驅動薄膜形成反應)之條件下實施。作為一範例,電漿處理可在還原或氧化環境之存在下(例如氫或氧之存在下)執行,然而在CFD循環的活化部份期間情況未必如此。
電漿處理操作可在CFD製程的每一循環期間、在每隔一循環期間、或一些較不頻繁的基礎上執行。該處理可配合固定數目之CFD循環在規律間隔上執行,或其可變動地(例如以變動之CFD循環間隔)或甚至隨機地執行。在典型的範例中,執行數個CFD循環之薄膜沉積以達到適當的薄膜厚度,並隨後採用電漿處理。之後,於再次執行該處理之前,在沒有電漿處理的情況下再次執行數個CFD循環之薄膜沉積。後接電漿處理(薄膜修改)的此x數目個CFD循環之超級序列可重複直到藉由CFD完全形成薄膜為止。
在一些實施例中,可在CFD循環的初始化之前執行電漿處理以修改CFD薄膜沉積於其上之表面的一或更多特性。在各種實施例中,該表面係由矽(摻雜或未摻雜)或含矽材料製成。修改過的表面更能夠產生與隨後沉積之CFD 薄膜的高品質介面。該介面可經由例如減少缺陷等等而提供例如良好附著性、可靠電特性。
在CFD前的基板之預處理不限制在任何特定的電漿處理。在一些實施例中,預處理涉及在氦、氫、氬、氮、氫/氮形成氣體、及/或氨的存在下曝露至氫電漿、氮電漿、氮/氫電漿、氨電漿、氬電漿、氦電漿、氦回火、氫回火、氨回火、以及UV硬化。電漿處理可用包含(然而不限於)微波、ICP遠端、直接、以及其他本領域中具有通常技術者熟知的各種電漿產生器來實現。
總體而言,該處理可出現在CFD循環之前、期間、以及之後。當出現在CFD循環期間時,可對於適當的沉積條件選取該處理之頻率。通常,該處理將不比每循環一次更頻繁地出現。
作為一範例,考量用以從具有一些碳存在之前驅物形成氮化矽的製程。如此前驅物的例子包含BTBAS。碳出現在前驅物中的結果為如此沉積之氮化物薄膜包含一些碳雜質,其可降低氮化物的電特性。為了抵消此問題,在含碳前驅物的情況下於數個CFD循環後,在電漿存在下使部份沉積之薄膜曝露至氫以減少並最終移除碳雜質。
可選取用以修改薄膜表面之電漿條件以達到所期望之薄膜特性及/或成分的改變。在用於所期望之修改的可選擇及/或修改的電漿條件之中為氧化條件、還原條件、蝕刻條件、用於產生電漿之功率、用於產生電漿之頻率、使用二或更多頻率來產生電漿、電漿密度、電漿和基板之間的距離等等。可藉由電漿處理加以修改之CFD薄膜特性的例子包括內部薄膜應力、抗蝕刻性、密度、硬度、光學特性(折射率、反射性、光密度等等)、介電常數、碳含量、電特性(Vfb散佈等等)、及其類似者。
在一些實施例中,採用除了電漿處理以外的處理來修改所沉積薄膜之特性。如此之處理包括電磁輻射處理、熱處理(例如回火或高溫脈衝)、及其 類似者。這些處理之任一者可單獨或結合另一處理(包括電漿處理)來執行。如此處理之任一者可作為任一上述之電漿處理的替代。在一些具體實施例中,該處理涉及使薄膜曝露至紫外線輻射。如以下所述,在一具體實施例中,該方法涉及原位(即於薄膜的形成期間)施加UV輻射至氧化物CFD薄膜或氧化物之後沉積。如此之處理用以減少或消除缺陷結構,並提供改善之電性效能。
在一些具體實施例中,可將UV處理與電漿處理結合。此二操作可同時或相繼執行。在相繼的選項中,UV操作選擇性地首先發生。在同時的選項中,該二處理可由各自的源(例如用於電漿之RF電源與用於UV之燈)、或由單一源(如產生作為UV輻射之副產物的氦電漿)提供。
特徵5(藉由CFD沉積並隨後過渡至PECVD)-在如此之實施例中,所完成之薄膜係部份藉由CFD且部份藉由如PECVD之CVD製程而產生。通常,雖然並非必要,但若先執行沉積製程的CFD部份,則其次執行PECVD部份。混合之CFD/CVD製程可改善關於在單獨CVD的情況下所見之階梯覆蓋,並且額外改善關於在單獨CFD的情況下所見之沉積速率。在一些情況下,在一CFD反應物流入時施加電漿或其他活化作用以產生寄生CVD操作,並從而達到更高的沉積速率、不同等級的薄膜等等。
在一些實施例中,可採用二或更多CFD階段及/或可採用二或更多CVD階段。舉例而言,可藉由CFD沉積薄膜的初始部份、接著藉由CVD沉積薄膜中間部份、並且藉由CFD沉積薄膜的最後部份。在如此實施例中,在藉由CFD沉積薄膜的稍後部份之前,可能需要如藉由電漿處理或蝕刻來修改薄膜的CVD部份。
可在CFD和CVD階段之間採用過渡階段。在如此之過渡階段所採用的條件不同於那些在CFD或CVD階段所採用的條件。通常(儘管非必然)該條件允許同時的CFD表面反應與CVD型氣相反應。過渡階段通常涉及曝露至例如可 為脈衝式之電漿。又,過渡階段可涉及以低流速(即明顯低於該製程之對應CFD階段中所採用速率之速率)遞送一或更多反應物。
特徵6(藉由CFD沉積、蝕刻、並隨後更藉由CFD沉積)-在如此之實施例中,執行CFD沉積持續一或更多循環(通常為數次循環),並隨後蝕刻所產生之薄膜以移除例如一些位於或接近凹部入口(尖端)之過量薄膜,後接更多CFD沉積的循環。在沉積之薄膜中的結構特徵之其他範例可用類似方式加以蝕刻。為此製程選取之蝕刻劑將取決於欲蝕刻之材料。在一些情況下,可用含氟蝕刻劑(例如NF3)或氫來執行蝕刻操作。
在一些實施例中,採用遠端電漿以產生蝕刻劑。一般而言,遠端電漿以比直接電漿更等向的方式蝕刻。遠端電漿通常提供相對高的自由基分率至基板。這些自由基的反應性可隨凹部內的垂直位置而變化。在特徵部的頂部,自由基較集中且因此將以較高的速率蝕刻,而更往凹部下方且在底部處,一些自由基將喪失且因而其將以較低的速率蝕刻。這當然係用以應對過多沉積物出現在凹部開口的問題所期望之反應性曲線。於蝕刻中使用遠端電漿的額外益處為電漿相對和緩且因此不太會損壞基板層。這在下方基板層易受氧化或其他損壞影響時特別有利。
特徵7(以額外反應物修改薄膜成分)-本文中所提出的許多範例涉及採用一或二反應物之CFD製程。此外,許多範例在每一CFD循環採用相同的反應物。然而,情況未必如此。首先,許多CFD製程可採用三或更多反應物。例子包括(i)使用乙硼烷、六氟化鎢、和氫作為反應物之鎢CFD,以及(ii)使用乙硼烷、BTBAS、和氧作為反應物之氧化矽CFD。可將乙硼烷從生長中的薄膜移除、或若適當的話可使其結合至該薄膜中。
此外,一些範例可在僅一些CFD循環中採用額外反應物。在如此之範例中,基本CFD製程循環僅採用該反應物來產生基礎薄膜成分(例如氧化矽 或碳化矽)。此基本製程在所有或幾乎所有CFD循環中執行。然而,CFD循環的部份執行作為變體循環,且其偏離正常沉積循環的條件。例如,其可採用一或更多額外反應物。這些變體循環也可採用基本CFD製程中所採用之相同反應物,雖然情況未必如此。
如此之CFD製程特別有利於準備作為CFD薄膜之摻雜氧化物或其他摻雜材料。在一些實施例中,僅在CFD循環的一小部份中包含摻雜物前驅物作為「額外」反應物。添加摻雜物的頻率取決於所期望之摻雜物濃度。舉例而言,摻雜物前驅物可包含在基礎材料沉積的每第10個循環中。
不同於許多其他沉積製程(特別是那些需要熱活化的沉積製程),CFD製程可在相對低溫下實施。一般而言,CFD溫度將介於約20及400℃之間。可選取如此之溫度以允許在溫度敏感製程(如光阻芯(photoresist core)上之沉積)的情況下之沉積。在一具體實施例中,使用介於約20及100℃之間的溫度於雙圖案化應用(使用例如光阻芯)。在另一實施例中,採用介於約200及350℃之間的溫度於記憶體製作製程。
如以上所建議,CFD相當合適於先進技術節點中沉積薄膜。因此,例如可將CFD處理整合在32nm節點、22nm節點、16nm節點、11nm節點、以及其中任一者更往後的製程之中。這些節點敘述在國際半導體技術藍圖(ITRS)中,其為多年來於微電子技術需求上之業界共識。通常其參考記憶體單元間距的二分之一。在一具體範例中,CFD處理應用於「2X」裝置(具有20-29nm範圍中之裝置特徵)以及其以上之裝置。
雖然本文中所提出之CFD薄膜的大多數範例涉及矽基微電子裝置,但該薄膜亦可在其他領域中找到應用。使用非矽半導體(如GaAs及其他III-V族半導體,以及如HgCdTe之II-VI族材料)之微電子工程或光電工程可從使用揭露 於本文中之CFD製程而獲益。至於保形介電薄膜在太陽能領域(如光伏裝置)、電致變色領域、及其他領域中的應用係可行的。
圖1示意地顯示電漿活化CFD製程之示範實施例的時序圖100。圖中繪示二個完全的CFD循環。如所示般,每一循環包含曝露至反應物A階段120A或120B、立刻後接曝露至反應物B階段140A或140B、反應物B清除階段160A或160B、以及最後電漿活化階段180A或180B。於電漿活化階段180A及180B期間所提供之電漿能量使表面吸附反應物種A及B之間的反應活化。在所描述之實施例中,在遞送一反應物(反應物A)之後不執行清除階段。事實上,此反應物於薄膜沉積製程期間持續流入。因此,在反應物A於該氣相中時激發電漿。以上特徵1-3體現於圖1的範例中。
所描述之實施例中,反應物氣體A和B可共存於氣相中而不互相反應。因此,在此示範CFD製程中可將ALD製程中所述之製程步驟的一或多者縮短或排除。例如,可排除在A曝露階段120A及120B之後的清除步驟。
CFD製程可用以沉積一些不同類型的薄膜之任一者。雖然本文中所提出之大多數範例涉及介電材料,但所揭露之CFD製程亦可用來形成導電或半導體材料之薄膜。氮化物及氧化物係主要的介電材料,但亦可形成碳化物、氧氮化物、碳摻雜氧化物、硼化物等等。氧化物包含範圍廣泛的材料,包括未摻雜矽酸鹽玻璃(USG)、摻雜矽酸鹽玻璃。摻雜玻璃的例子包含硼摻雜矽酸鹽玻璃(BSG)、磷摻雜矽酸鹽玻璃(PSG)、以及硼磷摻雜矽酸鹽玻璃(BPSG)。
在一些實施例中,氮化矽薄膜可由含矽反應物與一或更多之含氮反應物及/或含氮反應物混合物之反應而形成。範例性含矽反應物包含(但不限於)二(第三丁基胺基)矽烷(SiH2(NHC(CH3)3)2或BTBAS)、二氯矽烷(SiH2Cl2)、及氯矽烷(SiH3Cl)。範例性含氮反應物包含(但不限於)氨、氮、及第三丁基胺 (tert-butyl amine)((CH3)3CNH2或三級丁基胺(t-butyl amine))。範例性含氮反應物混合物包含(但不限於)氮及氫的混合物。
一或更多反應物之選擇可受各種薄膜及/或硬體考量所推動。舉例而言,在一些實施例中,氮化矽薄膜可由二氯矽烷和電漿活化氮的反應來形成。二氯矽烷化學吸附至氮化矽表面可產生矽氫末端表面(terminated surface),從而釋放氯化氫(HCl)。此化學吸附反應之範例係示意性地描述在反應1中。
反應1所示之環狀中間體隨後可經由與電漿活化氮之反應轉化成矽胺末端表面。
然而,二氯矽烷的一些分子可藉由替代機制而化學吸附。例如,表面形態可妨礙反應1所述之環狀中間體的形成。另一化學吸附機制的範例係示意性地顯示於反應2。
在後續的氮之電漿活化期間,反應2所示之中間體物種的剩餘氯原子可被釋放並可藉由電漿變得活化。此可造成氮化矽表面的蝕刻,而潛在性 造成氮化矽薄膜變得粗糙或模糊。此外,殘留的氯原子可重新吸附(物理性及/或化學性),而潛在性地污染所沉積的薄膜。此污染可改變氮化矽薄膜的物理及/或電特性。再者,活化之氯原子可造成處理站硬體之部份的蝕刻損壞,而潛在性減短處理站之部份的使用壽命。
因此,在一些實施例中,氯矽烷可替代二氯矽烷。此可減少薄膜污染、薄膜損壞、及/或處理站損壞。氯矽烷之化學吸附的範例係示意性地顯示於反應3。
雖然反應3所述之範例使用氯矽烷作為含矽反應物,但應瞭解到可使用任何合適的單替代鹵素矽烷。
如以上說明般,所描述之中間體結構可與氮源反應以形成氮化矽之矽胺末端表面。例如,可藉由電漿活化氨,從而形成各種氨自由基物種。該自由基物種與中間體反應,從而形成矽胺末端表面。
然而,氨可強烈地物理吸附至反應物遞送管線、處理站、以及排氣管之表面,其可導致延長之清除及排空時間。此外,氨可具有與一些氣相含矽反應物之高反應性。例如二氯矽烷(SiH2Cl2)和氨的氣相混合物可產生如二胺基矽烷(SiH2(NH2)2)之不穩定物種。如此物種可在氣相中分解,從而使小粒子成核。若氨與在鹵素矽烷之化學吸附期間所產生的氯化氫反應,則亦可形成小粒子。如此之粒子可累積在處理站中,在處理站中其可污染基板表面,而潛在導致整 合裝置缺陷,並且在處理站中其可污染處理站硬體,而潛在導致工具停機時間及清理。小粒子亦可累積在排氣管中,而可堵塞泵及鼓風機,並且可產生對特殊環境排氣洗滌器及/或冷凝捕集器之需求。
因此,在一些實施例中,可使用替代胺作為含氮反應物。例如,可將由烷基替代胺(如三級丁基胺)之電漿活化形成的各種自由基供應至處理站。替代胺(如三級丁基胺)可具有較氨為低之製程硬體上的黏附係數,其可導致相對較低之物理吸附率以及相對較低之製程清除時間。
此外,如此之含氮反應物可形成相對於氯化銨更易揮發之鹵化鹽。舉例而言,三級丁基氯化銨可比氯化氨更易揮發。此可減短工具停機時間、裝置缺陷產生、以及環境紓減費用。
再者,如此之含氮反應物可經由各種副產物反應形成其他胺前驅物。舉例而言,三級丁基胺與二氯矽烷之反應可形成BTBAS。因此,副產物可提供形成氮化矽的替代途徑,從而潛在地增加薄膜良率。在另一範例中,替代胺可提供氮化矽薄膜之低溫熱活化途徑。例如三級丁基胺在高於300℃的溫度下熱分解從而形成異丁烯及氨。
雖然以上所提供之說明性範例敘述使用三級丁基胺之氮化矽薄膜形成,但應瞭解在本發明之範圍內可採用任何合適的替代胺。在一些實施例中,合適的替代胺可基於反應物之熱力特性及/或反應性特性加以選擇。例如,可考量由反應物形成之鹵化鹽的相對揮發性,如同可考量在相關溫度下各種熱分解途徑的存在及選擇性。
此外,雖然以上所提供之範例敘述氮化矽薄膜之沉積,但應瞭解以上討論之原理通常適用於其他薄膜的沉積。例如,一些實施例可使用合適的鹵素矽烷結合合適的含氧反應物物種(如氧電漿)來沉積氧化矽。
表1提供反應物、產物薄膜、以及薄膜和製程特性範圍之非限制性列表。
圖1亦顯示各種CFD製程參數之範例性CFD製程階段的時間進行之實施例。圖1繪示二範例性沉積循環110A和110B,然而應瞭解到任何合適數目的沉積循環可包含在CFD製程中以沉積所期望之薄膜厚度。範例性CFD製程參數包含(但不限於)惰性及反應物物種之流速、電漿功率及頻率、基板溫度、以及處理站壓力。表2提供使用BTBAS及氧之範例性二氧化矽沉積循環的非限制性參數範圍。
CFD循環通常包含蝕刻反應物之曝露階段。於此「曝露階段」期間,將反應物遞送至處理腔室以致使反應物吸附在基板表面上。通常,在曝露階段的開始時,基板表面沒有任何所吸附反應物之明顯數量。圖1中,在反應物A曝露階段120A和120B,以受控之流速將反應物A供應至處理站以使曝露之基板的表面飽和。反應物A可為任何合適的沉積反應物,例如主要反應物或輔助反應物。在其中CFD產生二氧化矽薄膜的範例中,反應物A可為氧。在圖1所示之實施例中,反應物A在沉積循環110A和110B自始至終持續流入。不同於其中分隔薄膜前驅物曝露以防止氣相反應之典型ALD製程,在CFD製程的一些實施例之氣相中允許反應物A和B混合。如以上所示,在一些實施例中選取反應物A和B以使其在施加電漿能量或活化表面反應之前於反應器中遭遇的條件下,可共存於氣相中而不明顯互相反應。在一些情況下,選取反應物以使(1)其間之反應適用於熱力學(即Gibb之自由能<0),以及(2)該反應具有足夠高的活化能使得在所期望之沉積溫度下有可以忽略的反應。滿足這些準則之各種反應物組合將於本揭露內容中的其他位置確認。許多如此之組合包括提供在室溫下為固態之元素的主要反應物,以及不提供在室溫下為固態之元素的輔助反應物。在一些組合中使用之輔助反應物的例子包括氧、氮、烷基胺、以及氫。
相較於其中先將反應物A開啟、隨後穩定並曝露至基板、然後關閉、以及最後自反應器移除之ALD製程,持續供應反應物A至處理站可減短或排除反應物A流速開啟及穩定時間。雖然圖1所示之實施例繪示反應物A曝露階段120A及120B為具有固定流速,但應瞭解到在本發明的範圍內可採用任何合適的反應物A流量(包括變動流量)。此外,雖然圖1顯示在整個CFD循環(沉積循環110A)期間反應物A具有固定流速,但情況未必如此。舉例而言,在B曝露階段140A和140B期間可減低反應物A的流速。這可增加B的分壓,並從而增加反應物B吸附在基板表面上的驅動力。
在一些實施例中,反應物A曝露階段120A可具有超過反應物A的基板表面飽和時間之持續時間。例如,圖1之實施例包含反應物A曝露階段120A中之反應物A後飽和曝露時間130。選擇性地,反應物A曝露階段120A包含惰性氣體的受控流速。惰性氣體範例包含(但不限於)氮、氬、及氦。可提供惰性氣體以助於處理站的壓力及/或溫度控制、液態前驅物的蒸發、更多前驅物的快速遞送及/或作為用以自處理站及/或處理站管路移除處理氣體之清除氣體。
在圖1所示之實施例的反應物B曝露階段140A,將反應物B以受控之流速供應至處理站以使曝露之基板表面飽和。在一示範性二氧化矽薄膜中,反應物B可為BTBAS。雖然圖1之實施例繪示反應物B曝露階段140A為具有固定流速,但應瞭解到在本發明的範圍內可採用任何合適的反應物B流量(包括變動流量)。此外,應瞭解到反應物B曝露階段140A可具有任何合適的持續時間。在一些實施例中,反應物B曝露階段140A可具有超過反應物B的基板表面飽和時間之持續時間。例如,圖1所示之實施例繪示反應物B後飽和曝露時間150包含在反應物B曝露階段140A之中。選擇性地,反應物B曝露階段140A可包含合適的惰性氣體之受控流量,其如以上所述可助於處理站的壓力及/或溫度控制、液態前驅物的蒸發、更多前驅物的快速遞送,並且可防止處理站氣體的逆擴散。在圖1所示之實施例中,惰性氣體於反應物B曝露階段140A自始至終持續供應至處理站。
在一些實施例中,沉積反應之電漿活化可導致較熱活化反應更低之沉積溫度,從而潛在地減少整合製程的可用熱預算之消耗。例如,在一些實施例中,電漿活化CFD製程可在室溫下發生。
雖然圖1所述之CFD製程實施例為電漿活化,但應瞭解到在本發明的範圍內可使用其他非熱能源。非熱能源的非限制性範例包含(但不限於)紫外線燈、下游或遠端電漿源、感應耦合電漿、以及微波表面波電漿。
此外,雖然於本文中所討論的許多範例包含二反應物(A和B),但應瞭解到在本發明的範圍內可採用任何合適數目的反應物。在一些實施例中,可使用單個反應物以及用以供應該反應物之表面分解反應的電漿能量之惰性氣體。或者,如以上所討論之特徵7的背景中,一些實施例可使用三或更多反應物來沉積薄膜。
在一些情形中,表面吸附之B物種可如不連續島狀存在於基板表面上,而使其難以達到反應物B的表面飽和。各種表面條件可延遲反應物B於基板表面上的成核及飽和。舉例而言,在反應物A及/或B吸附時所釋放之配位子可阻斷一些表面活性部位,而防止進一步吸附反應物B。因此,在一些實施例中,反應物B之連續吸附層可在反應物B曝露階段140A期間藉由調變反應物B的流量及/或離散地以脈衝輸送反應物B進入處理站來提供。此可為表面吸附及脫附過程提供額外時間,同時與固定流量情形相比更為節省反應物B。
此外或選擇性地,在一些實施例中,可於反應物B的連續曝露之間包含一或更多清除階段。例如,圖2的實施例示意地顯示沉積循環210之範例性CFD製程時序圖200。在反應物B曝露階段240A,使反應物B曝露至基板表面。隨後,在清除階段260A,關閉反應物B,並且自處理站移除反應物B之氣相物種。在一情形中,氣相反應物B可被反應物A及/或惰性氣體之連續流量移開。在另一情形中,可藉由排空處理站來移除氣相反應物B。氣相反應物B之移除可改變吸附/脫附過程平衡,從而脫附配位子、促使所吸附B的表面重新排列以合併所吸附B之不連續島狀。在反應物B曝露階段240B,使反應物B再次曝露至基板表面。雖然圖2所示之實施例包含一反應物B清除及曝露循環的例子,但應瞭解到在本揭露內容的範圍內可採用交替清除及曝露循環的任何合適數目之重複。
回到圖1的實施例,在180A藉由電漿活化之前,在一些實施例中可於清除階段160A自處理站移除氣相反應物B。除了上述之曝露階段之外,CFD 循環可包含一或更多清除階段。清除處理站可避免氣相反應,其中反應物B易受電漿活化影響。又,清除處理站可移除表面吸附之配位子,否則其可能餘留並污染薄膜。範例清除氣體包含(但不限於)氬、氦、以及氮。在圖1所示之實施例中,用於清除階段160A之清除氣體係由惰性氣體流所供應。在一些實施例中,清除階段160A可包含一或更多用以排空處理站之排空次階段。或者,應瞭解到在一些實施例中可省略清除階段160A。
清除階段160A可具有任何合適的持續時間。在一些實施例中,增加一或更多清除氣體的流速可減短清除階段160A的持續時間。例如,可根據各種反應物熱力特性、及/或處理站的幾何特性、及/或處理站管路來調整清除氣體流速從而修改清除階段160A的持續時間。在一非限制性範例中,可藉由調整清除氣體流速而使清除階段的持續時間最佳化。此可減短沉積循環時間,從而可增進基板吞吐量。
CFD循環除了上述之曝露及選擇性的清除階段之外,通常還包含「活化階段」。活化階段用以驅動吸附在基板表面上之一或更多反應物的反應。在圖1所示之實施例的電漿活化階段180A,提供電漿能量以活化表面吸附反應物A和B之間的表面反應。例如,電漿可直接地或間接地活化反應物A的氣相分子以形成反應物A自由基。這些自由基隨後可與表面吸附反應物B交互作用,從而導致薄膜形成表面反應。沉積循環110A結束於電漿活化階段180A,在圖1之實施例中沉積循環110A後接以反應物A曝露階段120B為開始之沉積循環110B。
在一些實施例中,於電漿活化階段180A中激發之電漿可直接在基板表面上方形成。此可提供更大的電漿密度以及反應物A和B之間的增加表面反應率。例如,可使用二電容式耦合板藉由施加射頻(RF)場至低壓氣體來產生用於CFD製程之電漿。在替代實施例中,可在主反應腔室之外產生遠端生成電漿。
可使用任何合適的氣體來形成電漿。在第一範例中,可使用如氬或氦之惰性氣體來形成電漿。在第二範例中,可使用如氧或氨之反應物氣體來形成電漿。在第三範例中,可使用如氮之清除氣體來形成電漿。當然,亦可採用這些種類之氣體的組合。藉由RF場使平板之間的氣體離子化來激發電漿,從而在電漿放電區域產生自由電子。這些電子受到RF場加速,並且可與氣相反應物分子碰撞。這些電子與反應物分子的碰撞可形成參與沉積製程之自由基物種。應瞭解到RF場可經由任何合適的電極耦合。電極的非限制性例子包含處理氣體分佈噴淋頭及基板支撐基座。應瞭解到除了電容式耦合RF場至氣體之外還可藉由一或更多合適的方法來形成用於CFD製程之電漿。
電漿活化階段180A可具有任何合適的持續時間。在一些實施例中,電漿活化階段180A可具有超過電漿活化自由基與所有曝露之基板表面及被吸附物交互作用、從而在基板表面頂上形成連續薄膜之時間的持續時間。例如,圖1所示之實施例在電漿活化階段180A中包含電漿後飽和曝露時間190。
如以下更完整地說明、且如以上特徵4之討論中所建議般,延長電漿曝露時間及/或提供複數電漿曝露階段可提供所沉積薄膜之主體及/或近表面部份的後反應處理。在一情形中,藉由電漿處理減少表面污染可使表面準備好對反應物A的吸附。例如,由含矽反應物與含氮反應物之反應形成的氮化矽薄膜可具有抵抗後續反應物之吸附的表面。以電漿處理氮化矽表面可產生用以促進後續吸附及反應事件之氫鍵。
在一些實施例中,如薄膜應力、介電常數、折射率、及蝕刻速率之薄膜特性可藉由改變將於以下更詳細討論之電漿參數加以調整。表3提供關於三個在攝氏400度下沉積之範例CFD二氧化矽薄膜的各種薄膜特性之範例性列表。為了參考性目的,表3亦包含關於在攝氏400度下沉積之範例PECVD二氧化矽薄膜之薄膜資訊。
舉例而言,圖3示意地顯示CFD製程時序圖300之實施例,其包含沉積階段310後接電漿處理階段390。應瞭解到在電漿處理階段期間可使用任何合適的電漿。在第一情形中,在沉積循環中之活化期間可使用第一電漿氣體,並且在電漿處理階段期間可使用第二、不同的電漿氣體。在第二情形中,於電 漿處理階段期間第二、不同的電漿氣體可補充第一電漿氣體。表4提供關於一範例性原位電漿處理循環之非限制性參數範圍。
在圖3所示之電漿活化階段380,使基板表面曝露至電漿以活化薄膜沉積反應。如圖3所示之實施例中所繪示般,在電漿處理清除階段390A將處理站提供以反應物A(其可為例如輔助反應物(如氧))以及惰性氣體之連續流量。清除處理站可自處理站移除揮發性污染物。雖然圖3顯示一清除氣體,但應瞭解到在本發明的範圍內可使用任何合適的反應物移除方法。在電漿處理活化階段390B,激發電漿以處理新沉積之薄膜的主體及/或近表面區域。
雖然圖3之實施例包含一包括一電漿處理階段之CFD循環的例子,但應瞭解到在本發明的範圍內可採用任何合適數目之重複。此外,應瞭解到可在正常沉積循環之間的間隔(規則或以其他方式)插入一或更多電漿處理循環。舉例而言,圖4顯示CFD製程時序圖400之實施例,其包含插入於二沉積循環之間的電漿處理階段。雖然圖4之實施例包含插入於二沉積循環之間的電漿處 理循環,但應瞭解到任何合適數目之沉積循環可位於一或更多電漿處理循環之前或之後。例如,在電漿處理係用以改變薄膜密度的情形中,可在每第十個沉積循環之後插入電漿處理循環。在電漿處理係用以準備用於吸附及反應事件之表面的情形中,可將電漿處理循環合併在每一個CFD循環中(例如在每一個CFD沉積階段之後)。
沉積薄膜之電漿處理可改變薄膜的一或更多物理特性。在一情形中,電漿處理可使新沉積薄膜緻密化。緻密化薄膜可比非緻密化薄膜較為抗蝕刻。例如,圖5顯示關於範例性CFD處理之二氧化矽薄膜相對於熱生長之二氧化矽薄膜之蝕刻速率的比較500之實施例。圖5之範例性薄膜實施例係藉由CFD製程502及504在從攝氏50度到400度的溫度範圍下沉積。作為參考,圖5顯示藉由電漿輔助CVD製程所沉積之未摻雜矽酸鹽玻璃(USG)與二氧化矽間隔層的相對蝕刻速率。由製程502(其每一沉積循環中包含1秒高頻氧電漿活化階段)產生之薄膜對於稀釋氫氟酸濕蝕刻(100:1 H2O:HF)之抵抗性為製程504(其每一沉積循環中包含10秒高頻氧電漿活化階段)產生之薄膜的大約一半。因此,應瞭解到改變電漿活化階段之一或更多實施態樣及/或包含一或更多電漿處理循環可改變沉積薄膜的蝕刻速率。
在另一情形中,薄膜的電漿處理可改變薄膜的應力特性。例如,圖6顯示關於範例性CFD二氧化矽薄膜之濕蝕刻速率比率與薄膜應力之間相關性600之實施例。在圖6所示之實施例中,藉由例如延長電漿曝露時間而減小濕蝕刻速率比率可增大壓縮薄膜應力。
在另一情形中,沉積薄膜的電漿處理可提供相對於其他薄膜成分(例如在範例二氧化矽薄膜中的矽及/或氧)之微量薄膜污染物(例如在範例二氧化矽薄膜中的氫、氮、及/或碳)的瞬間差別移除。例如圖7顯示沉積溫度、電漿曝露時間、以及薄膜污染物濃度之間相關性700之實施例。在圖7所示之實施例中, 在攝氏50度下沉積且具有10秒氧電漿活化階段之CFD二氧化矽薄膜704展現低於在相同溫度下沉積但具有1秒氧電漿活化階段之CFD二氧化矽薄膜702之氫及碳濃度。改變薄膜中污染物濃度可改變薄膜的電性及/或物理特性。舉例而言,調變碳及/或氫含量可調變薄膜介電常數及/或薄膜蝕刻速率。因此,應瞭解到改變電漿活化階段之一或更多實施態樣及/或包含一或更多電漿處理循環可提供用於改變薄膜成分的方法。
雖然以上所討論的電漿處理與氧電漿處理有關,但應瞭解到在不離開本實施例的範圍之情況下可採用任何合適的電漿處理。例如,在一些實施例中,在合適的CFD製程中可採用替代胺取代NH3作為含氮反應物。雖然以替代胺(例如類似三級丁基胺之烷基胺)取代NH3對於保形SiN沉積可提供一些益處,但在一些情況下,所沉積之薄膜可包含源自烷基胺反應物之殘留碳(例如來自包含在每一三級丁基胺分子(NH2-(CH3)3)的三個甲基群之殘留碳)。此膜內碳可導致漏電,且可使得薄膜無法用在一些介電阻障層應用。
因此,在一些實施例中,在SiN薄膜沉積期間激發氫電漿可減少在SiN薄膜中的殘留碳,其可相對改善薄膜的絕緣特性。在一些範例中,殘留碳之減少可容易地在FTIR光譜中觀察到。例如,SiN:C-H位準可從大約10原子%減少至大約1原子%。
因此,在一些實施例中,可使用烷基胺或包含在含氮反應物及氫電漿處理的一或更多實例中之烷基胺混合物以CFD製程來沉積氮化矽薄膜。應瞭解到在不離開本發明的範圍之情況下可採用任何合適的氫電漿。因此,在一些實施例中,H2與例如He或Ar之氣體的混合物、或其他含H氣體、或由遠端電漿源產生之活化H原子可用以處理沉積薄膜。此外,在一些實施例中,可藉由改變一或更多數目之處理脈衝及其持續時間、處理電漿的強度、基板溫度、以及處理氣體成分來調整薄膜的碳含量至任何合適的濃度。
雖然以上所討論的氫電漿處理與氮化矽薄膜有關,但應瞭解到合適的氫電漿處理之應用可用以調整其他包括(但不限於)SiOx、GeOx、及SiOxNy之CFD沉積薄膜的碳含量。
一些於本文中揭露之實施例涉及氧化物CFD薄膜的紫外線處理(具有或不具有電漿處理)。該處理可減輕氧化物中的缺陷並改善如閘極介電層的CV特性之電性特性。採用可從如此處理受益之CFD氧化物的裝置及封裝應用包括:直通矽穿孔、採用閘極氧化物之邏輯技術、淺渠溝隔離(STI)、於STI光阻剝除之後形成細薄熱氧化物、於P井植入之前的犧牲氧化物(例如~60A)、後「井」熱氧化物生長、閘極/通道氧化物、DRAM PMD PECVD氧化物。
在一些情況下,已觀察到未經處理之CFD氧化物薄膜具有相對差的電性效能,據信是由於在如沉積薄膜中的固定電荷。例如,已發現一些薄膜具有明顯的晶圓內Vfb差異。如此問題已藉由在氫的存在下使用具有UV輻射及/或熱回火之後沉積處理而解決。據信此製程鈍化及/或減輕與位於(1)氧化物至矽介面、或(2)沉積之介電薄膜內、或(3)在空氣至氧化物表面(表面電荷)之固定電荷有關之缺陷。使用如此之處理,在UV硬化之後所沉積之氧化物的Vfb散布已從8.3V緊縮至約1.5V。
雖然這些實施例主要涉及改善氧化物薄膜,但所揭露之方法可廣泛地應用在介電層、金屬、金屬至介電層介面工程的生長。具體的介電層材料包含例如氧化矽(包括摻雜氧化矽)、碳化矽、氧碳化矽、氮化矽、氧氮化矽、以及可灰化硬遮罩材料。
可用以增進介電特性之處理的範例包括如下:
(A)藉由以UV硬化且隨後氫回火之CFD而合成之介電薄膜的後沉積處理。在最簡單的實施例中,可單獨使用UV處理以減少固定電荷。
(B)在CFD介電薄膜沉積之前的利用包含下列者之處理的基板預處理:在He、H2、Ar、N2、H2/N2形成氣體、NH3存在下之H2電漿、N2電漿、N2/H2電漿、NH3電漿、AR電漿、He電漿、He回火、H2回火、NH3回火、以及UV硬化。電漿處理可用包含(但不限於)微波、ICP遠端、直接、及其類似者之各種電漿產生器來實現。
(C)與包含以下處理之同時處理(沉積期間硬化):在He、H2、Ar、N2、H2/N2形成氣體、NH3存在下之H2電漿、N2電漿、N2/H2電漿、NH3電漿、AR電漿、He電漿、He回火、H2回火、NH3回火、以及UV硬化。電漿處理可用包含(但不限於)微波、ICP遠端、直接、及其他本領域中具有通常技術者熟知之各種電漿產生器來實現。可應用之等向性及方向性處理包含(但不限於)遠端電漿、UV曝露、直接電漿、及微波電漿。一範例性方法包含在CFD循環的群組之間的薄膜間歇處理。CFD循環的一群組可從約1變化至10000個循環。典型的情形包含:(1)5個CFD氧化物生長循環、後接(2)用任何以上所述之方法(例如He電漿、UV處理)之一或更多薄膜處理、後接(3)5個CFD氧化物生長循環。此方法可用以生長任何期望之厚度的薄膜。
(D)由以上所列之任何電漿所賦予為副產物之UV處理(例如氦電漿放射UV輻射)。
在CFD循環期間用於原位「硬化」程序之一範例涉及以下操作:
(1)經由He電漿之UV處理
(2)BTBAS施用
(3)清除
(4)O2/Ar-RF電漿活化
(5)清除
(6)重複步驟(1)-(5)以產生期望之厚度的薄膜。
UV硬化條件的範圍可用在任何所列出之上下文中。一般而言,於硬化期間基座溫度將維持在約250及500℃之間。對於許多裝置製作應用,上限溫度將限制在450℃或甚至400℃。硬化期間所採用之環境可為惰性或反應性。可於硬化期間存在之氣體的例子包含氦、氬、氮、形成氣體、以及氨。如此氣體之流速可為約2至20000sccm,較佳地約4000至18000sccm。UV燈的功率可例如為約2-10kW,且較佳地介於約3.5及7kW之間。曝露至來自此源之UV的適當持續時間為介於約20及200秒之間(例如約90秒)。最後,可將壓力保持在介於0Torr及約40Torr之間的位準。
在一具體實施例中,使用以下條件來獲得CFD氧化物的有效處理:基座溫度=400℃
環境=He
壓力=40Torr He
流速=10000sccm
在一些實施例中,於UV硬化操作之後執行氧化物之熱回火。在一範例中,於該回火中使用以下條件:基座溫度=400℃
環境=H2+N2
壓力=2.5Torr
流速=750sccm H2;3000sccm N2
沉積薄膜的物理及電性特性亦可藉由調整其他如沉積溫度之製程參數加以改變。例如,圖7所繪示之實施例之相關性700亦顯示CFD薄膜沉積溫度與薄膜污染物濃度之間的範例性關係。當薄膜沉積溫度增高時,則薄膜污染物的併入減少。在另一範例中,圖5所示之實施例圖例說明範例性二氧化矽 CFD薄膜之濕蝕刻速率比率隨著沉積溫度增高而減低,如同以上所述般。其他可調整以調諧薄膜特性之沉積參數包含RF功率、RF頻率、壓力、以及流速。此外,在一些實施例中,可藉由改變反應物選擇來改變薄膜特性。例如,可藉由使用四異氫酸酯矽烷(TICS)作為含矽反應物以及使用氧及/或一氧化二氮作為含氧反應物來減少二氧化矽薄膜的氫含量。
應瞭解到如以上所討論的那些物理及/或電性薄膜特性之變化可提供調整裝置效能和良率的機會、以及修改裝置製造製程整合之實施態樣的機會。作為一非限制性範例,調諧CFD二氧化矽薄膜之蝕刻速率特性的能力可使得薄膜成為蝕刻停止層、硬遮罩、及其他製程整合應用的候選者。因此,在本文中提供用於整合半導體裝置製造程序所有方面應用之CFD產生之薄膜的各種實施例。
在一情形中,CFD製程可在非平面基板上沉積保形二氧化矽薄膜。舉例而言,CFD二氧化矽薄膜可用於結構之間隙填充,如淺渠溝隔離(STI)結構之渠溝填充。雖然以下敘述之各種實施例與間隙填充應用有關,但應瞭解到這僅為非限制性、示例性應用,並且利用其他合適薄膜材料之其他合適應用皆可在本發明的範圍內。CFD二氧化矽薄膜的其他應用包含(但不限於)層間介電(ILD)應用、金屬間介電(IMD)應用、前金屬介電(PMD)應用、用於直通矽穿孔(TSV)之介電襯墊應用、電阻式RAM(ReRAM)應用、及/或DRAM中堆疊式電容製作應用。
可使用摻雜氧化矽作為硼、磷、或甚至砷摻雜物的擴散源。例如,可使用硼摻雜矽酸鹽玻璃(BSG)、磷摻雜矽酸鹽玻璃(PSG)、或甚至硼磷摻雜矽酸鹽玻璃(BPSG)。可採用摻雜之CFD層來提供例如三維電晶體結構(如多閘極鳍狀場效電晶體(FinFET)及三維之記憶體裝置)中的保形摻雜。習知的離子植入機無法容易地摻雜側壁,尤其是在高的高寬比結構中。CFD摻雜氧化物作為擴散 源具有各種優點。首先,其在低溫下提供高保形性。相較之下,低壓CVD產生之摻雜TEOS(四乙基正矽酸鹽)為人熟知但需要在高溫下沉積,而且次大氣壓CVD及PECVD摻雜氧化物薄膜可在低溫下實行但不具充分的保形性。摻雜的保形性係重要的,而薄膜本身的保形性亦然,因為薄膜通常為犧牲應用且隨後將必須移除。非保形薄膜通常在移除時面臨更多挑戰,即一些區域會被過度蝕刻。此外,CFD提供控制極佳之摻雜濃度。如所提及般,CFD製程可從幾層未摻雜氧化物後接單層摻雜來提供。可藉由用以沉積摻雜層之頻率以及摻雜循環的條件嚴格地控制摻雜的程度。在一些實施例中,摻雜循環係藉由例如使用具有明顯空間位阻(steric hindrance)之摻雜物源來控制。除了習知矽基微電子學外,CFD摻雜的其他應用包括基於III-V族半導體(如GaAs)和II-VI族半導體(如HgCdTe)之微電子學及光電子學、光伏電池、平面顯示器、以及電致變色技術。
一些間隙填充製程涉及在不同沉積工具上執行之二薄膜沉積步驟,於沉積步驟之間需要真空破壞及空氣曝露。圖8示意地顯示包含複數間隙802之範例性非平面基板800。如圖8所示,間隙802可具有不同的高寬比,其可定義為每一間隙802之間隙深度(H)與間隙寬度(W)的比率。舉例而言,整合半導體裝置的邏輯區域可具有對應於不同邏輯裝置結構之不同的間隙高寬比。
如圖8所示,非平面基板800係由細薄、保形薄膜804所覆蓋。雖然保形薄膜804已完全填充間隙802A,但間隙802B及802C仍留著開口。以保形薄膜封閉間隙802B及802C可導致製程時間的延長。因此,在一些方法中,可藉由如CVD及/或PECVD方法之更高沉積速率製程來異位沉積更厚的薄膜。然而,間隙填充薄膜之異位沉積可降低在生產線中的晶圓吞吐量。例如,在沉積工具之間的基板搬運及運送時間可於生產期間減少一些基板處理活動。此可減少生產線吞吐量,並且可能需要在生產線中安裝及維護額外處理工具。
此外,雖然間隙802C可具有適合於氣相沉積製程的高寬比,但802B可具有由較高沉積速率製程可能導致之不完全填充並且可能形成鎖眼孔洞(keyhole void)之高寬比。例如,圖10顯示形成在基板1002中之範例性高高寬比結構1000。如圖10所示,於沉積較厚之薄膜1006期間的麵包條效應已產生鎖眼孔洞1008。鎖眼孔洞可在後續的製程中被重新打開並以導電薄膜填充,其可導致裝置短路。
應對如間隙802B之高高寬比間隙的一些方法包含提供避免產生如此間隙之裝置設計規則。然而,如此之設計規則可能需要額外的遮罩步驟、可使得裝置設計變困難、及/或可導致整合半導體裝置面積的增加,其可增加製造成本。因此,在一些實施例中,CFD製程可包含從CFD製程至CVD及/或PECVD製程之原位過渡。例如,圖9顯示已分為三階段之CFD製程時序圖900的實施例。CFD製程階段902描述一範例性CFD製程循環。為了清楚起見,儘管圖9所示之實施例僅顯示單一CFD製程循環,但應瞭解到CFD製程階段902中可包含任何合適數目之CFD製程循環及電漿處理循環。過渡階段904接在CFD製程階段902之後。如圖9之實施例所示,過渡階段904包含CFD製程及PECVD製程二者之實施態樣。具體而言,反應物B係在反應物B曝露階段904A結束後提供至處理站,使得在電漿活化階段904B期間反應物A和B皆存在於氣相之中。此可同時提供PECVD式氣相反應與CFD式表面反應。雖然過渡階段904僅包含反應物B曝露階段904A及電漿活化階段904B之一重複,但應瞭解到在過渡階段內可包含任何合適數目之重複。
在一些實施例中,可控制電漿產生器以於電漿活化階段904B期間提供電漿能量之間歇脈衝。例如,可在包含(但不限於)介於10Hz及150Hz之間的頻率使電漿產生脈衝。與連續電漿相比,此可藉由減低離子轟擊的方向性 來增進階梯覆蓋。又,此可減低離子轟擊對基板的損壞。例如,於連續電漿期間光阻基板會被離子轟擊侵蝕。使電漿能量產生脈衝可減低光阻侵蝕。
在圖9所示之實施例中,電漿活化階段904B期間反應物B的流速低於反應物B曝露階段904A期間反應物B的流速。因此,於電漿活化階段904B期間反應物B可「細流」入處理站中。這可提供氣相PECVD反應從而增補CFD式表面反應。然而,應瞭解到在一些實施例中,於單一電漿活化階段期間或在過渡階段之過程中可改變反應物B的流速。例如,在包含反應物B曝露及電漿活化的二個重複之過渡階段中,第一電漿活化階段期間反應物B的流速可低於第二電漿活化階段期間反應物B的流速。改變電漿活化階段904B期間反應物B的流速可提供從CFD製程階段902之階梯覆蓋特性到PECVD製程階段906之沉積速率特性的平穩過渡。
在一些實施例中,CFD製程可包含用於選擇性移除沉積薄膜之凹入部分的原位蝕刻。表5提供包含用於間隙填充CFD製程之原位蝕刻的範例性二氧化矽沉積製程之非限制性參數節圍。
圖11顯示包含沉積階段1102、蝕刻階段1104、及後續沉積階段1106之CFD製程時序圖1100之實施例。在圖11所示之實施例的沉積階段1102,使薄膜沉積至基板之曝露表面上。例如,沉積階段1102可包含一或更多CFD製程沉積循環。
在圖11之實施例的蝕刻階段1104,將反應物A和B關閉並且導入蝕刻氣體至處理站。蝕刻氣體的一非限制性範例為三氟化氮(NF3)。在圖11所示之實施例中,蝕刻氣體係由蝕刻階段1104期間所激發之電漿活化。如處理站壓力、基板溫度、蝕刻氣體流速之各種製程參數可於蝕刻階段1104期間加以調整,以便在非平面基板上選擇性移除沉積薄膜的凹入部分。在本發明的範圍內可採用任何合適的蝕刻製程。其它範例性蝕刻製程包含(但不限於)反應性離子蝕刻、非電漿氣相蝕刻、固相昇華、以及蝕刻物種之吸附與方向性活化(例如藉由離子轟擊)。
在一些實施例中,可在蝕刻薄膜之前和之後自處理站移除不相容氣相物種。例如,圖11之實施例於蝕刻階段1104期間在反應物A和B已關閉之後以及在蝕刻氣體已關閉之後包含惰性氣體的連續流量。
在蝕刻階段1104結束時,沉積階段1106開始,從而進一步填充非平面基板上的間隙。沉積階段1106可為任何合適的沉積製程。例如,沉積階段1106可包含一或更多的CFD製程、CVD製程、PECVD製程等等。雖然圖11之實 施例顯示單一蝕刻階段1104,但應瞭解到於間隙填充製程期間可在多個任何合適形式的沉積階段之中間隔地插入複數原位蝕刻製程。
圖12A-C繪示非平面基板在以上所述之原位沉積及蝕刻製程之實施例的各個階段之範例性橫剖面圖。圖12A顯示包含間隙1202之範例性非平面基板1200的橫剖面圖。間隙1202係覆蓋以薄膜1204。薄膜1204幾乎與間隙1202保形,但薄膜1204包含間隙1202的頂部附近之凹入部1206。
在圖12B所示之實施例中,薄膜1204的凹入部1206已被選擇性移除,並且薄膜1204的上部區域1204A較下部區域1204B更細薄。可藉由在活性蝕刻物種加上質量轉移限制及/或壽命限制來達成凹入部之選擇性移除及/或側壁角度調整。在一些實施例中,在間隙1202的頂部進行選擇性蝕刻亦可調整間隙1202的側壁角度,使得間隙1202在頂部處較在底部處為寬。這可更降低後續沉積階段中的麵包條效應。在圖12C所示之實施例中,在後續沉積階段之後間隙1202幾乎被填滿且並未展現孔洞。
圖15顯示原位蝕刻製程之另一實施例,其繪示用於銅電極之直通矽穿孔(TSV)。一些範例性TSV具有大約105微米的深度和大約6微米的直徑,因而產生大約17.5:1高寬比,且可具有大約攝氏200度之熱預算上限。如圖15之實施例所示,直通矽穿孔2500係由介電隔離層2502覆蓋以使矽基板與金屬填充穿孔電性隔離。範例性介電隔離層材料包含(但不限於)氧化矽、氮化矽、低k介電材料。在一些實施例中,上述之範例性蝕刻製程可使用合適的濺鍍氣體(如氬)以物理濺鍍來對凹入部加以增補。
關於CFD薄膜的其他範例性應用包含(但不限於)用於後端製程(back-end-of-line)互連隔離應用之保形低k薄膜(例如在一些非限制性範例中k大約為3.0或更低)、用於蝕刻停止層與間隔層應用之保形氮化矽薄膜、保形抗反射層、以及銅黏附與阻障層。可使用CFD來製作用於BEOL處理之低k介電層的許 多不同合成物。範例包含氧化矽、氧摻雜碳化物、碳摻雜氧化物、氧氮化物、及其類似者。
在另一範例中,在一整合製程情形中,可在光阻「芯」上方沉積二氧化矽間隔層。使用光阻芯而非替代芯材料(如碳化矽層)可排除整合製程中的圖案化步驟。該製程可涉及使用正常微影技術使光阻圖案化並隨後直接在該芯上方沉積細薄的CFD氧化物層。可隨後使用方向性乾蝕刻製程來移除在圖案化光阻頂部及底部之CFD氧化物薄膜,而僅留下沿著圖案化光阻之側壁(視為渠溝)的材料。在此階段,可使用簡單的灰化來移除CFD氧化物留下之曝露芯。曾經有過單一光阻線的地方,此時便有二CFD氧化物線。該製程以此方式使圖案密度加倍;因此其有時稱為「雙重」圖案化。可惜光阻芯的使用可限制間隔層沉積溫度低於攝氏70度,其可低於習知CVD、PECVD、及/或ALD製程之沉積溫度。因此在一些實施例中,可在低於攝氏70度之溫度下沉積低溫CFD二氧化矽薄膜。應瞭解到在本發明的範圍內存在有用於合適的CFD產生薄膜之其他潛在整合製程應用。此外,在各種實施例中,如上述所沉積氮化矽之氮化物可在半導體裝置製造的各個階段中用作保形擴散阻障層及/或蝕刻停止層。
雖然已在沉積、處理、及/或蝕刻單一薄膜形式上指出以上所述之各種CFD沉積製程,但應瞭解到在本發明的範圍內一些CFD製程可包含複數薄膜形式之原位沉積。例如,可原位沉積薄膜形式之替代層。在第一情形中,可藉由原位沉積氮化矽/氧化矽間隔層堆疊來製作用於閘極裝置之雙重間隔層。此可減短循環時間及增加處理站吞吐量,並且可避免由潛在薄膜層不相容性所形成之層間缺陷。在第二情形中,可沉積用於微影圖案化應用之抗反射層作為具有可調光學特性之SiON或非晶矽與SiOC的堆疊。
在一些實施例中,藉由保形薄膜沉積製程來形成含摻雜物源層。該層稱為「源」層係因為其提供摻雜物物種(例如硼、磷、鎵、及/或砷之摻雜物 原子)的來源。摻雜CFD層作為用於摻雜裝置中的下方(或上方)結構之摻雜物源。在源層形成後(或其形成期間),將摻雜物物種驅入或用其他方式併入製作中裝置的相鄰結構中。在一些實施例中,於形成保形摻雜物源薄膜期間或之後藉由回火操作將摻雜物物種驅入。CFD的高保形本質允許摻雜非習知裝置結構,包括需要以三維方式摻雜之結構。CFD摻雜物源層通常藉由本文中所述之一或更多製程形成,但包括併入摻雜物物種之額外製程操作。在一些實施例中,介電層作為摻雜物物種併入其中之基底源層。
例如,可使用摻雜氧化矽作為硼、磷、砷等等之擴散源。例如,可使用硼摻雜矽酸鹽玻璃(BSG)、磷摻雜矽酸鹽玻璃(PSG)、或硼磷摻雜矽酸鹽玻璃(BPSG)。
摻雜CFD層可用以在例如多閘極鰭狀電晶體(FinFET)及三維記憶體裝置之三維電晶體結構中提供保形摻雜。一些三維結構的例子可見於J.Kavalieros等人於Symp.VLSI Tech Pg 50,2006所提出之「Tri-gate(Intel)」以及Yamashita等人(IBM Alliance)於VLSI 2011所提出之「FinFET」,其皆於此全部併入作為參考。習知的離子植入機無法容易地摻雜側壁,尤其在高的高寬比結構中。此外,在i3D結構的密集陣列中,可能在植入機中存在方向性離子束之陰影效應,從而引起傾斜植入角度之嚴重的劑量殘留問題。除了習知矽基微電子學外,CFD摻雜的其他應用還包括基於如GaAS之III-V族半導體以及如HgCdTe之II-VI族半導體的微電子學與光電子學、光伏電池、平面顯示器、以及電致變色技術。
圖16顯示具有三維閘極結構之電晶體,其中源極和汲極形成為難以藉由習知離子植入技術來摻雜之細薄垂直結構。然而,當n或p型摻雜CFD氧化物之薄層形成於垂直結構上方時,則完成保形摻雜。已觀察到保形摻雜在三 維裝置中由於串聯電阻的降低而增加10-25%之電流密度。見Yamashita等人於VLSI 2011提出之文獻。
CFD摻雜氧化物作為擴散源具有各種優點。首先,其於低溫下提供高保形性。因為摻雜薄膜可能為犧牲層,故非保形薄膜通常在移除時面臨更多挑戰,即一些區域會被過度蝕刻。如所說明般,CFD提供高保形薄膜。此外,CFD提供控制極佳的摻雜濃度。CFD製程可提供一或更多未摻雜氧化物層後接如所需之單一摻雜層。可藉由用以沉積摻雜層之頻率以及摻雜循環的條件來嚴格地控制摻雜的程度。在一些實施例中,摻雜循環係藉由例如使用具有明顯空間位阻之摻雜物源來控制。
圖17以沿著x軸前進時間由左至右呈現基線CFD操作的順序。許多變化受到支持,並且此圖示僅為說明之目的而提出。在順序之初始(於操作A期間),將氣相氧化劑導入反應腔室中,該腔室包含待沉積CFD薄膜於其上之基板。合適的氧化劑之範例包括元素氧(例如O2或O3)、一氧化二氮(N2O)、水、如異丙醇之烷基醇、一氧化碳、以及二氧化碳。氧化劑通常與例如氬或氮之惰性氣體一起提供。
接著,在操作B中,將介電質前驅物暫時導入反應腔室中。選取操作B的持續時間以允許足夠支持薄膜生長的一個循環之前驅物數量吸附至基板表面上。在一些實施例中,前驅物使基板表面飽和。將依其產生所期望成分之介電質的能力來選取前驅物。介電質成分的例子包含氧化矽(包括矽酸鹽玻璃)、氮化矽、氧氮化矽、以及氧碳化矽。合適前驅物的例子包含烷胺基矽烷(alkylamino silanes)(SiHx(NR2)4-x)(其中x=1-3,並且R包含如甲基、乙基、丙基、以及丁基各種同分異構配置之烷基)、以及鹵素矽烷(halosilanes)(SiHxY4-x)(其中x=1-3,並且Y包含Cl、Br、以及I)。更具體範例包含二烷胺基矽烷以及空間位阻烷基矽烷。在一具體範例中,BTBAS係用以產生氧化矽之前驅物。
於操作B期間,使階段A期間導入腔室中之氧化劑持續流入。在一些實施例中,其以如操作A期間之相同速率及相同濃度持續流入。在操作B結束時,使進入腔室之介電質前驅物的流量停止,並且操作C如所述般開始。於操作C期間,使氧化劑和惰性氣體如操作A及B期間持續流入以清除在反應腔室中的剩餘介電質前驅物。
於操作C期間清除完成之後,前驅物在基板表面上反應而形成介電薄膜的一部份(見操作D)。在各種實施例中,施加電漿以驅動吸附介電質前驅物之反應。在一些範例中,此反應為氧化反應。一些先前流入反應腔室中的氧化劑可與介電質前驅物一起吸附至表面上,從而提供用於電漿介導表面反應之立即可用的氧化劑。
操作A至D共同提供介電薄膜沉積製程之單一循環。應瞭解到於本文中所述之其他CFD實施例可用以代替此處所述之基本循環。在所描述之實施例中,在不導入任何摻雜物物種的情況下執行沉積循環(A至D)。在各種實施例中,由操作A至D所代表的循環在導入摻雜物物種之前連續地重複一或更多次。這在圖17的階段E中圖例說明。在一些範例中,在導入摻雜物之前重複操作A-D至少一次、或至少二次、或至少五次。
作為一範例,介電層以約0.5至1埃/循環的速率沉積。在一或更多循環(A-D的重複)之每一者自始至終使氧化劑持續流入反應腔室中。
在製程中的一些時間點,介電層沉積循環被摻雜物前驅物物種(例如乙硼烷)之導入所中斷。此圖例說明如圖式中的操作F。可提供於介電源薄膜中之摻雜物的範例包含如硼、鎵、磷、砷、以及其他摻雜物之III及IV價元素。除了乙硼烷之外,摻雜物前驅物之範例還包括磷化氫及其他氫化物源。亦可使用如烷基前驅物(例如三甲基鎵)、鹵素前驅物(例如氯化鎵)之非氫化物摻雜物。
在一些變化形式中,將摻雜物沉積在與下方基板的界面處,後接以摻雜物脈衝散置在每x數目循環的CFD循環(如所述般),並且選擇性地蓋上可為CFD氧化物薄膜之未摻雜保護「覆蓋」層。見圖18中所產生堆疊之範例。
在一具體實施例中,將摻雜物前驅物物種與如惰性氣體(例如氬)之載體氣體以混合物的形式(但不與氧化劑或其他反應物混合)提供至反應腔室。因此,在此基線範例中,於操作F期間停止氧化劑的流量。在其他實施例中,將前驅物與還原劑或氧化劑一起導入。在一些實施例中,摻雜物對載體氣體的濃度介於約1:5及1:20之間。在一些實施例中,摻雜物沉積溫度介於約300及400℃之間。摻雜物曝露步驟的持續時間根據目標摻雜物濃度而變化。在一些實施例中,曝露步驟介於約2.5秒及7.5秒之間。在一具體實施例中,在3Torr的壓力及約400℃下於10000sccm的氬中流入1000sccm的乙硼烷。
在一些實施例中,藉由非表面限制機制使摻雜物前驅物聚集在基板表面上。舉例而言,前驅物可藉由CVD式製程沉積而非ALD(表面吸附限制)製程。
在進一步處理介電薄膜之前,摻雜物前驅物選擇性地自反應腔室被清除。此外,如圖17所繪示,摻雜物前驅物之遞送後接可由電漿、升高之溫度等等中介之選擇性活化操作G。在乙硼烷作為摻雜物前驅物的範例中,活化操作轉換乙硼烷成元素硼。在操作G完成後,該製程以選擇性清除(未顯示)來接續。
在涉及CVD乙硼烷摻雜物的範例中,活化操作僅為溫度基礎分解以產生硼。此為易受溫度影響的製程。在較高溫度下,以每單位厚度相同的硼濃度為目標下可採用相對短的曝露時間。或者,在一些製程中(例如那些採用三甲基甲硼烷(TMB)的製程),活化可涉及電漿或熱氧化步驟。至於一些其他前驅物,可適當採用「釘扎」(“pinning”)步驟以保留自由硼或其他摻雜物在適當的地方。此可使用「釘扎」電漿來完成。
在一些實施例中,電漿活化涉及適合於使碳併入薄膜中之任何頻率的RF功率。在一些實施例中,RF電源供應可配置成彼此獨立地控制高頻及低頻RF電源。範例性低頻RF功率可包含(但不限於)介於約200kHz及1000kHz之間的頻率。範例性高頻RF功率可包含(但不限於)介於約10MHz及80MHz之間的頻率(例如13.56MHz)。同樣地,RF電源供應與匹配網路可在任何合適的功率下操作以形成電漿。合適的功率之範例包含(但不限於)對於高頻電漿介於約100W及3000W之間的功率、以及對於低頻電漿介於約100W及10000W之間的功率(在每一晶圓基礎上)。RF電源供應可在任何合適的工作週期下操作。合適的工作週期之範例包含(但不限於)介於約5%及90%之間的工作週期。可接受的製程壓力通常介於約0.5-5Torr之間,且較佳地約2-4Torr之間。至於在曝露至摻雜物之前的一些(下方基板之)電漿處理,已發現在高達約10Torr(或高達約9Torr)之壓力下運作良好。
下表總結可用於各種BSG製程之電漿參數的範圍:
在所描述之基線製程中,介電層沉積及間歇性摻雜物遞送之循環(操作A至G)可如圖式之階段H中所示執行多次。製程順序重複次數的實際數目取決於所期望之薄膜的總厚度、以及每一循環所沉積之介電層的厚度、以及摻雜物併入薄膜中的數量。在一些實施例中,操作A-G至少重複二次、或至少三次、或至少五次、或至少約十次。
在介電薄膜完全沉積之後,其可用作附近半導體結構之摻雜物物種源。此可藉由如圖17之操作I所示將摻雜物自沉積薄膜驅入裝置結構中來完成。在各種實施例中,驅入係藉由如回火之熱介導擴散製程來完成。在一些情況下,尤其是那些採用極淺接面的情況下,可採用雷射尖峰回火。
可實現在此基線製程上的許多變化。這些變化的其中一些以增加可用於擴散進入鄰近半導體結構中之摻雜物的數量作為其目標。其他變化係設計以控制藉以自源薄膜將摻雜物遞送入附近半導體結構中之速率。另外其他變化控制摻雜物物種擴散的方向。通常,理想上傾向摻雜物之擴散朝向裝置結構且遠離薄膜的反側。
在一些實施例中,摻雜物藉以導入生長中介電薄膜之頻率係受到控制。更頻繁的摻雜物前驅物遞送循環導致在最後的介電薄膜中摻雜物之整體較大濃度。其亦導致遍及薄膜之摻雜物的相對均勻分佈。當插入較少摻雜物前驅物遞送循環在沉積製程中時,則薄膜中高摻雜物濃度的區域比摻雜物遞送循環較頻繁時的情況分隔得更開。
在一實施例中,於介電層沉積的每一循環遞送摻雜物前驅物至生長中介電薄膜一次。在另一實施例中,於介電層沉積的每隔一循環期間遞送摻雜物前驅物一次。在其他實施例中,更低頻率之摻雜物前驅物遞送循環包含在製程中。舉例而言,可於介電層沉積的每第三、第四、或第五循環期間遞送摻雜物前驅物一次。在一些情況下,以每5-20個介電層沉積循環期間大約一次的頻率來遞送摻雜物前驅物。
應瞭解到摻雜物前驅物導入生長中薄膜的頻率在介電薄膜沉積的過程中不必一致。考慮到這點,所產生之介電薄膜可具有分等級的摻雜物成分使得在沉積介電薄膜之厚度中摻雜物的平均濃度不均勻。在一實施例中,在鄰接待摻雜半導體裝置結構之介電薄膜的一側上摻雜物的濃度較大。當然,介 電薄膜中摻雜物濃度梯度可藉由在整個介電層沉積製程的過程中謹慎地改變摻雜物遞送循環的頻率而修改成所期望者。
基線製程上的另一變化涉及在任何摻雜物前驅物遞送循環期間調整所遞送之摻雜物前驅物的數量。於任何特定的摻雜物遞送循環期間所遞送之摻雜物前驅物的數量將由遞送至反應腔室之摻雜物前驅物的濃度以及基板曝露至所遞送之摻雜物前驅物的持續時間來決定。
如以上所示,可經由類似CVD製程提供一些摻雜物前驅物至生長中薄膜上。在如此情況下,在任何特定循環中遞送至生長中薄膜之摻雜物前驅物的數量不受限於吸附或其他表面介導現象。因此,在任一摻雜物遞送循環所提供之摻雜物前驅物的數量可相對大且可控制。在任何摻雜物遞送循環期間遞送之摻雜物前驅物達到更大量的程度,則介電薄膜中摻雜物的整體濃度增加。此可補償在整個製程中具有相對不頻繁摻雜物前驅物遞送循環的效應。然而,必須瞭解到增加任何特定的摻雜物前驅物遞送循環期間所遞送摻雜物的數量可能導致薄膜中相對高之摻雜物的局部濃度。當然,如此之摻雜物濃度尖峰可藉由回火或其他在介電薄膜中摻雜物藉以擴散以使其濃度變得更均勻的操作使之柔和。
在硼作為摻雜物的情況下,典型的硼前驅物遞送循環期間所遞送之硼通量依據目標薄膜濃度可從約7.5ML(百萬朗繆爾,Mega-Langmuirs)變化至30ML(ML為通量/曝露之單位)。
在一些實施例中,在每一前驅物遞送循環中所遞送之摻雜物前驅物的數量在全部介電薄膜的生長並非自始至終固定。因此,可修改每一循環所遞送之摻雜物前驅物的數量以便在介電薄膜中產生期望之摻雜物濃度梯度。例如,理想上可在那些發生在介電薄膜中相對靠近待摻雜半導體裝置特徵部的位 置之摻雜物前驅物遞送循環中提供更大量的摻雜物前驅物。所產生之濃度梯度在鄰接待摻雜裝置結構之薄膜區域中具有較大的摻雜物濃度。
在一些實施例中,摻雜物前驅物係以吸附限制方式併入到基板表面上。在如此前驅物的情況下,經由類似ALD製程(相對於如以上所述類似CVD方式)進行摻雜物導入至薄膜中。藉由吸收介導過程而黏附至基板表面之摻雜物前驅物的範例包含三甲基硼烷、以及其他如三甲基鎵之烷基前驅物。藉由類似CVD製程而累積在基板表面上之摻雜物前驅物的範例包含乙硼烷、磷化氫、以及砷化氫。
一般而言,介電薄膜中摻雜物的可濃度分佈可修改為適當者。在一實施例中,摻雜物濃度在鄰接待摻雜結構之薄膜的邊緣處突升至高位準。在一些實施例中,該濃度在整個薄膜厚度間歇地上升及下降。在一範例中,僅於下方基板與CFD介電層之間的界面處提供摻雜物(例如硼)。此摻雜物層有時稱為「尖峰層」(“spike layer”)。在一些情況下,使摻雜物曝露產生脈衝(使用例如CVD曝露至摻雜物前驅物)而非採用單一步驟,從而增加摻雜物併入之晶圓內一致性。在另一範例中,將CFD氧化物或其他介電質與摻雜物一起散佈(例如在摻雜BSG中的硼)。見圖18及19。散佈之摻雜介電質可在具有或不具有尖峰層的情況下提供。又另一範例中,未摻雜CFD氧化物或其他介電罩作為保護層。再次見圖18及19。
摻雜物物種存留於其中之介電薄膜可自我修改以影響摻雜物物種的擴散通過薄膜本身。例如,可控制薄膜密度及/或化學成份以便在摻雜物物種擴散上產生期望之影響。在一些方法中,整個介電層厚度具有相同密度或成份使得修改之摻雜物擴散特性在整個薄膜厚度始終不變。在其他方法中,修改薄膜特性以使摻雜物擴散在薄膜厚度範圍內變化。例如,發明人已發現可改變 電漿氧化參數以使CFD氧化物較不密集,從而允許於回火期間較多摻雜物擴散橫越CFD氧化物。
在一些實施例中,修改介電薄膜的成分(或用以形成薄膜之處理氣體)來影響其中摻雜物擴散。已發現到例如於介電薄膜沉積循環期間在遞送至反應腔室之氧化劑處理氣體中的氮對氧比率影響摻雜物物種擴散通過介電薄膜的能力。舉例而言,較大量的氮存在於介電薄膜形成期間所使用之氧化劑氣體中導致介電薄膜具有對摻雜物擴散明顯的阻抗性。相較之下,相對較大量的氧存在該氣體中導致該薄膜具有對摻雜物擴散較小許多的阻抗性。可藉由含氮化合物(例如N2O)或元素氮(N2)的方式來提供存在於處理氣體的氮。在各種實施例中,於介電薄膜沉積循環期間持續流入之氧化劑包含一氧化二氮。
在一些實施例中,藉由在介電薄膜的初始生長階段期間最初使用高氧含量及相對低氮含量之氧化劑氣體來製作介電薄膜。稍後,在待摻雜之基板結構上形成部份薄膜之後,改變氧化劑氣體的成分使得其相對富含較多氮。舉例而言,於初始沉積循環期間,用於介電薄膜之氧化劑氣體可完全包含分子氧。在稍後的介電層沉積循環中,改變氧化劑氣體使得至少一部份的氧由一氧化二氮取代。假設目標為提高朝薄膜的底部方向擴散並阻止朝薄膜的頂部方向擴散(假設待摻雜之裝置結構位於介電薄膜下方)。發明人已發現若氮濃度位準大於約1E20atoms/cc(由例如SIMS量測),則對於硼擴散阻止作用顯著。相較之下,在約1E19atoms/cc或更低的氮濃度位準時,實際上可忽略阻止作用。
從薄膜成分本身的觀點而言,薄膜中的氮含量可從接近待摻雜基板結構的薄膜部份中相對低位準變化至位於待摻雜結構對面的部份中相對較高位準。
於介電薄膜形成期間採用之沉積溫度亦影響摻雜物原子在薄膜內部擴散的能力。一般而言,已發現到藉由CFD處理在相對低溫下沉積之介電 層通常允許相對高摻雜物擴散速率。與相對高摻雜物擴散速率相關聯之相對低溫的範例為約300至400℃範圍中的溫度,或更具體地介於約350至400℃之間。當然,這些溫度範圍取決於介電質前驅物的選取以及其他沉積參數。雖然其可與一些前驅物一起採用,但其特別適合於使用BTBAS作為介電質前驅物。
相較之下,在相對較高溫下沉積之介電層傾向於阻抗摻雜物物種的擴散。在使用BTBAS作為介電質前驅物的情況下,與相對低摻雜物擴散速率相關聯之相對高溫為約350至400℃的範圍中,或更具體地介於約300至380℃之間。當然,這些溫度可應用至其他前驅物。此外,雖然事實為更高溫度通常產生阻抗摻雜物擴散之更緻密薄膜,但亦可經由其他如電漿氧化期間之RF曝露時間及功率來控制擴散性及/或密度。可於CFD氧化物生長期間採用之基線參數的範例包括(1)在約200-2500 Watts之高頻電漿(對於300mm晶圓),通常無低頻電漿、以及(2)在約0.2至1.5秒範圍中之電漿曝露時間。
在一些實施例中,採用相對低溫來沉積鄰接待摻雜之裝置結構的介電薄膜,並且採用較高溫度來沉積更遠離該裝置的介電薄膜部份。在一些實施例中,在全部介電薄膜的沉積期間採用之溫度係變動的,且同樣地,在沉積製程期間氧化劑氣體中氮對氧比率係變動的。以此方式,所產生之介電薄膜的摻雜物擴散特性可在薄膜厚度範圍內變化至一擴大程度。
在各種實施例中,沉積溫度係由加熱及/或冷卻於CFD期間夾持基板之基座或夾盤加以控制。適當基座之範例係敘述在申請於2009年5月5日之美國專利申請案第12/435890號(公開申請案第US-2009-0277472號)以及申請於2011年4月13日之美國專利申請案第13/086010號之中,其二者皆整體併入於此作為參考。
在一些實施例中,在介電薄膜或摻雜物前驅物沉積之前對待摻雜之基板表面上的裝置結構做預處理。在一範例中,預處理涉及曝露至電漿,如 還原電漿。當例如待摻雜之基板特徵部包含矽時,如此之處理會是適當的。通常矽包含可對後續摻雜物擴散作為阻障之少量的原生氧化物。在一具體實施例中,以例如含氫電漿之還原電漿對基板表面做預處理,並接著在介電薄膜沉積的第一循環之前使該表面與呈氣相之摻雜物前驅物接觸。可在電漿預處理完成之後立即將該前驅物遞送至反應腔室。在一些範例中,摻雜物前驅物係乙硼烷。一般而言,可修改圖17所繪示之程序使得在第一介電層沉積循環之前將摻雜物或摻雜物前驅物遞送至基板表面。
在各種實施例中,於曝露至摻雜物前驅物前以電漿或其他活化處理對部份形成之介電薄膜本身做預處理。此藉由以下敘述用以提高晶圓內一致性:(a)在摻雜物前驅物曝露之前提供熱一致性、(b)活化介電層表面(例如藉由化學及/或物理粗糙化)以增加黏附至介電層表面之摻雜物前驅物。
在一些其他實施例中,於薄膜沉積製程之摻雜物前驅物遞送及/或活化階段期間摻雜物物種的化學條件係受到控制。在一些實施例中,以將摻雜物「固定」在介電薄膜中並從而限制摻雜物擴散直到隨後由回火或其他如此操作活化為止的方式來處理摻雜物前驅物。在一範例中,於介電薄膜沉積製程之摻雜物遞送階段期間藉由使其或其前驅物氧化而將一些摻雜物固定。在一具體範例中,將乙硼烷遞送至處於氧化環境之反應腔室以使所產生之含硼材料有效地固定在介電薄膜中。或者,藉由將前驅物遞送至處於惰性或還原環境之反應腔室而使摻雜物固定,並且之後在位於介電薄膜上時曝露至氧化環境。相較之下,在沒有後續氧化的情況下,以還原劑處理一些摻雜物前驅物可在介電薄膜中產生更易移動的摻雜物。
在源層形成之後(或於其形成期間),將摻雜物物種驅入或用其他方式併入製作中裝置的相鄰結構中。在一些實施例中,於形成保形摻雜物源薄膜期間或之後藉由回火將摻雜物物種驅入。除了習知的熱回火之外,還可使用 例如急驟回火及雷射尖峰回火。回火的時間和溫度取決於各種參數,包含:源層中摻雜物的濃度、數量、及種類;源層基材(例如氧化物玻璃)的成分與形態;摻雜物物種必須移動進入鄰接裝置結構中的距離;裝置結構中所期望之摻雜物的濃度;以及裝置結構的成分與形態。一些實施例中,在介於約900及1100℃之間的溫度下執行回火持續約2至30秒。
可設計各種設備來沉積如此處所述之摻雜介電薄膜。一般而言,該設備將包含於摻雜薄膜之沉積期間用以夾持基板之處理腔室。該處理腔室將包含允許處理氣體進入之一或更多進氣口,該處理氣體包含介電質前驅物、氧化劑、載體氣體或惰性氣體、摻雜物物種、及其類似者。在各種實施例中,該設備將額外包含用於產生電漿的特徵,該電漿具有適合於產生介電層;使摻雜物併入介電層中;處理介電層以修改該層之電性、光學、機械、及/或化學特性;以及自薄膜將摻雜物驅入基板中之特性。通常,該設備將包含真空泵或用以連結至此泵之供應。再者,該設備將具有配置或設計成控制該設備以完成此處所述之摻雜介電層沉積操作的順序之一或複數控制器。該控制器可包含用以控制該設備之各種特徵的指令,該特徵包括用以遞送處理氣體及控制壓力的閥、用以產生電漿的電源供應、以及真空源。該指令可控制各種操作的時間及順序。在各種實施例中,該設備可具有如供應自加州聖荷西Novellus Systems之VectorTM系列沉積工具中所提供之特徵。用於沉積摻雜介電薄膜之合適設備的其他特徵將於本文中其他地方加以敘述。
摻雜CFD薄膜特性
作為摻雜物物種源之介電薄膜將具有各種特徵。在各種實施例中,薄膜厚度介於約20及200埃之間。在一些情況下,如用於三維電晶體結構之源極-汲極延伸區域的前端摻雜,則薄膜厚度介於約50及100埃之間。介電薄膜中摻雜物原子(或其他摻雜物物種)的平均濃度取決於各種因素,包括薄膜之每單位 表面積摻雜物的總數量、及薄膜中摻雜物原子的擴散性、以及摻雜應用。在一些實施例中,薄膜中摻雜物的濃度介於約0.01及10重量百分率之間。在另外的實施例中,薄膜中摻雜物的濃度介於約0.1至1重量百分率之間。在更另外的實施例中,薄膜中摻雜物的濃度介於約0.5至4重量百分率之間。於此所述之技術允許在寬廣的範圍(例如介於約0.01及10重量百分率之間)中調整摻雜物濃度。例如,已教示在CFD介電薄膜中可將硼濃度輕易地調整在介於約0.1及4.3重量百分率之間。在一些實施例中,在硼介於約0.1及0.5wt%的情況下生長5、7、10、以及12nm CFD薄膜。
可以其他特性作為CFD摻雜介電薄膜之特徵。例如,CFD沉積薄膜的片電阻(Rs)可從約100變化至50000ohms/方形。在一些情況下,在部份或全部摻雜物已從摻雜CFD層驅入後而獲得這些值。另外藉由從CFD薄膜驅入摻雜物所產生之接面深度(例如藉由SIMS量測)可適當調整至高達約1000埃的程度。當然,許多前端裝置而是需要較淺的接面深度(例如約5-50埃的範圍中),其亦可使用CFD薄膜而獲得。可藉由許多因素來控制實際接面深度,例如界面摻雜物(例如硼)濃度、摻雜物從主體及界面進入基板(例如矽)的遷移率、以及用以驅入摻雜物之回火的溫度與持續時間。
CFD摻雜應用
其上形成介電源層之基板表面可能需要高保形沉積。在一些範例中,介電源薄膜一致地覆蓋具有介於約1:0.5及1:12之間(更具體地介於約1:1及1:8之間)的高寬比之特徵部,並且具有不大於約60nm(更具體地不大於約30nm)的特徵部寬度。使用本文中所述介電源層類型之摻雜將在根據45nm技術節點及更往後技術(包括22nm技術節點、16nm技術節點等等)所形成的裝置中找到特定應用。
在可使用CFD源層摻雜的裝置結構之中為例如CMOS源極與汲極、源極-汲極延伸區域、記憶體裝置中的電容電極、閘極結構等等之習知摻雜結構。其他可以此方式摻雜之結構為例如在閘極結構中於源極/汲極延伸區域處之接面(如同那些以22奈米技術節點製作的一些裝置中所採用的一些三維閘極結構中之接面)的非平面或三維結構。一些三維結構可見於先前併入作為參考之J.Kavalieros等人於Symp.VLSI Tech Pg 50,2006所提出之「Tri-gate(Intel)」、以及Yamashita等人(IBM Alliance)於VLSI 2011所提出之「FinFET」、以及其中參考文獻。
摻雜CFD薄膜具有各種其他應用,例如提供使用在積體電路製作中各個階段之可蝕刻層。在一些實施例中,可蝕刻層為具有可調式濕蝕刻速率之玻璃層,其中該蝕刻速率可由摻雜的程度加以調整。換言之,選取摻雜的程度以提供預定之蝕刻速率。在具體實施例中,可蝕刻層為包含如磷、硼、或其組合之摻雜物的矽酸鹽玻璃層。
CFD摻雜範例
CFD硼摻雜矽酸鹽玻璃(BSG)薄膜準備好並且在複雜的三維閘極結構上達到幾乎100%階梯覆蓋。預期磷摻雜矽酸鹽玻璃(PSG)有類似結果。在摻雜物之擴散下提供保形/同質的後續回火步驟期間可將硼或磷從如此薄膜驅入源極和汲極接面之橫向及垂直區域中。圖20顯示用以合成CFD BSG/PSG薄膜之典型沉積組塊。CFD氧化物生長循環包含(a)SiO2前驅物(BTBAS)的飽和劑量、(b)惰性清除以沖出殘餘前驅物物種、(c)氧化電漿步驟、以及(d)惰性氣體清除以移除反應副產物。此機制確保反應為自我限制並且促進在這些薄膜觀察到優越的保形性。若必要時,於CFD氧化物生長期間週期性插入硼或磷曝露步驟,後接泵抽和清除序列,以及選擇性RF釘扎/硬化步驟(例如曝露至電漿)。此沉積組塊依目標BSG/PSG厚度需要重複多次。見圖20。
插入硼或磷曝露的頻率調整在一特定溫度下摻雜物擴散距離,而曝露的期間長度控制總摻雜物劑量。這兩個強力的控制參數提供用以精準調整界面摻雜物濃度之多方面合成方案。
在實驗中,CFD已證明在BSG薄膜中優越的生長特徵。該CFD BSG製程使用BTBAS作為矽源、N2O電漿用於氧化、以及氬之中5%乙硼烷(B2H6)用於硼摻雜。氬和N2O的混合物用作清除氣體。獲得與未摻雜CFD氧化物上結果一致之~1埃/循環的生長速率,因而顯示包含硼曝露步驟不會對CFD生長造成不利影響。如藉由SEM照片所示般,250埃厚之CFD BSG薄膜在不同測試結構上展現近乎完美的保形性。這些薄膜的階梯覆蓋在密集和分離的結構(圖21)上估計為~100%。階梯覆蓋定義為特徵部之側壁上的薄膜厚度除以該相同特徵部之頂部上的薄膜厚度之商數。表6顯示來自初步研究的不同分歧,用以區分出硼曝露時間、硼插入頻率、及生長溫度對薄膜中最後平均硼濃度的影響。25X CFD Ox表示每一硼插入階段有25個CFD未摻雜氧化物循環。此樣本大約生長至500埃,因此整個序列重複約20次(假設CFD氧化物的生長速率為1埃/循環)。這些分歧的SIMS資料(如圖22所示)顯示平均硼濃度可調整在約0.5-3.5wt%硼的範圍中,而使訂製摻雜選擇成為可能。
設備
應瞭解到在以上所述之一或更多實施例的情況下可採用任何合適的處理站。例如,圖13示意地顯示CFD處理站1300之實施例。為簡單起見,CFD處理站1300繪示為具有用以維持低壓環境之處理腔室本體1302之獨立處理站。然而,應瞭解到在共同的低壓處理工具環境中可包含複數CFD處理站1300。雖然圖13所示之實施例顯示一處理站,但應瞭解到在一些實施例中複數處理站可包含在一處理工具中。例如,圖14繪示一多站處理工具2400之實施例。此外,應瞭解到在一些實施例中可藉由一或更多電腦控制器程式性地調整CFD處理站1300之一或更多硬體參數,包含以下詳述之參數。
CFD處理站1300與用於遞送處理氣體至分佈噴淋頭1306之反應物遞送系統1301流體連通。反應物遞送系統1301包含用以混和及/或調節遞送至噴淋頭1306之處理氣體的混合容器1304。一或更多混合容器進氣閥1320可控制處理氣體至混合容器1304之導入。
如BTBAS的一些反應物可在於處理站汽化並隨後遞送至處理站之前以液體形式儲存。例如,圖13之實施例包含用於汽化欲供應至混合容器1304之液態反應物的汽化點1303。在一些實施例中,汽化點1303可為加熱之汽化器。從如此汽化器產生的飽和反應物蒸汽可在下游遞送管道中凝結。使不相容氣體曝露至凝結的反應物可產生小顆粒。這些小顆粒可阻塞管道、妨礙閥操作、污染基板等等。應對這些問題的一些方法涉及清除及/或排空遞送管道以移除殘留反應物。然而,清除遞送管道可增加處理站循環時間,從而降低處理站吞吐量。因此,在一些實施例中可對汽化點1303下游之遞送管道進行熱追蹤。在一些範例中,亦可對混合容器1304進行熱追蹤。在一非限制性範例中,汽化點1303下游之管道具有在混合容器1304處從大約攝氏100度延伸至大約攝氏150度之遞增溫度曲線。
在一些實施例中,可在液體注入器使反應物液體汽化。例如,液體注入器可將液體反應物之脈衝注入在混合容器上游之載體氣體流中。在一個情形中,液體注入器可藉由將該液體從較高壓力急驟汽化至較低壓力來使反應物汽化。在另一情形中,液體注入器可使該液體霧化成隨後在加熱遞送管道中汽化之分散微滴。應瞭解到較小液滴可比較大液滴更快汽化,從而減小液體注入和完全汽化之間的延遲。更快的汽化可減短自汽化點1303下游管道的長度。在一個情形中,液體注入器可直接安裝至混合容器1304。在另一情形中,液體注入器可直接安裝至噴淋頭1306。
將噴淋頭1306及基座1308與RF電力供應1314及匹配網路1316電性連通以對電漿供電。在一些實施例中,可藉由控制處理站壓力、氣體濃度、RF源功率、RF源頻率、以及電漿功率脈衝計時之一者或多者來控制電漿能量。例如,RF電力供應1314及匹配網路1316可在任何合適功率下操作以形成具有期望之自由基物種成分的電漿。合適功率之範例包含(但不限於)對於300mm晶圓介於100W及5000W之間的功率。同樣地,RF電力供應1314可提供任何合適頻率的RF功率。在一些實施例中,RF電力供應1314可配置成高頻與低頻RF電源彼此獨立控制。範例性低頻RF頻率可包含(但不限於)介於50kHz及500kHz之間的頻率。範例性高頻RF頻率可包含(但不限於)介於1.8MHz及2.45GHz之間的頻率。應瞭解到可離散地或連續地調變任何合適的參數以提供用於表面反應之電漿能量。在一非限制性範例中,可使電漿功率間歇地產生脈衝以減輕相對於連續供電電漿對基板表面之離子轟擊。
在一些實施例中,可藉由一或更多電漿監測器來原位監測電漿。在一情形中,可藉由一或更多電壓、電流感測器(例如VI探針)來監測電漿功率。在另一情形中,可藉由一或更多光學放射光譜感測器(OES)來量測電漿密度及/或處理氣體濃度。在一些實施例中,可基於從如此原位電漿監測器之量測來程 式化地調整一或更多電漿參數。例如,可在回饋迴路中使用OES感測器以提供電漿功率之程式化控制。應瞭解到在一些實施例中,可使用其他監測器來監測電漿及其他製程特性。如此之監測器可包含(但不限於)遠紅外線(IR)監測器、聲學監測器、以及壓力轉換器。
在一些實施例中,可經由加熱器1310對基座1308進行溫度控制。此外,在一些實施例中,可藉由蝶形閥1318提供對CFD處理站1300之壓力控制。如圖13之實施例所示,蝶形閥1318調節由下游真空泵(未顯示)所提供之真空。然而,在一些實施例中,亦可藉由改變一或更多導入至CFD處理站1300之氣體的流速來調整處理站1300之壓力控制。
如以上所述,一多站處理工具中可包含一或更多處理站。圖14顯示具有入站裝載鎖2402及出站裝載鎖2404(其任一者或二者可包含遠端電漿源)之多站處理工具2400之實施例的示意圖。一處於大氣壓力下之機械臂2406係配置以將來自經由箱體2408載入之卡匣的晶圓經由大氣口2410移動至入站裝載鎖2402中。藉由機械臂2406將晶圓置放在入站裝載鎖2402中的基座2412上、關閉大氣口2410、並且將裝載鎖抽空。在導入處理腔室2414之前可使晶圓曝露至裝載鎖中的遠端電漿處理,其中入站裝載鎖2402包含遠端電漿源。此外,亦可在入站裝載鎖2402中對晶圓加熱,同樣地例如移除水分及吸附氣體。接著,開啟通至處理腔室2414之腔室運送口2416,並且另一機械臂(未顯示)將晶圓置放入反應器中之該反應器所示第一站的基座上以供處理。雖然圖14所示之實施例包含裝載鎖,但應瞭解到在一些實施例中可提供晶圓至處理站之直接進入。
所繪示之處理腔室2414包含四個處理站,在圖14所示之實施例中編號從1到4。每一站具有加熱基座(顯示在站1之2418處)、以及氣體管線入口。應瞭解到在一些實施例中,每一處理站可具有不同或多個目的。例如,在一些實施例中,處理站可於CFD及PECVD製程模式之間切換。此外或選擇性地,在 一些實施例中,處理腔室2414可包含一或更多CFD及PECVD處理站之匹配對。雖然繪示之處理腔室2414包含四個站,但應瞭解到根據本發明之處理腔室可具有任何合適數目的站。例如,在一些實施例中處理腔室可具有五或更多站,而在其他實施例中處理腔室可具有三或更少站。
圖14亦繪示用於在處理腔室2414內運送晶圓之晶圓搬運系統2490的實施例。在一些實施例中,晶圓搬運系統2490可在各個處理站之間及/或處理站與裝載鎖之間運送晶圓。應瞭解到可採用任何合適的晶圓搬運系統。非限制性的範例包含晶圓傳送帶及晶圓搬運機械臂。圖14亦繪示用以控制處理工具2400之製程條件及硬體狀態之系統控制器2450的實施例。系統控制器2450可包含一或更多記憶體裝置2456、一或更多大量儲存裝置2454、以及一或更多處理器2452。處理器2452可包含CPU或電腦、類比及/或數位輸入/輸出連接、步進馬達控制器板等等。
在一些實施例中,系統控制器2450控制處理工具2400的所有活動。系統控制器2450執行儲存在大量儲存裝置2454中、載入至記憶體裝置2456、並於處理器2452上執行之系統控制軟體2458。系統控制軟體2458可包含用以控制由處理工具2400執行之特定製程的計時、氣體混合、腔室及/或站壓力、腔室及/或站溫度、晶圓溫度、目標功率位準、RF功率位準、基板基座、夾盤及/或晶座位置、以及其他參數之指令。系統控制軟體2458可以任何合適的方式來配置。例如,可寫入各種處理工具元件子程式或控制目標程式以控制實行各種處理工具製程必要之處理工具元件的操作。系統控制軟體2458可用任何合適的電腦可讀程式化語言予以編碼。
在一些實施例中,系統控制軟體2458可包含用以控制以上所述之各種參數的輸入/輸出控制(IOC)定序指令。例如,CFD製程的每一階段可包含供系統控制器2450執行之一或更多指令。用以設定CFD製程階段之製程條件的指 令可包含在對應的CFD配方階段中。在一些實施例中,可依序安排CFD製程階段使得關於CFD製程的所有指令皆與該製程階段同時執行。
在一些實施例中,可採用儲存在與系統控制器2450相關聯之大量儲存裝置2454及/或記憶體裝置2456上的其他電腦軟體及/或程式。用於此目的之程式或程式片段的範例包含基板定位程式、處理氣體控制程式、壓力控制程式、加熱器控制程式、以及電漿控制程式。
基板定位程式可包含用於裝載基板至基座2418上以及控制基板與處理工具2400其他部份之間的間隔之處理工具元件的程式碼。
處理氣體控制程式可包含用於控制氣體成分和流速、以及選擇性地用於在沉積之前使氣體流入一或更多處理站以使處理站中的壓力穩定之碼。壓力控制程式可包含藉由調節例如處理站之排氣系統中的節流閥、進入處理站之氣體流量等等以控制處理站中之壓力的編碼。
加熱器控制程式可包含用於控制通到用以加熱基板之加熱單元之電流的編碼。或者,加熱器控制程式可控制熱傳送氣體(如氦)至基板之遞送。
電漿控制程式可包含用於設定施加至一或更多處理站中之處理電極之RF功率位準的編碼。
在一些實施例中,可存在與系統控制器2450相關聯之使用者介面。使用者介面可包含顯示螢幕、設備及/或製程條件之圖形軟體顯示器、以及如指標裝置、鍵盤、觸控螢幕、麥克風等等之使用者輸入裝置。
在一些實施例中,由系統控制器2450調整之參數可與製程條件有關。非限制性範例包含處理氣體成分及流速、溫度、壓力、電漿條件(如RF偏壓功率位準)、壓力、溫度等等。這些參數可以其可利用使用者介面輸入之配方的形式提供給使用者。
用來監測製程之信號可從各個處理工具感測器藉由系統控制器2450之類比及/或數位輸入連接來提供。用來控制製程之信號可輸出到處理工具2400之類比及數位輸出連接上。可受監測之處理工具感測器的非限制性範例包含質量流量控制器、壓力感測器(如壓力計)、熱電偶等等。適當程式化回饋及控制演算法可與來自這些感測器的資料一起使用以維持製程條件。
系統控制器2450可提供用以實施以上所述之沉積製程的程式指令。程式指令可控制如DC功率位準、RF偏壓功率位準、壓力、溫度等等之各種製程參數。該指令可控制該參數以操作根據本文中所述之各種實施例之薄膜堆疊的原位沉積。
於上文中敘述之設備/製程可與例如用於製作或製造半導體裝置、顯示器、LED、光伏板、及其類似者之微影圖案化工具或製程結合使用。通常(儘管非必然)如此之工具/製程將在共同的製作設施中一起使用或實施。薄膜之微影圖案化通常包含以下操作(用一些合適的工具來實現每一操作)的部份或全部:(1)使用旋塗或噴塗工具在工作件(即基板)上塗佈光阻;(2)使用熱板或加熱爐或UV硬化工具使光阻硬化;(3)用例如晶圓步進機之工具使光阻曝露至可見或UV或x射線光;(4)使用如濕式工作檯之工具使光阻顯影以選擇性地移除光阻並從而將其圖案化;(5)藉由使用乾式或電漿輔助蝕刻工具將光阻圖案轉移至下方的薄膜或工作件中;以及(6)使用如RF或微波電漿光阻剝除器之工具來移除光阻。
應瞭解到於本文中敘述之配置及/或方法實質上為示範性的,而且這些具體實施例或範例不應視為限制性意義,因為許多變化均有可能。於本文中敘述之具體例行程序或方法可表示一或更多之任何數目的處理對策。因此,圖例說明之各種動作可按所示之順序、其他順序、並行、或一些省略的情況來執行。同樣地,可改變以上所述製程之順序。
本發明之標的包含各種製程、系統與配置、以及於此揭露之其他特徵、功能、動作、及/或特性、以及與其相關之任何及所有均等者之所有新穎及非顯而易見之組合及次組合。

Claims (23)

  1. 一種在基板表面上沉積介電薄膜之設備,該設備包含: 一反應腔室,包含在該介電薄膜的沉積期間用以夾持該基板之裝置; 一或更多處理氣體進氣口,耦接至該反應腔室;以及 一控制器,設計或配置以操作該設備來執行以下操作: (a)在允許氧化劑吸附至該基板表面上的條件下,將該氧化劑流入該反應腔室中; (b)在該氧化劑持續流入該反應腔室中時,將介電質前驅物導入該反應腔室中; (c)使該基板表面曝露至電漿以驅動該基板表面上之該介電質前驅物與該氧化劑之間的反應,從而形成該介電薄膜的一部份; (d)在允許含摻雜物材料接觸該介電薄膜之曝露表面的條件下,將未在(a)-(c)期間導入之該含摻雜物材料導入該反應腔室中;以及 (e)將來自該含摻雜物材料之摻雜物結合至該介電薄膜中。
  2. 如申請專利範圍第1項之在基板表面上沉積介電薄膜之設備,其中該控制器更設計或配置以操作該設備而使將該摻雜物結合至該介電薄膜中之操作(e)包含自該介電薄膜將該摻雜物驅入該基板中。
  3. 如申請專利範圍第1項之在基板表面上沉積介電薄膜之設備,其中該控制器更設計或配置以操作該設備而使: 在將該含摻雜物材料導入之操作(d)期間,該條件係使該含摻雜物材料吸附於該介電薄膜之曝露表面上,而形成一吸附限制層;及 將該摻雜物結合至該介電薄膜中之操作(e)包含使該含摻雜物材料反應,以形成含有摻雜物源之該介電薄膜的一層。
  4. 如申請專利範圍第3項之在基板表面上沉積介電薄膜之設備,其中該控制器更設計或配置以操作該設備而使在操作(e)中使該含摻雜物材料反應包含使該含摻雜物材料曝露於電漿。
  5. 如申請專利範圍第3項之在基板表面上沉積介電薄膜之設備,其中操作(d)和操作(e)的組合包含至少部分的原子層沉積之程序。
  6. 如申請專利範圍第3項之在基板表面上沉積介電薄膜之設備,其中該控制器更設計或配置以操作該設備以額外執行以下操作: (f)在操作(d)與(e)之後,形成一覆蓋層,該覆蓋層為保護性擴散阻障層。
  7. 一種在基板表面上沉積介電薄膜之設備,該設備包含: 一反應腔室,包含在該介電薄膜的沉積期間用以夾持該基板之裝置; 一或更多處理氣體進氣口,耦接至該反應腔室;以及 一控制器,設計或配置以操作該設備來執行以下操作: (a)在允許介電質前驅物吸附至該基板表面上的條件下,將該介電質前驅物導入該反應腔室中; (b)之後在該介電質前驅物保持吸附在該基板表面上時,自該反應腔室清除該介電質前驅物; (c) 使該基板表面曝露至電漿以驅動該基板表面上之該介電質前驅物的反應,從而形成該介電薄膜的一部份;以及 (d)在允許摻雜物前驅物接觸該介電薄膜之該部份的條件下,將未在(a)-(c)期間導入之該摻雜物前驅物導入該反應腔室中。
  8. 如申請專利範圍第7項之在基板表面上沉積介電薄膜之設備,其中該控制器更設計或配置以操作該設備以在(a)-(c)之前以及在(a)-(c)期間使氧化劑流入該反應腔室中。
  9. 如申請專利範圍第8項之在基板表面上沉積介電薄膜之設備,其中該控制器更設計或配置以操作該設備而使在將該摻雜物前驅物導入之操作(d)期間,該條件係使該摻雜物前驅物吸附於該介電薄膜之前述部份上,而形成一吸附限制層;並且其中該控制器更設計或配置以操作該設備以額外執行以下操作: (e) 使吸附之該摻雜物前驅物反應,以形成含有摻雜物源之該介電薄膜的一層。
  10. 如申請專利範圍第9項之在基板表面上沉積介電薄膜之設備,其中該控制器更設計或配置以操作該設備而使得在操作(e)中使吸附之該摻雜物前驅物反應包含使該摻雜物前驅物曝露於電漿。
  11. 如申請專利範圍第10項之在基板表面上沉積介電薄膜之設備,其中操作(d)和操作(e)的組合包含至少部分的原子層沉積之程序。
  12. 如申請專利範圍第10項之在基板表面上沉積介電薄膜之設備,其中該控制器更設計或配置以操作該設備以額外執行以下操作: (f)在操作(d)與(e)之後,形成一覆蓋層,該覆蓋層為保護性擴散阻障層。
  13. 一種在反應腔室中於非平面基板表面上沉積薄膜之方法,該方法包含: 在允許第一反應物吸附至該非平面基板表面上的非電漿條件下,將該第一反應物導入該反應腔室中; 在非電漿條件下,將含摻雜物材料導入該反應腔室中;及 隨後將該非平面基板表面曝露於電漿,以形成與該非平面基板表面保形之摻雜薄膜。
  14. 如申請專利範圍第13項之在反應腔室中於非平面基板表面上沉積薄膜之方法,其中該第一反應物係含矽反應物。
  15. 如申請專利範圍第14項之在反應腔室中於非平面基板表面上沉積薄膜之方法,其中該摻雜物係選自由硼、磷、砷、及鎵所組成的群組。
  16. 如申請專利範圍第13項之在反應腔室中於非平面基板表面上沉積薄膜之方法,更包含,在將該非平面基板表面曝露於電漿之步驟之前,將第二反應物導入該反應腔室中。
  17. 如申請專利範圍第16項之在反應腔室中於非平面基板表面上沉積薄膜之方法,其中該第二反應物係氧化劑。
  18. 如申請專利範圍第16項之在反應腔室中於非平面基板表面上沉積薄膜之方法,其中該第二反應物係含氮反應物。
  19. 如申請專利範圍第17項之在反應腔室中於非平面基板表面上沉積薄膜之方法,其中該摻雜薄膜係摻雜氧化矽薄膜。
  20. 如申請專利範圍第18項之在反應腔室中於非平面基板表面上沉積薄膜之方法,其中該摻雜薄膜係摻雜氮化矽薄膜。
  21. 如申請專利範圍第16項之在反應腔室中於非平面基板表面上沉積薄膜之方法,其中該摻雜薄膜係摻雜碳化矽薄膜。
  22. 如申請專利範圍第13項之在反應腔室中於非平面基板表面上沉積薄膜之方法,更包含在該第一反應物吸附在該非平面基板表面上時,將第二反應物導入該反應腔室中。
  23. 如申請專利範圍第22項之在反應腔室中於非平面基板表面上沉積薄膜之方法,更包含使該非平面基板表面曝露至電漿以驅動該非平面基板表面上之該第一及第二反應物之間的反應,從而形成該薄膜的一部份。
TW105101797A 2011-09-23 2012-09-21 電漿活化之保形介電薄膜沉積 TWI602245B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US13/242,084 US8637411B2 (en) 2010-04-15 2011-09-23 Plasma activated conformal dielectric film deposition

Publications (2)

Publication Number Publication Date
TW201616576A TW201616576A (zh) 2016-05-01
TWI602245B true TWI602245B (zh) 2017-10-11

Family

ID=47914754

Family Applications (3)

Application Number Title Priority Date Filing Date
TW106122777A TWI682459B (zh) 2011-09-23 2012-09-21 電漿活化之保形介電薄膜沉積
TW101134692A TWI531001B (zh) 2011-09-23 2012-09-21 電漿活化之保形介電薄膜沉積
TW105101797A TWI602245B (zh) 2011-09-23 2012-09-21 電漿活化之保形介電薄膜沉積

Family Applications Before (2)

Application Number Title Priority Date Filing Date
TW106122777A TWI682459B (zh) 2011-09-23 2012-09-21 電漿活化之保形介電薄膜沉積
TW101134692A TWI531001B (zh) 2011-09-23 2012-09-21 電漿活化之保形介電薄膜沉積

Country Status (6)

Country Link
JP (2) JP6199292B2 (zh)
KR (2) KR102084901B1 (zh)
CN (2) CN103890910B (zh)
SG (2) SG11201400633RA (zh)
TW (3) TWI682459B (zh)
WO (1) WO2013043330A1 (zh)

Families Citing this family (316)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US8728956B2 (en) 2010-04-15 2014-05-20 Novellus Systems, Inc. Plasma activated conformal film deposition
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
KR102207992B1 (ko) 2012-10-23 2021-01-26 램 리써치 코포레이션 서브-포화된 원자층 증착 및 등각막 증착
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
JP6538300B2 (ja) 2012-11-08 2019-07-03 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 感受性基材上にフィルムを蒸着するための方法
WO2014097280A1 (en) * 2012-12-21 2014-06-26 Prasad Narhar Gadgil Methods of low temperature deposition of ceramic thin films
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9620502B2 (en) * 2013-04-10 2017-04-11 Samsung Electronics Co., Ltd. Semiconductor device including an extended impurity region
JP5998101B2 (ja) 2013-05-24 2016-09-28 株式会社日立国際電気 半導体装置の製造方法、基板処理装置及びプログラム
US9606519B2 (en) * 2013-10-14 2017-03-28 Applied Materials, Inc. Matching process controllers for improved matching of process
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9685325B2 (en) * 2014-07-19 2017-06-20 Applied Materials, Inc. Carbon and/or nitrogen incorporation in silicon based films using silicon precursors with organic co-reactants by PE-ALD
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9214333B1 (en) * 2014-09-24 2015-12-15 Lam Research Corporation Methods and apparatuses for uniform reduction of the in-feature wet etch rate of a silicon nitride film formed by ALD
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US10242848B2 (en) * 2014-12-12 2019-03-26 Lam Research Corporation Carrier ring structure and chamber systems including the same
US10100407B2 (en) * 2014-12-19 2018-10-16 Lam Research Corporation Hardware and process for film uniformity improvement
FI126970B (en) 2014-12-22 2017-08-31 Picosun Oy Atomic layer cultivation in which the first and second species of source materials are present simultaneously
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9828672B2 (en) * 2015-03-26 2017-11-28 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
KR102317440B1 (ko) * 2015-05-27 2021-10-26 주성엔지니어링(주) 반도체 소자의 제조 방법
US9406544B1 (en) * 2015-06-12 2016-08-02 Lam Research Corporation Systems and methods for eliminating seams in atomic layer deposition of silicon dioxide film in gap fill applications
CN107889510A (zh) * 2015-06-16 2018-04-06 弗萨姆材料美国有限责任公司 卤硅烷化合物和组合物以及用于使用其沉积含硅膜的方法
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
US9721887B2 (en) * 2015-08-19 2017-08-01 Taiwan Semiconductor Manufacturing Company, Ltd Method of forming metal interconnection
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
US9909214B2 (en) * 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
JP6509095B2 (ja) * 2015-11-04 2019-05-08 東京エレクトロン株式会社 窒化膜の形成方法
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786492B2 (en) * 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9997351B2 (en) * 2015-12-08 2018-06-12 Varian Semiconductor Equipment Associates, Inc. Apparatus and techniques for filling a cavity using angled ion beam
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
TWI722132B (zh) * 2016-03-13 2021-03-21 美商應用材料股份有限公司 用於間隔墊應用之氮化矽薄膜的選擇性沉積
JP6540571B2 (ja) * 2016-03-24 2019-07-10 豊田合成株式会社 半導体装置の製造方法及び半導体装置
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102378021B1 (ko) 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10629435B2 (en) * 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US9725302B1 (en) * 2016-08-25 2017-08-08 Applied Materials, Inc. Wafer processing equipment having exposable sensing layers
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US9865455B1 (en) 2016-09-07 2018-01-09 Lam Research Corporation Nitride film formed by plasma-enhanced and thermal atomic layer deposition process
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US9768034B1 (en) * 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR102241937B1 (ko) * 2016-11-25 2021-04-20 주식회사 원익아이피에스 반도체 소자의 갭필 방법
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP6857522B2 (ja) * 2017-03-17 2021-04-14 株式会社日本製鋼所 成膜方法および電子装置の製造方法並びにマスク保持体
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10847529B2 (en) 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
KR102627238B1 (ko) 2017-05-05 2024-01-19 에이에스엠 아이피 홀딩 비.브이. 산소 함유 박막의 형성을 제어하기 위한 플라즈마 강화 증착 공정
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10516100B2 (en) * 2017-06-12 2019-12-24 Taiwan Semiconductor Manufacturing Company, Ltd. Silicon oxynitride based encapsulation layer for magnetic tunnel junctions
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
JP6869141B2 (ja) 2017-08-09 2021-05-12 東京エレクトロン株式会社 シリコン窒化膜の成膜方法及び成膜装置
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10269559B2 (en) * 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
KR102470206B1 (ko) * 2017-10-13 2022-11-23 삼성디스플레이 주식회사 금속 산화막의 제조 방법 및 금속 산화막을 포함하는 표시 소자
US20190119815A1 (en) * 2017-10-24 2019-04-25 Applied Materials, Inc. Systems and processes for plasma filtering
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
JP2021506126A (ja) 2017-12-07 2021-02-18 ラム リサーチ コーポレーションLam Research Corporation チャンバ調整における耐酸化保護層
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
WO2019169335A1 (en) 2018-03-02 2019-09-06 Lam Research Corporation Selective deposition using hydrolysis
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US20200003937A1 (en) * 2018-06-29 2020-01-02 Applied Materials, Inc. Using flowable cvd to gap fill micro/nano structures for optical components
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
WO2020027921A1 (en) * 2018-08-02 2020-02-06 Gelest Technologies, Inc. Process for thin film deposition through controlled formation of vapor phase transient species
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
WO2020072625A1 (en) * 2018-10-03 2020-04-09 Versum Materials Us, Llc Methods for making silicon and nitrogen containing films
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
TW202026452A (zh) 2018-10-04 2020-07-16 日商Adeka股份有限公司 原子層堆積法用薄膜形成用原料、薄膜形成用原料、薄膜之製造方法及化合物
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20220005657A (ko) 2019-06-08 2022-01-13 어플라이드 머티어리얼스, 인코포레이티드 자기-형성 배리어 층을 갖는 로우-k 유전체
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
JP7259649B2 (ja) * 2019-08-30 2023-04-18 東京エレクトロン株式会社 成膜装置及び成膜方法
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
JP7257930B2 (ja) * 2019-10-08 2023-04-14 東京エレクトロン株式会社 基板処理方法及び基板処理装置
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220012474A (ko) 2020-07-22 2022-02-04 주식회사 원익아이피에스 박막 증착 방법 및 이를 이용한 반도체 소자의 제조방법
KR20230057432A (ko) * 2020-08-26 2023-04-28 가부시키가이샤 한도오따이 에네루기 켄큐쇼 금속 산화물의 성막 방법 및 기억 장치의 제작 방법
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
JP2023132258A (ja) * 2022-03-10 2023-09-22 東京エレクトロン株式会社 埋込方法及び基板処理装置

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7482247B1 (en) * 2004-12-30 2009-01-27 Novellus Systems, Inc. Conformal nanolaminate dielectric deposition and etch bag gap fill process
US7629267B2 (en) * 2005-03-07 2009-12-08 Asm International N.V. High stress nitride film and method for formation thereof

Family Cites Families (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS4843472B1 (zh) * 1968-05-09 1973-12-19
JPH0293071A (ja) * 1988-09-29 1990-04-03 Toshiba Corp 薄膜の形成方法
JPH06177120A (ja) * 1992-10-27 1994-06-24 Sony Corp 層間絶縁膜の形成方法
US6156149A (en) * 1997-05-07 2000-12-05 Applied Materials, Inc. In situ deposition of a dielectric oxide layer and anti-reflective coating
US5994209A (en) * 1996-11-13 1999-11-30 Applied Materials, Inc. Methods and apparatus for forming ultra-shallow doped regions using doped silicon oxide films
JP3437832B2 (ja) * 2000-03-22 2003-08-18 東京エレクトロン株式会社 成膜方法及び成膜装置
KR100721503B1 (ko) * 2000-06-08 2007-05-23 에이에스엠지니텍코리아 주식회사 박막 형성 방법
JP2002134497A (ja) * 2000-10-23 2002-05-10 Sony Corp 半導体装置の製造方法
JP3437830B2 (ja) * 2000-11-28 2003-08-18 東京エレクトロン株式会社 成膜方法
US7713592B2 (en) * 2003-02-04 2010-05-11 Tegal Corporation Nanolayer deposition process
US7125815B2 (en) * 2003-07-07 2006-10-24 Micron Technology, Inc. Methods of forming a phosphorous doped silicon dioxide comprising layer
US8119210B2 (en) * 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
KR100622609B1 (ko) * 2005-02-16 2006-09-19 주식회사 하이닉스반도체 박막 형성 방법
WO2006088062A1 (ja) * 2005-02-17 2006-08-24 Hitachi Kokusai Electric Inc. 半導体デバイスの製造方法および基板処理装置
CN100554506C (zh) * 2005-03-09 2009-10-28 东京毅力科创株式会社 半导体处理用的成膜方法及装置
JP2007019145A (ja) * 2005-07-06 2007-01-25 Tokyo Electron Ltd シリコン酸窒化膜の形成方法、シリコン酸窒化膜の形成装置及びプログラム
WO2007043709A1 (ja) * 2005-10-14 2007-04-19 Nec Corporation 半導体装置の製造方法およびその製造装置
US7897217B2 (en) * 2005-11-18 2011-03-01 Tokyo Electron Limited Method and system for performing plasma enhanced atomic layer deposition
JP2007180362A (ja) 2005-12-28 2007-07-12 Toshiba Corp 半導体装置
JP4434149B2 (ja) * 2006-01-16 2010-03-17 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
WO2007118026A2 (en) * 2006-03-31 2007-10-18 Applied Materials, Inc. Step coverage and pattern loading for dielectric films
US7601651B2 (en) * 2006-03-31 2009-10-13 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
JP2007287890A (ja) * 2006-04-14 2007-11-01 Kochi Univ Of Technology 絶縁膜の成膜方法、半導体装置の製法、プラズマcvd装置
JP2007287889A (ja) * 2006-04-14 2007-11-01 Kochi Univ Of Technology 絶縁膜の成膜方法、半導体装置の製法
US7498273B2 (en) * 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
US20090324971A1 (en) * 2006-06-16 2009-12-31 Fujifilm Manufacturing Europe B.V. Method and apparatus for atomic layer deposition using an atmospheric pressure glow discharge plasma
JP4929932B2 (ja) * 2006-09-01 2012-05-09 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP5258229B2 (ja) * 2006-09-28 2013-08-07 東京エレクトロン株式会社 成膜方法および成膜装置
JP2008294260A (ja) 2007-05-25 2008-12-04 Sony Corp 半導体装置とその製造方法並びに積層絶縁膜とその形成方法
US20090065896A1 (en) * 2007-09-07 2009-03-12 Seoul National University Industry Foundation CAPACITOR HAVING Ru ELECTRODE AND TiO2 DIELECTRIC LAYER FOR SEMICONDUCTOR DEVICE AND METHOD OF FABRICATING THE SAME
JP2010539730A (ja) 2007-09-18 2010-12-16 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード シリコン含有膜を形成する方法
JP4959733B2 (ja) * 2008-02-01 2012-06-27 東京エレクトロン株式会社 薄膜形成方法、薄膜形成装置及びプログラム
US20090203197A1 (en) 2008-02-08 2009-08-13 Hiroji Hanawa Novel method for conformal plasma immersed ion implantation assisted by atomic layer deposition
US8197915B2 (en) * 2009-04-01 2012-06-12 Asm Japan K.K. Method of depositing silicon oxide film by plasma enhanced atomic layer deposition at low temperature
JP2010251654A (ja) * 2009-04-20 2010-11-04 Elpida Memory Inc 成膜方法および半導体装置の製造方法
JP2011023576A (ja) * 2009-07-16 2011-02-03 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
KR101732187B1 (ko) * 2009-09-03 2017-05-02 에이에스엠 저펜 가부시기가이샤 플라즈마 강화된 화학기상 증착법에 의해 규소-질소 결합을 갖는 등각성 유전체 막을 형성하는 방법
US9611544B2 (en) * 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7482247B1 (en) * 2004-12-30 2009-01-27 Novellus Systems, Inc. Conformal nanolaminate dielectric deposition and etch bag gap fill process
US7629267B2 (en) * 2005-03-07 2009-12-08 Asm International N.V. High stress nitride film and method for formation thereof

Also Published As

Publication number Publication date
KR102084901B1 (ko) 2020-03-05
JP6199292B2 (ja) 2017-09-20
TW201616576A (zh) 2016-05-01
KR20140079431A (ko) 2014-06-26
CN103890910B (zh) 2017-05-17
SG11201400633RA (en) 2014-08-28
WO2013043330A1 (en) 2013-03-28
KR20190049906A (ko) 2019-05-09
TW201330096A (zh) 2013-07-16
CN107342216A (zh) 2017-11-10
CN103890910A (zh) 2014-06-25
TWI531001B (zh) 2016-04-21
KR101975071B1 (ko) 2019-05-03
CN107342216B (zh) 2022-05-31
JP2018011067A (ja) 2018-01-18
TW201735162A (zh) 2017-10-01
TWI682459B (zh) 2020-01-11
SG10201607194PA (en) 2016-10-28
JP2014532304A (ja) 2014-12-04

Similar Documents

Publication Publication Date Title
TWI602245B (zh) 電漿活化之保形介電薄膜沉積
KR102145694B1 (ko) 플라즈마 활성화된 등각 막 성막을 위한 전구체들
US10043655B2 (en) Plasma activated conformal dielectric film deposition
TWI612581B (zh) 在基板表面上沉積氮及/或碳摻雜介電薄膜堆疊之方法、設備及系統
KR101762978B1 (ko) 플라즈마-활성화 컨포멀 막 증착