KR20220005657A - 자기-형성 배리어 층을 갖는 로우-k 유전체 - Google Patents

자기-형성 배리어 층을 갖는 로우-k 유전체 Download PDF

Info

Publication number
KR20220005657A
KR20220005657A KR1020227000561A KR20227000561A KR20220005657A KR 20220005657 A KR20220005657 A KR 20220005657A KR 1020227000561 A KR1020227000561 A KR 1020227000561A KR 20227000561 A KR20227000561 A KR 20227000561A KR 20220005657 A KR20220005657 A KR 20220005657A
Authority
KR
South Korea
Prior art keywords
dopant gas
barrier layer
dielectric layer
layer
plasma
Prior art date
Application number
KR1020227000561A
Other languages
English (en)
Inventor
이 딩
쇼낙 무케르지
보 시에
강 섭 임
디네쉬 패디
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20220005657A publication Critical patent/KR20220005657A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • C23C16/325Silicon carbide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3215Doping the layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76828Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

배리어 속성들을 갖는 로우-k 유전체 층을 형성하는 방법이 개시된다. 방법은 붕소, 질소 또는 인 중 하나 이상으로 도핑된 유전체 층을 PECVD에 의해 형성하는 단계를 포함한다. 일부 실시예들의 도펀트 가스는 증착 동안 다른 반응물들과 함께 공동 유동될 수 있다.

Description

자기-형성 배리어 층을 갖는 로우-K 유전체
[0001] 본 개시내용의 실시예들은 일반적으로, 낮은 유전 상수들을 갖는 배리어 층들을 형성하는 방법들에 관한 것이다.
[0002] 전자 디바이스에서 하나의 재료로부터 다른 재료로의 원소들의 이동을 방지하는 것은 반도체 기술분야에서 오랫동안 인식된 문제였다. 금속들과 같은 큰 원자들의 확산을 방지하기 위해 확산 배리어들이 개발되었다.
[0003] 반도체들의 상호연결 구조들은 종종, 유전체로의 금속의 확산을 방지하기 위해 배리어 층을 포함한다. 통상적인 상호연결부는 Cu/Ta/TaN/SiO2의 스택을 포함할 수 있으며, 여기서 Ta/TaN 층들은 유전체로의 Cu의 확산을 기능적으로 방지하는 배리어 층이다.
[0004] 노드 치수들의 감소에 따라, 배리어 층을 제조하는 데 있어서의 증가하는 어려움 및 복잡성은 상호연결 제조 프로세스를 단순화하기 위한 신규한 재료들을 요구한다. 현재의 최신 프로세스는 금속 배리어 층들(예컨대, Ta/TaN)의 PVD를 수반하며, 이는 더 작은 노드 치수들에서 점점 더 어려워지고 있다. 더 작은 치수들의 경우, 금속 및 유전체의 확산 속성들을 수정하는 대신, 중간 배리어 층의 제거를 허용하는 신규한 재료들이 필요하다. 개재 배리어 층 없이, 더 작은 상호연결부들을 형성하는 것이 가능하다. 유사하게, 개재 배리어 층의 제거는 생산 방식들을 단순화할 것이다.
[0005] 따라서, 증가된 배리어 속성들을 갖는 유전체 재료들에 대한 필요성이 당업계에 존재한다.
[0006] 본 개시내용의 하나 이상의 실시예들은 유전체 배리어 층을 형성하기 위한 방법에 관한 것이며, 그 방법은, 금속 표면 상에 도펀트 층을 제공하기 위해 금속 표면을 상부에 갖는 기판을 도펀트 가스에 노출시키는 단계를 포함한다. 도펀트 가스는 III 족 또는 V 족 원소의 원자들을 갖는 적어도 하나의 종을 포함한다. 도핑된 유전체 층을 형성하기 위해 실리콘 전구체, 도펀트 가스 및 플라즈마에 기판을 노출시킴으로써, 도핑된 유전체 층이 증착된다. 도핑된 유전체 층은 유전체 배리어 층을 형성하도록 어닐링된다.
[0007] 본 개시내용의 부가적인 실시예들은 유전체 배리어 층을 형성하기 위한 방법에 관한 것이며, 그 방법은, 도핑된 유전체 층을 형성하기 위해 실리콘 전구체, 도펀트 가스 및 플라즈마에 기판을 노출시킴으로써 기판 상에 유전체 층을 증착하는 단계를 포함하며, 기판은 상부에 구리 표면을 갖는다. 도펀트 가스는 붕소 원자들, 인 원자들 또는 질소 원자들 중 하나 이상을 포함한다. 도핑된 유전체 층은 유전체 배리어 층을 형성하기 위해 분자 질소(N2) 분위기에서 약 500℃ 미만의 온도로 약 60분 내지 약 120분의 범위의 기간 동안 어닐링된다.
[0008] 본 개시내용의 추가적인 실시예들은 유전체 배리어 층을 형성하기 위한 방법에 관한 것이며, 그 방법은, 처리된 표면을 형성하기 위해 구리 표면을 상부에 갖는 기판을 디보란을 포함하는 도펀트 가스에 노출시키는 단계를 포함한다. 도펀트 가스는 약 50 sccm 내지 약 100 sccm의 범위의 유량을 갖는다. 도핑된 유전체 층을 형성하기 위해 실리콘 전구체, 도펀트 가스 및 플라즈마에 기판을 노출시킴으로써, 처리된 표면 상에 유전체 층이 증착된다. 도핑된 유전체 층은 유전체 배리어 층을 형성하기 위해 분자 질소(N2) 분위기에서 약 500℃ 미만의 온도로 약 90분 내지 약 120분의 범위의 기간 동안 어닐링된다. 유전체 배리어 층은 암모니아를 포함하는 처리 플라즈마에 노출된다.
[0009] 본 개시내용의 위에서 언급된 특징들이 상세히 이해될 수 있는 방식으로, 위에서 간략하게 요약된 본 개시내용의 더 구체적인 설명이 실시예들을 참조하여 이루어질 수 있는데, 이러한 실시예들 중 일부는 첨부된 도면들에 예시되어 있다. 그러나, 첨부된 도면들이 본 개시내용의 통상적인 실시예들만을 예시하는 것이므로, 본 개시내용의 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 주목되어야 하는데, 이는 본 개시내용이 다른 균등하게 유효한 실시예들을 허용할 수 있기 때문이다.
[0010] 도 1은 본 개시내용의 하나 이상의 실시예에 따른, 유전체 층을 형성하는 방법의 흐름도이다.
[0011] 도 2는 본 개시내용의 하나 이상의 실시예에 따른 예시적인 전자 디바이스를 예시한다.
[0012] 도 3은 본 개시내용의 하나 이상의 실시예에 따른 클러스터 툴(cluster tool)을 예시한다.
[0013] 본 개시내용의 여러 개의 예시적인 실시예들을 설명하기 전에, 본 개시내용이 다음의 설명에 기재되는 구성 또는 프로세스 단계들의 세부사항들로 제한되지 않는다는 것이 이해되어야 한다. 본 개시내용은 다른 실시예들이 가능하며, 다양한 방식들로 실시되거나 수행될 수 있다.
[0014] 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, 용어 "기판"은 프로세스가 작용하는 표면 또는 표면의 일부를 지칭한다. 문맥상 명확하게 달리 표시되지 않는 한, 기판에 대한 언급이 또한 기판의 일부만을 지칭할 수 있다는 것이 당업자들에 의해 또한 이해될 것이다. 부가적으로, 기판 상에 증착하는 것에 대한 언급은 베어 기판(bare substrate), 및 하나 이상의 막들 또는 피처들이 상부에 증착되거나 형성되어 있는 기판 둘 모두를 의미할 수 있다.
[0015] 본 명세서에서 사용되는 바와 같은 "기판"은 막 프로세싱이 제조 프로세스 동안 수행되는 기판 상에 형성된 임의의 기판 또는 재료 표면을 지칭한다. 예컨대, 프로세싱이 수행될 수 있는 기판 표면은 애플리케이션에 따라, 재료들, 이를테면 실리콘, 실리콘 산화물, 변형된 실리콘(strained silicon), SOI(silicon on insulator), 탄소 도핑된 실리콘 산화물들, 비정질 실리콘, 도핑된 실리콘, 게르마늄, 갈륨 비소, 유리, 사파이어, 및 임의의 다른 재료들, 이를테면 금속들, 금속 질화물들, 금속 합금들, 및 다른 전도성 재료들을 포함한다. 기판들은 반도체 웨이퍼들을 제한 없이 포함한다. 기판들은, 기판 표면을 폴리싱, 에칭, 환원, 산화, 수산화, 어닐링, UV 경화, e-빔 경화 및/또는 베이킹하기 위해 전처리 프로세스에 노출될 수 있다. 기판의 표면 그 자체 상의 직접적인 막 프로세싱에 부가하여, 본 개시내용에서, 개시된 막 프로세싱 단계들 중 임의의 막 프로세싱 단계는 또한, 아래에서 더 상세히 개시되는 바와 같이, 기판 상에 형성된 하부층에 대해 수행될 수 있으며, 용어 "기판 표면"은 문맥상 표시되는 바와 같이 그러한 하부층을 포함하도록 의도된다. 따라서, 예컨대, 막/층 또는 부분적인 막/층이 기판 표면 상에 증착된 경우, 새롭게 증착된 막/층의 노출된 표면은 기판 표면이 된다.
[0016] 본 개시내용의 일부 실시예들은 배리어 층으로서 작용하는 로우-k 유전체 층을 형성하기 위한 방법들에 관한 것이다. 본 개시내용의 일부 방법들은 유리하게, PVD 단계를 제거함으로써 배리어 층 제조 프로세스를 단순화하기 위한 방법들을 제공한다. 본 개시내용의 일부 방법들은 유리하게, 높은 경도 및 강성을 갖는 층간 유전체들로서 사용하기 위한 로우-k 유전체 배리어 층들을 제공하는 방법들을 제공한다.
[0017] 본 명세서에 설명되는 실시예들은 임의의 적합한 박막 증착 시스템을 사용하여 수행될 수 있는 PECVD 프로세스를 참조하여 아래에서 설명될 것이다. 적합한 시스템들의 예들은, DXZ® 프로세싱 챔버, PRECISION 5000® 시스템들, PRODUCER® 시스템들, PRODUCER® GTTM 시스템들, PRODUCER® XP PrecisionTM 시스템들, PRODUCER® SETM 시스템들, Sym3® 프로세싱 챔버, 및 MesaTM 프로세싱 챔버(이들 모두는 캘리포니아 산타클라라 소재의 Applied Materials, Inc.로부터 상업적으로 입수가능함)를 사용할 수 있는 CENTURA® 시스템들을 포함한다. PECVD 프로세스들을 수행할 수 있는 다른 툴들이 또한, 본 명세서에 설명되는 실시예들로부터 이익을 얻도록 구성될 수 있다. 부가적으로, 본 명세서에 설명되는 PECVD 프로세스들을 가능하게 하는 임의의 시스템이 유리하게 사용될 수 있다. 본 명세서에 설명되는 장치 설명은 예시적이며, 본 개시내용의 범위를 제한하는 것으로 이해되거나 해석되지 않아야 한다.
[0018] 도 1 및 도 2를 참조하면, 일부 실시예들에서, 로우-k 유전체 배리어 층(240)을 형성하는 방법(100)은 동작(104)에서, 도핑된 유전체 층(230)을 기판(210)의 금속 표면(220) 상에 증착함으로써 시작한다. 금속 표면(220)은 임의의 적합한 금속 종을 가질 수 있다. 일부 실시예들에서, 금속 표면(220)의 금속은 구리를 포함한다.
[0019] 도핑된 유전체 층(230)은, 실리콘 전구체, 도펀트 가스, 및 플라즈마 가스로부터 형성된 플라즈마에 기판(210)을 노출시킴으로써 증착된다. 실리콘 전구체, 도펀트 가스 및 플라즈마는 모두 기판에 동시에 노출된다. 달리 말하면, 동작(104)은 플라즈마-강화 화학 기상 증착(PECVD) 프로세스로 지칭될 수 있다. 일부 실시예들에서, 실리콘 전구체, 도펀트 가스 및 플라즈마 가스 중 하나 이상은 함께 프로세싱 챔버 내로 공동-유동될 수 있다.
[0020] 본 개시내용의 실시예들은, 금속 표면(220)으로부터 유전체 배리어 층(240)으로의 금속의 확산을 제한하거나 또는 방지하는 로우-k 유전체 배리어 층(240)을 제공한다. 이론에 얽매이지 않으면서, 유전체 배리어 층(240) 내로의 금속 확산의 방지는 전기적 단락(electrical short)들 및 디바이스 고장을 제거하거나 최소화한다.
[0021] 도펀트 가스는 도핑된 유전체 층(230)에 III 족 또는 V 족 원소의 원자 소스를 제공한다. 본 명세서에서 사용되는 바와 같이, 붕소(B)로 시작하는 주기율표의 열(column)로부터 III 족 원소가 선택되고, 질소(N)로 시작하는 열로부터 V 족 원소가 선택된다.
[0022] 일부 실시예들에서, 도펀트 가스는 붕소(B), 인(P) 또는 질소(N) 중 하나 이상을 포함한다. 일부 실시예들에서, 도펀트 가스는 붕소 원자들을 포함한다. 일부 실시예들에서, 도펀트 가스는 디보란(B2H6)을 포함하거나 이를 필수적 요소로 하여 구성된다(consists essentially of). 일부 실시예들에서, 도펀트 가스는 인 원자들을 포함한다. 일부 실시예들에서, 도펀트 가스는 포스핀(PH3)을 포함하거나 이를 필수적 요소로 하여 구성된다. 일부 실시예들에서, 도펀트 가스는 질소 원자들을 포함한다. 일부 실시예들에서, 도펀트 가스는 분자 질소(N2), 암모니아(NH3), 이산화질소(NO2), 일산화질소(NO) 및 아산화질소(N2O) 중 하나 이상을 포함한다. 일부 실시예들에서, 도펀트 가스는 질소(N2), 암모니아, NO2, 또는 N2O를 필수적 요소로 하여 구성된다. 이와 관련하여 사용되는 바와 같이, 용어 "필수적 요소로 하여 구성되는"은, 도펀트 가스가 몰 기준으로 임의의 캐리어 또는 희석 가스들을 제외하고, 약 95% 이상, 약 98% 이상, 약 99% 이상, 또는 약 99.5% 이상의 도펀트 가스로 이루어진다는 것을 의미한다.
[0023] 도펀트 가스는 임의의 적합한 유량으로 프로세싱 챔버에 공급될 수 있다. 일부 실시예들에서, 도펀트 가스는 비교적 낮은 유량으로 공급된다. 일부 실시예들에서, 도펀트 가스는 최대 약 500 sccm의 유량으로 유동된다. 일부 실시예들에서, 도펀트 가스는 약 10 sccm 내지 약 500 sccm, 약 20 sccm 내지 약 200 sccm, 또는 약 50 sccm 내지 약 100 sccm의 범위의 유량으로 유동된다.
[0024] 실리콘 전구체는 임의의 적합한 실리콘 전구체일 수 있다. 플라즈마 가스는 임의의 적합한 플라즈마 가스일 수 있고, 임의의 적합한 플라즈마를 생성하는 데 사용될 수 있다. 일부 실시예들에서, 희석 또는 캐리어 가스에는 또한, 실리콘 전구체, 플라즈마 가스 또는 도펀트 가스 중 하나 이상이 제공된다. 일부 실시예들에서, 실리콘 전구체는 탄소를 포함하고, 플라즈마 가스는 산소를 포함한다. 이들 실시예들에서, 도핑된 유전체 층(230)은 도핑된 실리콘 산탄화물(SiOC) 층을 포함할 수 있다. 당업자는, 박막 재료를 설명하기 위한 화학식, 이를테면 SiOC의 사용이 원자들의 임의의 특정한 화학량론적 비를 의미하지 않는다는 것을 인식할 것이다. 화학식은 단지 막의 주 조성(즉, 90%, 95%, 98%, 99% 또는 99.5% 초과)을 구성하는 원자들의 식별을 제공한다.
[0025] 도핑된 유전체 층(230)의 증착 레이트가 제어될 수 있다. 일부 실시예들에서, 도핑된 유전체 층의 증착 레이트는 약 500 Å/min 내지 약 3000 Å/min의 범위로 제어된다.
[0026] 도핑된 유전체 층(230)의 증착 레이트를 제어할 시에, 도핑된 유전체 층(230) 및 유전체 배리어 층(240)의 두께가 또한 제어될 수 있다. 일부 실시예들에서, 도핑된 유전체 층 및/또는 유전체 배리어 층의 두께는 약 150 nm 내지 300 nm의 범위에 있다. 일부 실시예들에서, 도핑된 유전체 층 및/또는 유전체 배리어 층의 두께는 약 300 nm 이하, 약 250 nm 이하, 약 200 nm 이하, 약 150 nm 이하, 또는 약 100 nm 이하이다.
[0027] 일부 실시예들에서, 기판은 실리콘 전구체 및 플라즈마에 노출되기 전에 도펀트 가스에 노출된다. 도 1 및 도 2를 다시 참조하면, 선택적으로, 방법(100)은 102에서, 금속 표면(220)을 포함하는 기판(210)을 도펀트 가스에 노출시킴으로써 시작할 수 있다. 달리 말하면, 기판(210)은 도핑된 유전체 층(230)을 증착하기 전에 도펀트 가스에 소킹(soak)될 수 있다.
[0028] 방법(100)은 106에서, 유전체 배리어 층(240)을 형성하기 위해, 도핑된 유전체 층(230)을 어닐링함으로써 계속된다. 일부 실시예들에서, 도핑된 유전체 층은 분자 질소(N2)를 포함하는 분위기에서 어닐링된다.
[0029] 일부 실시예들에서, 106에서의 어닐링 프로세스는 저온 어닐링으로서 설명될 수 있다. 일부 실시예들에서, 도핑된 유전체 층(230)은 약 500℃ 이하, 약 450℃ 이하, 약 400℃ 이하, 또는 약 350℃ 이하의 온도로 어닐링된다.
[0030] 일부 실시예들에서, 106에서의 어닐링 프로세스는 긴 어닐링으로서 설명될 수 있다. 일부 실시예들에서, 도핑된 유전체 층(230)은 약 1분 내지 약 120분, 약 60분 내지 약 120분, 또는 약 90분 내지 약 120분의 범위의 기간 동안 어닐링된다. 일부 실시예들에서, 도핑된 유전체 층은 약 1분 이상, 약 10분 이상, 약 30분 이상, 약 60분 이상, 또는 약 90분 이상의 기간 동안 어닐링된다.
[0031] 방법(100)은 106 이후 종료될 수 있다. 일부 실시예들에서, 방법(100)은 선택적인 프로세스(108)로 계속된다. 108에서, 유전체 배리어 층(240)은 탄성 또는 경도 중 적어도 하나를 개선시키기 위해 처리 플라즈마에 노출될 수 있다. 일부 실시예들에서, 처리 플라즈마는 유전체 배리어 층(240)의 탄성을 개선시킨다. 일부 실시예들에서, 처리 플라즈마는 유전체 배리어 층(240)의 경도를 개선시킨다.
[0032] 처리 플라즈마의 조성 및 파라미터들은 유전체 배리어 층(240)의 조성에 의존하여 변할 수 있다. 일부 실시예들에서, 처리 플라즈마는 질소 원자들을 포함한다. 일부 실시예들에서, 처리 플라즈마는 암모니아를 포함하거나 이를 필수적 요소로 하여 구성된다. 일부 실시예들에서, 암모니아는 약 1500 sccm 내지 약 2000 sccm의 범위의 유량으로 프로세싱 챔버에 제공된다. 일부 실시예들에서, 암모니아는 약 1600 sccm의 유량으로 프로세싱 챔버에 제공된다.
[0033] 일부 실시예들에서, 처리 플라즈마는 약 250 W 내지 약 500 W의 범위 또는 약 350 W 내지 약 450 W의 범위의 전력을 갖는다. 일부 실시예들에서, 처리 플라즈마는 약 400 W의 전력을 갖는다.
[0034] 일부 실시예들에서, 유전체 배리어 층은 약 30초 이하, 약 20초 이하, 약 15초 이하 또는 약 10초 이하의 기간 동안 처리 플라즈마에 노출된다.
[0035] 유전체 배리어 층(240)은 금속 표면(220)으로부터 유전체 배리어 층으로의 금속 원자들의 확산을 제한하거나 방지할 수 있다. 유사하게, 부가적인 층들이 유전체 배리어 층(240) 상에 증착됨에 따라, 유전체 배리어 층은 이러한 부가적인 층들로의 금속 원자들의 확산을 제한하거나 방지할 수 있다. 종래의 배리어 층(예컨대, Ta/TaN)의 제거는 유리하게, 생산 프로세스 흐름을 단순화하고, 감소된 두께를 갖는 전자 디바이스를 제공한다.
[0036] 도 2는 방법(100)에 의한 프로세싱 동안의 예시적인 기판(210)을 예시한다. 도 1 및 도 2를 참조하면, 방법(100)은 금속 표면(220)을 갖는 기판(210)으로 시작한다. 104에서, 도핑된 유전체 층(230)이 금속 표면(220) 상에 증착된다. 106에서, 도핑된 유전체 층(230)은 유전체 배리어 층(240)을 형성하도록 어닐링된다.
[0037] 일부 실시예들에서, 동작들(104 및 106)(및 선택적으로는 동작들(102 및 108))은 클러스터링된 툴에서 함께 클러스터링된다. 일부 실시예들에서, 동작들(104 및 106) 및 선택적인 동작들(102 및 108)은 연속적인 동작들 사이에서 진공을 파괴하지 않으면서 수행된다. 일부 실시예들에서, 동작들(102, 104, 106 및 108)은 단일 프로세싱 환경 내에서 수행된다.
[0038] 본 개시내용의 부가적인 실시예들은 도 3에 도시된 바와 같이, 본 명세서에 설명된 방법들을 위한 프로세싱 툴들에 관한 것이다. 클러스터 툴(900)은 복수의 측들을 갖는 적어도 하나의 중앙 이송 스테이션(921, 931)을 포함한다. 로봇(925, 935)은 중앙 이송 스테이션(921, 931) 내에 포지셔닝되며, 로봇 블레이드 및 웨이퍼를 복수의 측들 각각으로 이동시키도록 구성된다.
[0039] 클러스터 툴(900)은 중앙 이송 스테이션(921, 931)에 연결된 복수의 프로세싱 챔버들(902, 904, 906, 908, 910, 912, 914, 916, 및 918)(프로세스 스테이션들로 또한 지칭됨)을 포함한다. 다양한 프로세싱 챔버들은 인접한 프로세스 챔버들로부터 격리된 별개의 프로세싱 구역들을 제공한다. 프로세싱 챔버들은 사전-세정 챔버, 버퍼 챔버, 이송 공간(들), 웨이퍼 배향기(orienter)/탈가스 챔버, 극저온 냉각(cryo cooling) 챔버, 증착 챔버, 어닐링 챔버, 에칭 챔버, RTP(thermal processing) 챔버, 플라즈마 처리 챔버, 및 원자 층 증착(ALD) 챔버를 포함하는(그러나 이에 제한되지 않음) 임의의 적합한 챔버일 수 있다. 프로세스 챔버들 및 컴포넌트들의 특정한 어레인지먼트(arrangement)는 클러스터 툴에 따라 변경될 수 있으며, 본 개시내용의 범위를 제한하는 것으로 취해지지 않아야 한다.
[0040] 하나 이상의 실시예들에서, 클러스터 툴(900)은 도핑된 유전체 층(230)을 증착하기 위한 증착 챔버를 포함한다. 일부 실시예들의 증착 챔버는 PECVD 증착 챔버를 포함한다. 하나 이상의 실시예들에서, 클러스터 툴(900)은 중앙 이송 스테이션에 연결된 소크 챔버(soak chamber)를 포함한다.
[0041] 도 3에 도시된 실시예에서, 팩토리 인터페이스(950)는 클러스터 툴(900)의 전면에 연결된다. 팩토리 인터페이스(950)는 팩토리 인터페이스(950)의 전면(951) 상에 로딩 챔버(954) 및 언로딩 챔버(956)를 포함한다. 로딩 챔버(954)가 좌측에 도시되고 언로딩 챔버(956)가 우측에 도시되지만, 당업자들은 이것이 단지 하나의 가능한 구성을 표현하는 것이라는 것을 이해할 것이다.
[0042] 로딩 챔버(954) 및 언로딩 챔버(956)의 사이즈 및 형상은, 예컨대 클러스터 툴(900)에서 프로세싱되는 기판들에 따라 변할 수 있다. 도시된 실시예에서, 로딩 챔버(954) 및 언로딩 챔버(956)는 웨이퍼 카세트를 홀딩하도록 사이징되며, 카세트 내에는 복수의 웨이퍼들이 포지셔닝되어 있다.
[0043] 로봇(952)은 팩토리 인터페이스(950) 내에 있으며, 로딩 챔버(954)와 언로딩 챔버(956) 사이에서 이동될 수 있다. 로봇(952)은 로딩 챔버(954) 내의 카세트로부터 팩토리 인터페이스(950)를 통해 로드 록 챔버(load lock chamber)(960)로 웨이퍼를 이송할 수 있다. 로봇(952)은 또한, 로드 록 챔버(962)로부터 팩토리 인터페이스(950)를 통해 언로딩 챔버(956) 내의 카세트로 웨이퍼를 이송할 수 있다. 당업자들에 의해 이해될 바와 같이, 팩토리 인터페이스(950)는 하나 초과의 로봇(952)을 가질 수 있다. 예컨대, 팩토리 인터페이스(950)는 로딩 챔버(954)와 로드 록 챔버(960) 사이에서 웨이퍼들을 이송하는 제1 로봇, 및 로드 록(962)과 언로딩 챔버(956) 사이에서 웨이퍼들을 이송하는 제2 로봇을 가질 수 있다.
[0044] 도시된 클러스터 툴(900)은 제1 섹션(920) 및 제2 섹션(930)을 갖는다. 제1 섹션(920)은 로드 록 챔버들(960, 962)을 통해 팩토리 인터페이스(950)에 연결된다. 제1 섹션(920)은 적어도 하나의 로봇(925)이 내부에 포지셔닝되어 있는 제1 이송 챔버(921)를 포함한다. 로봇(925)은 또한 로봇 웨이퍼 이송 메커니즘으로 지칭된다. 제1 이송 챔버(921)는 로드 록 챔버들(960, 962), 프로세스 챔버들(902, 904, 916, 918) 및 버퍼 챔버들(922, 924)에 대해 중앙에 위치된다. 일부 실시예들의 로봇(925)은 한 번에 하나 초과의 웨이퍼를 독립적으로 이동시킬 수 있는 멀티-아암(multi-arm) 로봇이다. 하나 이상의 실시예들에서, 제1 이송 챔버(921)는 하나 초과의 로봇 웨이퍼 이송 메커니즘을 포함한다. 제1 이송 챔버(921) 내의 로봇(925)은 제1 이송 챔버(921) 주위의 챔버들 사이에서 웨이퍼들을 이동시키도록 구성된다. 개별적인 웨이퍼들은 제1 로봇 메커니즘의 원위 단부에 위치된 웨이퍼 운송 블레이드 상에서 운반된다.
[0045] 제1 섹션(920)에서 웨이퍼를 프로세싱한 이후, 웨이퍼는 패스-스루 챔버를 통해 제2 섹션(930)으로 전달될 수 있다. 예컨대, 챔버들(922, 924)은 단방향 또는 양방향 패스-스루 챔버들일 수 있다. 패스-스루 챔버들(922, 924)은, 예컨대 제2 섹션(930)에서의 프로세싱 전에 웨이퍼를 극저온 냉각시키기 위해, 또는 제1 섹션(920)으로 다시 이동되기 전에 웨이퍼 냉각 또는 포스트-프로세싱을 허용하기 위해 사용될 수 있다.
[0046] 시스템 제어기(990)는 제1 로봇(925), 제2 로봇(935), 제1 복수의 프로세싱 챔버들(902, 904, 916, 918) 및 제2 복수의 프로세싱 챔버들(906, 908, 910, 912, 914)과 통신한다. 시스템 제어기(990)는 프로세싱 챔버들 및 로봇들을 제어할 수 있는 임의의 적합한 컴포넌트일 수 있다. 예컨대, 시스템 제어기(990)는 중앙 프로세싱 유닛, 메모리, 적합한 회로들 및 저장소를 포함하는 컴퓨터일 수 있다.
[0047] 프로세스들은 일반적으로, 프로세서에 의해 실행될 때, 프로세스 챔버로 하여금 본 개시내용의 프로세스들을 수행하게 하는 소프트웨어 루틴으로서 시스템 제어기(990)의 메모리에 저장될 수 있다. 소프트웨어 루틴은 또한, 프로세서에 의해 제어되는 하드웨어로부터 원격으로 위치된 제2 프로세서(도시되지 않음)에 의해 저장 및/또는 실행될 수 있다. 본 개시내용의 방법 중 일부 또는 전부는 또한 하드웨어로 수행될 수 있다. 그러므로, 프로세스는 소프트웨어로 구현되며, 컴퓨터 시스템을 사용하여, 하드웨어로, 예컨대 주문형 집적 회로 또는 다른 타입의 하드웨어 구현으로서 또는 소프트웨어와 하드웨어의 조합으로서 실행될 수 있다. 소프트웨어 루틴은, 프로세서에 의해 실행될 때, 프로세스들이 수행되도록 챔버 동작을 제어하는 특정 목적 컴퓨터(제어기)로 범용 컴퓨터를 변환한다.
[0048] "밑", "아래", "하부", "위", "상부" 등과 같은 공간적으로 상대적인 용어들은 도면들에 예시된 바와 같이, 다른 엘리먼트(들) 또는 피처(들)에 대한 하나의 엘리먼트 또는 피처의 관계를 설명하기 위한 설명의 용이함을 위해 본 명세서에서 사용될 수 있다. 공간적으로 상대적인 용어들이 도면들에 도시된 배향에 부가하여 사용 또는 동작 중인 디바이스의 상이한 배향들을 포함하도록 의도된다는 것이 이해될 것이다. 예컨대, 도면들에서 디바이스가 뒤집힌 경우, 다른 엘리먼트들 또는 피처들 "아래" 또는 "밑에" 있는 것으로 설명되는 엘리먼트들은 다른 엘리먼트들 또는 피처들 "위로" 배향될 것이다. 따라서, 예시적인 용어 "아래"는 위 및 아래의 배향 둘 모두를 포함할 수 있다. 디바이스는 달리 배향될 수 있고(90도 회전되거나 또는 다른 배향들로 배향됨), 본 명세서에서 사용되는 공간적으로 상대적인 디스크립터들이 그에 따라 해석될 수 있다.
[0049] 본 명세서에서 논의된 재료들 및 방법들을 설명하는 문맥에서(특히 다음의 청구항들의 문맥에서) 단수 표현들 및 유사한 지시대상들의 사용은, 본 명세서에서 달리 표시되지 않거나 문맥에 의해 명확하게 모순되지 않는 한, 단수형 및 복수형 둘 모두를 커버하는 것으로 해석되어야 한다. 본 명세서에서 달리 표시되지 않는 한, 본 명세서에서의 값들의 범위들의 언급은 단지, 범위 내에 속하는 각각의 별개의 값을 개별적으로 지칭하는 약칭 방법으로서의 역할을 하는 것으로 의도되며, 각각의 별개의 값은, 그것이 본 명세서에서 개별적으로 언급된 것처럼 본 명세서에 통합된다. 본 명세서에 설명된 모든 방법들은 본 명세서에서 달리 표시되지 않거나 문맥에 의해 명확하게 모순되지 않는 한, 임의의 적합한 순서로 수행될 수 있다. 본 명세서에서 제공되는 임의의 그리고 모든 예들, 또는 예시적인 언어(예컨대, "이를테면")의 사용은 단지 재료들 및 방법들을 더 양호하게 규명(illuminate)하도록 의도될 뿐이며, 달리 청구되지 않는 한, 범위에 대한 제한을 제기하지 않는다. 본 명세서의 어떠한 언어도, 개시된 재료들 및 방법들의 실시에 필수적인 것으로서 임의의 청구되지 않은 엘리먼트를 표시하는 것으로 해석되지 않아야 한다.
[0050] 본 명세서 전반에 걸쳐 "하나의 실시예", "특정한 실시예들", "하나 이상의 실시예들" 또는 "일 실시예"에 대한 참조는, 실시예와 관련하여 설명된 특정한 피처, 구조, 재료, 또는 특성이 본 개시내용의 적어도 하나의 실시예에 포함된다는 것을 의미한다. 따라서, 본 명세서 전반에 걸친 다양한 장소들에서의 "하나 이상의 실시예들에서", "특정한 실시예들에서", "하나의 실시예에서" 또는 "일 실시예에서"와 같은 어구들의 출현들은 반드시 본 개시내용의 동일한 실시예를 지칭하는 것은 아니다. 하나 이상의 실시예들에서, 특정한 피처들, 구조들, 재료들, 또는 특성들은 임의의 적합한 방식으로 조합될 수 있다.
[0051] 본 명세서의 개시내용이 특정한 실시예들을 참조하여 설명되었지만, 이들 실시예들이 단지 본 개시내용의 원리들 및 애플리케이션들의 예시일 뿐이라는 것이 이해되어야 한다. 본 개시내용의 사상 및 범위를 벗어나지 않으면서 본 개시내용의 방법 및 장치에 대해 다양한 수정들 및 변형들이 이루어질 수 있다는 것이 당업자들에게 명백할 것이다. 따라서, 본 개시내용이 첨부된 청구항들 및 이들의 등가물들의 범위 내에 있는 수정들 및 변형들을 포함하는 것으로 의도된다.

Claims (20)

  1. 유전체 배리어 층을 형성하기 위한 방법으로서,
    금속 표면 상에 도펀트 층을 제공하기 위해 상기 금속 표면을 상부에 갖는 기판을 도펀트 가스에 노출시키는 단계 ― 상기 도펀트 가스는 III 족 또는 V 족 원소의 원자들을 갖는 적어도 하나의 종을 포함함 ―;
    도핑된 유전체 층을 형성하기 위해 실리콘 전구체, 상기 도펀트 가스 및 플라즈마에 상기 기판을 노출시킴으로써 상기 도핑된 유전체 층을 증착하는 단계; 및
    유전체 배리어 층을 형성하기 위해 상기 도핑된 유전체 층을 어닐링하는 단계를 포함하는, 유전체 배리어 층을 형성하기 위한 방법.
  2. 제1항에 있어서,
    상기 금속 표면은 구리 표면인, 유전체 배리어 층을 형성하기 위한 방법.
  3. 제1항에 있어서,
    상기 도펀트 가스는 최대 약 500 sccm의 유량으로 유동되는, 유전체 배리어 층을 형성하기 위한 방법.
  4. 제1항에 있어서,
    상기 도펀트 가스는 붕소 원자들을 포함하는, 유전체 배리어 층을 형성하기 위한 방법.
  5. 제4항에 있어서,
    상기 도펀트 가스는 디보란을 필수적 요소로 하여 구성되는(consists essentially of), 유전체 배리어 층을 형성하기 위한 방법.
  6. 제1항에 있어서,
    상기 도펀트 가스는 인(phosphorous) 원자들을 포함하는, 유전체 배리어 층을 형성하기 위한 방법.
  7. 제6항에 있어서,
    상기 도펀트 가스는 포스핀을 필수적 요소로 하여 구성되는, 유전체 배리어 층을 형성하기 위한 방법.
  8. 제1항에 있어서,
    상기 도펀트 가스는 질소 원자들을 포함하는, 유전체 배리어 층을 형성하기 위한 방법.
  9. 제8항에 있어서,
    상기 도펀트 가스는 질소(N2), 암모니아, NO2, 및 N2O 중 하나 이상을 포함하는, 유전체 배리어 층을 형성하기 위한 방법.
  10. 제1항에 있어서,
    상기 도핑된 유전체 층을 증착하는 단계는, 탄소를 포함하는 실리콘 전구체 및 산소를 포함하는 플라즈마 가스를 이용하는 플라즈마-강화 화학 기상 증착 프로세스에 의해 수행되는, 유전체 배리어 층을 형성하기 위한 방법.
  11. 제10항에 있어서,
    상기 도핑된 유전체 층은 도핑된 SiOC 층을 포함하는, 유전체 배리어 층을 형성하기 위한 방법.
  12. 제1항에 있어서,
    상기 도핑된 유전체 층을 어닐링하는 단계는 N2를 포함하는 분위기에서 수행되는, 유전체 배리어 층을 형성하기 위한 방법.
  13. 제1항에 있어서,
    상기 도핑된 유전체 층은 약 500℃ 미만의 온도로 어닐링되는, 유전체 배리어 층을 형성하기 위한 방법.
  14. 제1항에 있어서,
    상기 도핑된 유전체 층은 약 1분 내지 약 120분의 범위의 기간 동안 어닐링되는, 유전체 배리어 층을 형성하기 위한 방법.
  15. 제1항에 있어서,
    탄성 및 경도 중 적어도 하나를 개선시키기 위해 처리 플라즈마에 상기 유전체 배리어 층을 노출시키는 단계를 더 포함하는, 유전체 배리어 층을 형성하기 위한 방법.
  16. 제15항에 있어서,
    상기 처리 플라즈마는 암모니아를 포함하는, 유전체 배리어 층을 형성하기 위한 방법.
  17. 제15항에 있어서,
    상기 처리 플라즈마는 약 250 W 내지 약 500 W의 범위의 전력을 갖고, 상기 유전체 배리어 층은 약 20초 이하 동안 노출되는, 유전체 배리어 층을 형성하기 위한 방법.
  18. 유전체 배리어 층을 형성하기 위한 방법으로서,
    도핑된 유전체 층을 형성하기 위해, 구리 표면을 상부에 갖는 기판을 실리콘 전구체, 도펀트 가스 및 플라즈마에 노출시킴으로써 상기 기판 상에 유전체 층을 증착하는 단계 ― 상기 도펀트 가스는 붕소 원자들, 인 원자들 또는 질소 원자들 중 하나 이상을 포함함 ―; 및
    유전체 배리어 층을 형성하기 위해 질소(N2) 분위기에서 약 500℃ 미만의 온도로 약 60분 내지 약 120분의 범위의 기간 동안 상기 도핑된 유전체 층을 어닐링하는 단계를 포함하는, 유전체 배리어 층을 형성하기 위한 방법.
  19. 제18항에 있어서,
    탄성 및 경도 중 적어도 하나를 개선시키기 위해, 암모니아를 포함하는 처리 플라즈마에 상기 유전체 배리어 층을 노출시키는 단계를 더 포함하는, 유전체 배리어 층을 형성하기 위한 방법.
  20. 유전체 배리어 층을 형성하기 위한 방법으로서,
    처리된 표면을 형성하기 위해 구리 표면을 상부에 갖는 기판을 디보란을 포함하는 도펀트 가스에 노출시키는 단계 ― 상기 도펀트 가스는 약 50 sccm 내지 약 100 sccm의 범위의 유량을 가짐 ―;
    도핑된 유전체 층을 형성하기 위해 실리콘 전구체, 상기 도펀트 가스 및 플라즈마에 상기 기판을 노출시킴으로써 상기 처리된 표면 상에 유전체 층을 증착하는 단계;
    유전체 배리어 층을 형성하기 위해 질소(N2) 분위기에서 약 500℃ 미만의 온도로 약 90분 내지 약 120분의 범위의 기간 동안 상기 도핑된 유전체 층을 어닐링하는 단계; 및
    암모니아를 포함하는 처리 플라즈마에 상기 유전체 배리어 층을 노출시키는 단계를 포함하는, 유전체 배리어 층을 형성하기 위한 방법.
KR1020227000561A 2019-06-08 2020-06-08 자기-형성 배리어 층을 갖는 로우-k 유전체 KR20220005657A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201962859087P 2019-06-08 2019-06-08
US62/859,087 2019-06-08
PCT/US2020/036583 WO2020251880A1 (en) 2019-06-08 2020-06-08 Low-k dielectric with self-forming barrier layer

Publications (1)

Publication Number Publication Date
KR20220005657A true KR20220005657A (ko) 2022-01-13

Family

ID=73650842

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020227000561A KR20220005657A (ko) 2019-06-08 2020-06-08 자기-형성 배리어 층을 갖는 로우-k 유전체

Country Status (6)

Country Link
US (1) US11289369B2 (ko)
JP (1) JP7465287B2 (ko)
KR (1) KR20220005657A (ko)
CN (1) CN113939896A (ko)
SG (1) SG11202112689WA (ko)
WO (1) WO2020251880A1 (ko)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11830729B2 (en) 2021-01-08 2023-11-28 Applied Materials, Inc. Low-k boron carbonitride films

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000332108A (ja) 1999-05-20 2000-11-30 Nec Corp 半導体装置及びその製造方法
US7008484B2 (en) 2002-05-06 2006-03-07 Applied Materials Inc. Method and apparatus for deposition of low dielectric constant materials
US8137764B2 (en) 2003-05-29 2012-03-20 Air Products And Chemicals, Inc. Mechanical enhancer additives for low dielectric films
US20050048795A1 (en) * 2003-08-27 2005-03-03 Chung-Chi Ko Method for ultra low-K dielectric deposition
US7611996B2 (en) 2004-03-31 2009-11-03 Applied Materials, Inc. Multi-stage curing of low K nano-porous films
US7422776B2 (en) 2004-08-24 2008-09-09 Applied Materials, Inc. Low temperature process to produce low-K dielectrics with low stress by plasma-enhanced chemical vapor deposition (PECVD)
JP2008166374A (ja) 2006-12-27 2008-07-17 Sharp Corp 絶縁膜形成方法
US8764961B2 (en) 2008-01-15 2014-07-01 Applied Materials, Inc. Cu surface plasma treatment to improve gapfill window
US7871929B2 (en) * 2008-07-30 2011-01-18 Tel Epion Inc. Method of forming semiconductor devices containing metal cap layers
US8637396B2 (en) 2008-12-01 2014-01-28 Air Products And Chemicals, Inc. Dielectric barrier deposition using oxygen containing precursor
KR101732187B1 (ko) 2009-09-03 2017-05-02 에이에스엠 저펜 가부시기가이샤 플라즈마 강화된 화학기상 증착법에 의해 규소-질소 결합을 갖는 등각성 유전체 막을 형성하는 방법
US8202783B2 (en) 2009-09-29 2012-06-19 International Business Machines Corporation Patternable low-k dielectric interconnect structure with a graded cap layer and method of fabrication
CN103890910B (zh) 2011-09-23 2017-05-17 诺发系统公司 等离子体活化保形电介质膜沉积的方法和装置
TWI563539B (en) * 2012-01-18 2016-12-21 Sino American Silicon Prod Inc Composite substrate, manufacturing method thereof and light emitting device having the same
US10170299B2 (en) 2015-07-01 2019-01-01 Applied Materials, Inc. Method to reduce trap-induced capacitance in interconnect dielectric barrier stack
SG11201802781WA (en) * 2015-10-06 2018-05-30 Versum Materials Us Llc Methods for depositing a conformal metal or metalloid silicon nitride film
US9711400B1 (en) * 2016-06-07 2017-07-18 International Business Machines Corporation Interconnect structures with enhanced electromigration resistance

Also Published As

Publication number Publication date
SG11202112689WA (en) 2021-12-30
WO2020251880A1 (en) 2020-12-17
CN113939896A (zh) 2022-01-14
TW202113921A (zh) 2021-04-01
US11289369B2 (en) 2022-03-29
JP7465287B2 (ja) 2024-04-10
JP2022535146A (ja) 2022-08-04
US20200388532A1 (en) 2020-12-10

Similar Documents

Publication Publication Date Title
KR102380712B1 (ko) 유전체 막들의 선택적 증착을 위한 방법 및 장치
US10395916B2 (en) In-situ pre-clean for selectivity improvement for selective deposition
TWI730990B (zh) 用於沉積介電質阻障層以及含鋁的蝕刻終止層之方法
WO2022170266A1 (en) Mosfet gate engineering with dipole films
US8163343B2 (en) Method of forming an aluminum oxide layer
US11289369B2 (en) Low-k dielectric with self-forming barrier layer
JP7144532B2 (ja) 選択的エッチングプロセスの選択性を高める方法
US11189479B2 (en) Diffusion barrier layer
TWI840569B (zh) 低k介電質之自形成阻障層
JP7455968B2 (ja) Pmos高誘電率金属ゲート
TW202231905A (zh) 共形氧化矽膜沉積
US10643889B2 (en) Pre-treatment method to improve selectivity in a selective deposition process
KR20220116263A (ko) 금속 배리어 층들의 도핑
US11171047B2 (en) Fluorine-doped nitride films for improved high-k reliability
US11552177B2 (en) PMOS high-K metal gates
US20240006235A1 (en) Composite barrier layers
US20220254640A1 (en) Amorphous Silicon-Based Scavenging And Sealing EOT
WO2022251562A1 (en) Amorphous silicon-based scavenging and sealing eot
TW202407133A (zh) 整合的清潔及選擇性鉬沉積製程
KR20220113482A (ko) 이원 금속 라이너 층들

Legal Events

Date Code Title Description
E902 Notification of reason for refusal