JP2010245518A - 低温でプラズマ励起原子膜の成膜によりシリコン酸化膜を成膜する方法 - Google Patents

低温でプラズマ励起原子膜の成膜によりシリコン酸化膜を成膜する方法 Download PDF

Info

Publication number
JP2010245518A
JP2010245518A JP2010058415A JP2010058415A JP2010245518A JP 2010245518 A JP2010245518 A JP 2010245518A JP 2010058415 A JP2010058415 A JP 2010058415A JP 2010058415 A JP2010058415 A JP 2010058415A JP 2010245518 A JP2010245518 A JP 2010245518A
Authority
JP
Japan
Prior art keywords
temperature
film
cycle
silicon oxide
peald
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2010058415A
Other languages
English (en)
Other versions
JP5679153B2 (ja
Inventor
Takahiro Oka
隆洋 岡
Akira Shimizu
亮 清水
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASM Japan KK
Original Assignee
ASM Japan KK
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASM Japan KK filed Critical ASM Japan KK
Publication of JP2010245518A publication Critical patent/JP2010245518A/ja
Application granted granted Critical
Publication of JP5679153B2 publication Critical patent/JP5679153B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

【課題】PEALDにより基板上に成膜するプロセスにおいて、基板上の有機膜にダメージを与えない方法を提供する。
【解決手段】PEALDにより、基板に形成されたレジストパターン又はエッチされたライン上にシリコン酸化膜を成膜する方法が、レジストパターン又はエッチされたラインが形成された基板をPEALDリアクターに与える工程と、基板が配置されたサセプタの温度を成膜温度として50℃未満に制御する工程と、成膜温度を50℃未満の一定の温度に実質的に又はほぼ維持しながら、PEALDリアクターにシリコン含有前駆体及び酸素供給反応ガスを導入して、レジストパターン又はエッチされたラインにシリコン酸化原子膜を成膜する工程と、前記レジストパターン又は前記エッチされたラインにシリコン酸化原子膜を成膜するために、実質的に又はほぼ一定の温度で成膜サイクルを複数回繰り返す工程とを含む。
【選択図】なし

Description

本発明は、一般的に、プラズマ励起原子膜の成膜(PEALD)によりシリコン酸化膜を成膜する方法に関し、特に、PEALDによる成膜の間に生ずるダメージによる、レジストのような有機膜の形状変形を抑制する方法に関する。
ALD−SiOは、従来300℃程度で成膜を行っていた。
近年デバイスの高集積化に伴いリソグラフィの解像度以上の微細化に対応するため、レジスト上へコンフォーマルなSiOを成膜する要求が増加している。また、レジストは多種多様な種類があるが、どれも有機物であるために高温では消失してしまうといった問題がある。
本発明のある態様においては、PEALDにより基板上に積層構造を構築するプロセスにおいて、基板上の有機膜がダメージを受け形状変形を起こすことを抑制することができ、リソグラフィによる高度な微細化が可能となる。また、本発明のある態様においては、コンフォーマルなシリコン酸化膜を高い成膜速度で形成することができる。上記の少なくとも一つを実現するある態様においては、シリコン酸化膜をPEALDによりパターン化された有機膜上に成膜するが、その際に、成膜温度が低い領域で成膜速度を成膜温度の一次関数として制御することを特徴とする。
ある態様においては成膜温度を約50℃未満、好ましくは約40℃以下、より好ましくは約30℃以下の一定の温度に制御することで、有機膜がSiOのPEALD成膜過程でダメージを受け形状変形を起こすことを有効に抑制することが可能となる。発明者の実験によれば50℃でもダメージによりレジスト形状が変形するケースもあり、従って、更なる低温成膜を実施するのが好ましく、約30℃以下の一定温度にするとレジストの種類によらずダメージを実質的に生じさせないことが可能となる。
また、そのような温度領域においては、成膜速度は成膜温度の実質的に完全な一次関数あるいは略一次関数として制御することが可能であり、膜厚制御、成膜時間制御を著しく簡略化することができ、スループットを向上させることができる。なお、驚くことに成膜速度は成膜温度の負の一次関数となる。
SiOのPEALDによる成膜のある態様では、ALDに一サイクル内で、シリコンを供給する前駆体ガスの導入と、RFパワーの印加をパルスとし、酸素を供給するガスの導入、パージガスの導入を一定とし、排気も一定に実施する。即ち、一サイクル内における、シリコンを供給する前駆体ガスの導入のパルスと、RFパワーの印加のパルスの長さ、インターバルを制御することで(ある態様ではこれら2つのパルスを制御するのみで)、PEALDによるSiOの成膜を制御する。
なお、PEALD法を使用した場合、50℃未満の低温でSiOの成膜を実施する場合、従来使用しているようなサセプタヒーターでは温度制御が困難である。従来のサセプタヒーターでは50℃程度の制御が限界であり、それ未満の温度で成膜を行う場合はヒーターをOffにし、室温とするほか無いが、ヒーター等を用いない場合、常温20〜30℃程度となるが、温度安定性及び再現性がとれない。本発明のある態様では、サセプタ内を冷媒が循環するようにチラーユニットを備えた構造とすることで加熱冷却を可能とし、これにより例えば−10℃〜50℃(温度制御範囲としては−10℃〜80℃)で温度制御が可能となり、再現性の良好な低温成膜が可能となる。
本発明の態様および従来技術を越えて達成される効果を要約する目的のために、本発明の目的及び効果がここで説明される。もちろん、このような目的や効果のすべてが本発明の特定の実施例のいずれかに従って達成されるわけではないことは理解されるであろう。したがって、例えば、以下で教示する他の目的、効果を必ずしも達成することなく、ここで教示する一つの効果又は一群の効果を達成又は最適にする方法で本発明を実施又は成し遂げることができることは当業者であれば分かるであろう。
さらに、本発明の態様、特徴及び利点は以下の詳細な説明により明らかになろう。
図1は、本発明の一態様に従ったSiOALD膜の成長速度(nm/ cycle)と成膜温度(℃)との間の関係を示すグラフである。 図2は、本発明の一態様に従ったSiOALD膜の成長速度(nm/cycle)と成膜温度(℃)との間の関係、及び従来技術の方法にしたがったSiOALD膜の成長即と(nm/cycle)と成膜温度(℃)との間の関係を示すグラフである。 図3は、本発明の一態様に従ったPEALDによるSiOALD膜の成膜を行うための一サイクルに対する時間チャートである。 図4は、本発明の一態様に従ったSiOALD膜を使用した接触アレーの二重パターンニングを示す。 図5A及び図5Bは、それぞれ、本発明の一態様に従ったチラーユニットを備えたサセプタの略示平面図及び略示断面図である。 図6は、PEALDによるSiOALD膜の成膜温度に依存したレジストの形状変化を略示する。
本発明のこれら又は他の特徴は、本発明を限定することを意図するものではないが、好適実施例を図示する図面を参照して説明される。
本発明は、限定の意図がない以下の実施例を包含する。
一つの実施例として、プラズマ励起原子膜の成膜(PEALD)により、基板に形成されるレジストパターン又はエッチされたライン上に酸化シリコン膜を成膜する方法は、(i)レジストパターン又はエッチされたラインが形成された基板をPEALDリアクターに与える工程と、(ii)基板が配置されるサセプタの温度を成膜温度として50℃未満の温度に制御する工程と、(iii)成膜温度を50℃に満たない一定の温度に実質的に又はほぼ維持しながら、PEALDリアクターにシリコン含有前駆体及び酸素供給反応ガスを導入し、これによりレジストパターン又はエッチされたラインにシリコン酸化原子膜を成膜する工程と、(iv)レジストパターン又はエッチされたラインにシリコン酸化原子膜を成膜するために、実質的に又はほぼ一定の温度でサイクルを複数回繰り返す工程とを含む。
ある態様として、成膜温度は、40℃以下又は30℃以下の実質的に又はほぼ一定の温度に制御される。
上述した態様のいずれかにおいて、サイクル中に、パージガスがPEALDリアクターに導入され、過剰なシリコン含有前駆体が除去されてもよい。
上述した態様のいずれかにおいて、サイクル中に、シリコン含有前駆体は第一のパルス中に導入されてもよく、またPRパワーは第二のパルス中に適用されてもよいが、ここで第一のパルスと第二のパルスは重なることがない。
上述した態様のいずれかにおいて、酸素供給反応ガスは一定にして導入される。
上述した態様のいずれかにおいて、サイクル中に、パージガスがPEALDリアクターに一定に導入されてもよい。
上述した態様のいずれかにおいて、シリコン前駆体は、アミノシランガスであってもよい。一態様として、アミノシランガスは、BDEAS(bisdiethylaminosilane)、BEMAS(bisethylmethylaminosilane)、3DMAS(trisdimethylaminosilane)、およびHEAD(hexakisethylaminosilane)からなるグループから選択されるものでもよい。
上述した形態のいずれかにおいて、酸素供給反応ガスはOガスであってもよい。
上述した形態のいずれかにおいて、パージガスは希ガスであってもよい。一態様として、ArまたはHeがパージガスとして使用されてもよい。
上述した態様のいずれかにおいて、サイクルは約1.5秒から3.0秒の期間であってもよい。他の態様では、サイクルは約1秒から約5秒の期間であってもよい。
上述した実施例のいずれかにおいて、シリコン酸化膜の成長速度は、約0.12nm/cycle又はそれ以上であってもよい。一実施例では、シリコン酸化膜の成長速度は約0.125nm/cycleから約0.145nm/cycleであってもよい。
上述した態様のいずれかにおいて、サセプタの温度は次の式に従ってセットできる。
y=−0.0005x+0.1397
ここで、yは成長速度(nm/cycle)で、xは成膜温度(℃)である。他の形態では、成長速度(nm/ cycle)がy10%であってもよい。
上述した形態のいずれかにおいて、成膜温度は、冷媒をサセプタ内に形成された導管に通過させることで調節することができる。
上述した形態のいずれかにおいて、RFパワーは容量結合された平行な電極を使用して適用されてもよく、サセプタは下側電極のように機能し、一つの基板を保持する。
上述の方法のいずれかは、また基板がPEALD内に入れる前に、(I)シリコン酸化膜の成長速度と成膜温度との間の関係を定義する標準的な曲線を得る工程、(II)一サイクル中でのシリコン酸化膜の成長速度をセットする工程、(III)標準的な曲線を使用してセットされた成長速度に基づいて成膜温度を得る工程をさらに含んでもよい。一形態において、標準的な曲線は、y=−0.0005x+0.1397であってもよく、ここでyは成長速度(nm/cycle)、xは成膜温度(℃)である。
本発明は、典型的な形態を参照して下述されるが、形態は本発明を限定することを意図するものではない。
本発明のある態様における一つの特徴は、成膜温度の調節のための装置構成である。従来のサセプタヒーターでは50℃程度の制御が限界でありそれ以下の温度で成膜を行う場合はヒーターをOffし室温とするしか無い。ヒーター等を用いない場合、常温20〜30℃程度となるが温度安定性及び再現性がとれない。冷媒をチラーユニットにより循環させることで加熱冷却が可能となるサセプタを導入し、これにより−10〜80℃の温度制御が可能となり再現性の良好な低温成膜が可能となる。
本発明のある態様におけるほかの特徴は、有機膜などのレジスト上への成膜における、レジストダメージの抑制である。成膜温度を下げることにより、従来の高温ALD−SiOプロセスにおいて発生していたレジスト上への成膜によるレジストダメージ及び消失を防ぐことが可能になる。
本発明のある態様におけるその他の特徴は、膜成長速度の向上である。成膜温度を下げるに従い成膜温度を向上させることができる。これにより、スループットを向上させることができる。
以下、本発明のある態様における装置構成について説明する。本発明はこれに限定されるものではない。図5Aはチラーユニットを備えたサセプタの一例を示す平面図であり、図5Bは図5Aの5B線に沿って断面を取ったときの縦断面図である。トッププレート51とサセプタ53の間に冷媒(エチレングリコールなど)を流すための溝54を図5Aのように渦状に形成したプレート52を設け、そこに冷媒を流し循環させる。冷媒は該プレートの中心付近に設けられた入口56から渦状溝に流入し、外側に向かって渦状に流動し、外周付近に設けられた溝の終わり57から該プレートの中心付近に設けられた出口55から排出される。チラーにより−10〜80℃の範囲で温度の調整を行うことが可能である。成膜温度範囲を80℃から−10℃と広げることにより、多種の有機膜へのダメージに対応することが可能となるが、ある態様では、有機膜のダメージ抑制の観点より50℃未満、好ましくは40℃以下、更には30℃以下に調整する(別の態様では更に20℃以下、10℃以下、0℃以下に調整する)。冷媒の流動速度、流動量は、トッププレートが所望の一定温度になるように適宜調整することができる。
なお、流路は中心から外側に向かう渦状に限定されず、外側から中心へ向かう渦状、あるいは、外周の一端から他の端へ向かうジグザグ状であってもよい。あるいは、中心から外周へ向かって複数の流路を放射状に形成してもよい。上記の態様では、流路の全長は少なくとも外周の2倍以上、好ましくは3倍以上である。
また、温度を下げることでレジスト形状変化の改善傾向が見られるので−10℃によりさらに低温の場合でもよいが、−10℃より下げる場合はチラーユニットではなく更に大掛かりな冷却機構が必要になる。
冷媒としてはある態様においては以下のものを適宜選択して用いることができる。エチレングリコールやグリセリンなどの不凍液、空冷(室温以上)、水冷(0℃以上)、窒素ガス、液体窒素、二酸化炭素、アンモニア、液体ヘリウム、水素、プロパン、ブタン、イソブタンなどの炭化水素系ガス、フロン類、ハロゲン化炭化水素類など。
冷却方法としては、チラーなどによる冷媒循環型、ペルチェ素子を用いた電子冷却、Nガスなどによる圧送型等、適宜選択して用いることができる。
ある態様では、PEALDによるAiO膜の成膜における温度以外の条件としては例えば以下の通りである。
RFパワー(13.56MHz) : 20〜100W
成膜圧力 : 約400Pa
Si含有前駆体流量 : 300〜500sccm
酸素流量 : 100〜1000sccm
パージガス(例えばAr)流量 : 約1500sccm
また、ある態様では例えば圧力は100Paから1000Pa程度の間で選択することができ、また、パージガス流量も1000sccmから2500sccm程度の間で選択することができる。
また、PEALDの一サイクルにおけるタイミングチャートの一例を図3に示す。まず、パージガスをリアクターに流し安定化させるが、パージガスは常に一定流量でリアクター内を流れているようにする。次にSi前駆体を一つのパルスとして、酸素反応ガスは一定流量で継続的にリアクターに導入し、Si前駆体パルスが停止したのち、RFパワーを一つのパルスとして印加する。Si前駆体パルスとRFパワーのパルスは重複しない。パージガスが継続的に流入しているのと同様に、リアクターは常に排気され、一定圧力が維持されている。Si前駆体がパルスで導入されている最中もパージガスは流入しているが、Si前駆体のパルスが停止した後は、パージガスと酸素反応ガスのみの流入となり、実質的にSi前駆体を基板表面からパージすることができる。
図3は一サイクルを示しているが、一サイクルはある態様では約1.5秒から約3秒程度である。一サイクルにおけるSiO膜の膜厚は図1に示すように成膜温度にほぼ完全に一次関数的に依存しており、成膜温度が低くなると、成膜速度は増加する。ある態様においては、成膜温度を約40℃以下としたときに成膜速度は約0.12nm/cycle以上(例えば、0.12nm/cycle〜0.15nm/cycle)である(後述する実施例)。なお、上記のPEALDのサイクルにおいて、ある態様では酸素反応ガスやパージガスをパルス状で導入してもよい。また、各ガスは一種類に限らず、複数種類の混合ガスであってもよい。
驚くことに、上記のPEALDによるSiO膜では成膜速度はほぼ完全に一次関数的に負の傾きで成膜温度に依存しており、これは従来のPEALDによる金属膜の成膜速度と著しく異なっている。図2に金属膜(W)のPEALDによる成膜速度と成膜温度の関係の一例を示す。成膜温度が上昇すると成膜速度も上昇する。また、原子膜を形成するために比較的高温で処理する必要があり、通常は200度以上(典型的には300度以上)の温度である。200℃よりも低くなると成膜速度は著しく低下する。一方、PEALDによるSiO膜では低温で成膜速度が高く、高温になると低下する。また、100℃を越える温度では成膜速度と成膜速度には一時関数的な関連から離れていく。
図1の例では成膜速度yは、xを成膜温度として、y=−0.0005x+0.1397の関係となっている。このような検量線を事前に求めておくことにより、所望の膜厚にするための温度と時間の関係を正確に把握することができ、正確な成膜制御を容易に実現することができる。例えば、所望の膜厚と成膜温度を設定した場合は、成膜時間を的確に算定することができる。また、成膜サイクルが一定の場合に、所望の膜厚を得るための成膜温度を的確に設定することもできる。SiOの膜厚は、目的により異なるがある態様では5nm〜40nm程度である。サイクル数としては40〜330程度である。
ここでの説明で、条件及び/又は構成が特定されていないところもあるが、当業者であれば、ここで開示した内容から、日常の実験を通じてこのような条件及び/又は構成を容易に与えることができる。
本発明は、本発明の限定を意図しない特定の例を参照して詳細に説明される。特定の例において適用された数値は、少なくとも50%の範囲で修正を行えるが、その範囲の端点は含まれる場合もあり、含まれない場合もある。
実施例
基板の上に有機膜として、ArFレーザー用のフォトレジストであり、アクリル酸、またはメタクリル酸エステルの重合体及び共重合体のアルキル置換体を基本とした組成からなる構造を有した3種類のフォトレジストA、B、C(ライン幅40nm、厚み120nm)をそれぞれ形成し、その上にSiO膜をPEALDにより成膜した。成膜の条件は以下の通りであった。
Si含有前駆体 : BDEAS
Si含有前駆体流量 :500sccn
Si含有前駆体パルス :0.5秒
酸素流量 :600sccm
Ar流量 :1.5sccm
RFパワー(13.56MHz) : 50W
RFパワーパルス :0.3秒
Si含有前駆体パルスとRFパワーパルスのインターバル(RFパワー印加前のパージ):0.3秒
RFパワーパルスとSi含有前駆体パルスのインターバル(RFパワー印加後のパージ):0.3秒
成膜圧力 :400Pa
一サイクルの長さ :1.5秒
サセプタとしては図5A、5Bに示したものを使用した。冷媒としてはエチレングリコールを使い、チラーユニットにより冷媒流量、冷媒温度を調整して、サセプタの温度(トッププレートの表面温度)をそれぞれ80℃、50℃、30℃に調整した。膜厚はそれぞれ9nmとした。なお、成膜温度と成膜速度の関係は図1に示す関係が成立するので、それぞれの温度における成膜時間を高い精度で設定することができる。
図6は、その結果を、断面図を観察することで評価した模式図である。PEALDによるSiO膜形成時の成膜温度により有機膜であるフォトレジストが形状変形を起こしていることが観察された。即ち、フォトレジストBでは、SiOの成膜温度が80℃の場合、50℃の場合は、ほぼ完全に消失していた。フォトレジストCでは、SiOの成膜温度が50℃の場合、完全には消失していなかったが、かなりの部分が消失し顕著な形状変形を起こしていた。フォトレジストAでは、SiOの成膜温度が50℃の場合でも、ほぼ原型を維持していた。このように成膜温度が50℃程度ではフォトレジストによっては顕著に形状変形を起こす。一方、成膜温度が30℃の場合は、いずれのフォトレジストでも原型をほぼ維持しており形状変形を起こしていなかった。
従来ALDの成膜温度は300℃以上の高温プロセスであり、有機膜などのレジストパターンは消失してしまう。またPEALDによって50℃程度の成膜が可能であるが従来の加熱用サセプタでは安定した温度制御が難しく、またそれ以下の温度では使用できない。このため一部のレジストを使用したアプリケーションには適応が出来ないといった問題があった。本発明のある態様によれば、冷媒を循環させるサセプタを用いることで、成膜温度−10〜80℃において有機膜等のレジストダメージによる形状変形の改善及び、消失を防ぐことが可能になる。特に成膜温度を50℃未満、好ましくは40℃以下とすることでレジストダメージを確実に抑制することが可能となる。また、膜成膜速度を向上させることができる。
このようなSiO膜はスペーサー技術に好適に適用することができ、たとえばダブルパターニングに応用することができる。図4A−4Fはその一例を示した模式図である。この例では、SiO膜をネガティブモードのダブルパターニングスペーサーとして機能し、パターントランスファーのためのエッチマスクとして使用する。
図4Aでは基板41の上にパターニングする層42(例えばPoly-silicon)が形成され、その上に有機膜であるフォトレジストのラインあるいはエッチされたライン43が形成されている。
図4Bでは、スペーサー材料44としてSiO膜を本発明のいずれかの態様に従うPEALDによりフォトレジストのラインあるいはエッチされたライン43及びパターニンングする層42上に形成する。図4Cでは、該スペーサー材料44をエッチングし、該ライン43の上端のみがスペーサー材料44から露出し、また該ライン43間でパターニングする層42を露出させ、スペーサー材料をライン42の側壁部のみを残して除去する(45)。
図4Dでは、該ライン43を除去しスペーサー材料45のみを残す(46)。図4Eでは、該スペーサー材料46をハードマスクとして使ってパターニングする層42をエッチングし、パターン47を形成する。このとき、スペーサー材料の一部がパターン47の上端に残っている(48)。
図4Fでは、残ったスペーサー材料48を除去し、パターニングを完了する。本発明のこのような態様によれば、有機膜であるフォトレジスト材料43がSiO膜のPEALDによる形成過程でダメージを受けることなく、形状変形を起こすことを抑制することができ、その結果、SiO膜によるスペーサーのパターニングが解像度よくエッチングすることができる。ダブルパターニングにおいては、有機膜が形状変形を受けないことが重要であり、本発明の態様を適用することは極めて有効である。なお、当業者であれば、本発明の態様におけるSiO膜が、本明細書の開示に基づきその他のパターニング技術、スペーサー技術に適用できることを理解し実施することができる。
本発明の思想及び態様から離れることなく多くのさまざまな修正が可能であることは当業者の知るところである。したがって、言うまでもなく、本発明の形式は例示に過ぎず、本発明の範囲を限定するものではない。

Claims (18)

  1. プラズマ励起原子膜の成膜(PEALD)により、基板に形成されたレジストパターン又はエッチされたライン上にシリコン酸化膜を成膜する方法であって、
    レジストパターン又はエッチされたラインが形成された基板をPEALDリアクターに与えられる工程と、
    前記基板が配置されたサセプタの温度を成膜温度として50℃未満に制御する工程と、
    前記成膜温度を50℃未満の一定の温度に維持しながら、PEALDリアクターにシリコン含有前駆体及び酸素供給反応ガスを導入し、これによりレジストパターン又はエッチされたラインにシリコン酸化原子膜を成膜する工程と、
    前記レジストパターン又は前記エッチされたラインにシリコン酸化原子膜を成膜するために、一定の温度でサイクルを複数回繰り返す工程と、
    を含む方法。
  2. 前記成膜温度が、40℃以下の一定の温度に制御する、請求項1に記載の方法。
  3. 前記成膜温度が、30℃以下の一定の温度に制御する、請求項2に記載の方法。
  4. サイクル中に、前記シリコン含有前駆体が、過剰なシリコン含有前駆体を除去するために、PEALDリアクターに導入される、請求項1に記載の方法。
  5. 前記シリコン含有前駆体が第一のパルス中に導入され、RFパワーが第二のパルス中に適用される、請求項1に記載の方法。
  6. 前記酸素供給反応ガスが一定に導入される、請求項5に記載の方法。
  7. サイクル中に、パージガスがPEALDリアクターに一定に導入される、請求項5に記載の方法。
  8. 前記シリコン含有前駆体がアミノシランガスである、請求項1に記載の方法。
  9. 前記アミノシランガスが、ビスジエチレルアミノシラン(BDEAS)、ビスエチルメチルアミノシラン(BEMAS)、トリスジメチルアミノシラン(3DMAS)、およびヘキサキスエチルアミノシラン(HEAD)からなるグループから選択される、請求項8に記載の方法。
  10. 前記酸素供給反応ガスがOである、請求項1に記載の方法。
  11. 前記パージガスが希ガスである、請求項4に記載の方法。
  12. 成膜サイクルが約1.5秒から3.0秒の期間である、請求項1に記載の方法。
  13. 前記シリコン酸化膜の成長速度が約0.120nm/cycle以上である、請求項1に記載の方法。
  14. 請求項13に記載の方法であって、
    サセプタの温度が以下の式に従ってセットされ、
    y=−0.0005x+0.1397
    ここで、yは成長速度(nm/cycle)で、xは成膜温度(℃)である、方法。
  15. 成膜温度が、サセプタ内に形成された導管に冷媒を通過させることにより調節される、請求項1に記載の方法。
  16. RFパワーが容量結合された平行な電極を使用して適用され、前記サセプタが下方電極として機能し、一枚の基板を保持する、請求項1に記載の方法。
  17. さらに、
    基板がPEALDリアクターに与えられる前に、
    シリコン酸化膜の成長速度と成膜温度との間の関係を定義する標準的な曲線を得る工程と、
    一成膜サイクル中のシリコン酸化膜層の成長速度をセットする工程と、
    前記標準的な曲線を使用して、セットされた成長速度に基づいて成膜温度を得る工程と、
    を含む、請求項1に記載の方法。
  18. 標準的な曲線が、y=−0.0005x+0.1397であり、ここで、yは成長速度(nm/cycle)で、xは成膜温度(℃)である、請求項17に記載の方法。
JP2010058415A 2009-04-01 2010-03-15 低温でプラズマ励起原子膜の成膜によりシリコン酸化膜を成膜する方法 Active JP5679153B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US12/416809 2009-04-01
US12/416,809 US8197915B2 (en) 2009-04-01 2009-04-01 Method of depositing silicon oxide film by plasma enhanced atomic layer deposition at low temperature

Publications (2)

Publication Number Publication Date
JP2010245518A true JP2010245518A (ja) 2010-10-28
JP5679153B2 JP5679153B2 (ja) 2015-03-04

Family

ID=42826412

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2010058415A Active JP5679153B2 (ja) 2009-04-01 2010-03-15 低温でプラズマ励起原子膜の成膜によりシリコン酸化膜を成膜する方法

Country Status (3)

Country Link
US (1) US8197915B2 (ja)
JP (1) JP5679153B2 (ja)
KR (1) KR101680379B1 (ja)

Cited By (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130084714A1 (en) * 2011-09-30 2013-04-04 Asm Japan K.K. Method for Forming Single-Phase Multi-Element Film by PEALD
JP2014146786A (ja) * 2012-11-08 2014-08-14 Novellus Systems Incorporated 感受性基材上にフィルムを蒸着するための方法
JP2014532304A (ja) * 2011-09-23 2014-12-04 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated プラズマ活性化されるコンフォーマル誘電体膜
KR20150037662A (ko) * 2013-09-30 2015-04-08 램 리써치 코포레이션 복합 peald 및 pecvd 방법을 사용하여 가변 애스팩트 비 피처들의 갭충진
JP2015078418A (ja) * 2013-10-18 2015-04-23 東京エレクトロン株式会社 成膜方法および成膜装置
JP2016134623A (ja) * 2015-01-16 2016-07-25 エーエスエム アイピー ホールディング ビー.ブイ. プラスマエンハンスト原子層エッチングの方法
US9570290B2 (en) 2010-04-15 2017-02-14 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9570274B2 (en) 2010-04-15 2017-02-14 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US9793110B2 (en) 2010-04-15 2017-10-17 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9875891B2 (en) 2014-11-24 2018-01-23 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
EP3416182A1 (en) 2017-06-14 2018-12-19 Renesas Electronics Corporation A method for manufacturing a semicondutor device
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
JP2019186541A (ja) * 2018-03-30 2019-10-24 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理方法
US11646198B2 (en) 2015-03-20 2023-05-09 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control

Families Citing this family (356)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006261434A (ja) 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude シリコン酸化膜の形成方法
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5357710B2 (ja) * 2009-11-16 2013-12-04 東京エレクトロン株式会社 基板処理方法,基板処理装置,プログラムを記録した記録媒体
US8476099B2 (en) 2010-07-22 2013-07-02 International Business Machines Corporation Methods for improved adhesion of protective layers of imager microlens structures by forming an interfacial region
TWI595691B (zh) * 2010-07-28 2017-08-11 應用材料股份有限公司 用於磁性媒材圖案化之阻劑強化
US10658161B2 (en) * 2010-10-15 2020-05-19 Applied Materials, Inc. Method and apparatus for reducing particle defects in plasma etch chambers
US8728945B2 (en) * 2010-11-03 2014-05-20 Texas Instruments Incorporated Method for patterning sublithographic features
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8728955B2 (en) 2012-02-14 2014-05-20 Novellus Systems, Inc. Method of plasma activated deposition of a conformal film on a substrate surface
US20130224964A1 (en) * 2012-02-28 2013-08-29 Asm Ip Holding B.V. Method for Forming Dielectric Film Containing Si-C bonds by Atomic Layer Deposition Using Precursor Containing Si-C-Si bond
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US8722546B2 (en) * 2012-06-11 2014-05-13 Asm Ip Holding B.V. Method for forming silicon-containing dielectric film by cyclic deposition with side wall coverage control
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9284642B2 (en) * 2013-09-19 2016-03-15 Asm Ip Holding B.V. Method for forming oxide film by plasma-assisted processing
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9443716B2 (en) * 2014-10-08 2016-09-13 Applied Materials, Inc. Precise critical dimension control using bilayer ALD
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9960033B1 (en) 2016-12-16 2018-05-01 Asm Ip Holding B.V. Method of depositing and etching Si-containing film
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
KR101898161B1 (ko) 2017-02-07 2018-09-12 연세대학교 산학협력단 버퍼층을 포함하는 금속 산화물막 증착 방법
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10600648B2 (en) 2017-04-20 2020-03-24 Lam Research Corporation Silicon-based deposition for semiconductor processing
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10727045B2 (en) 2017-09-29 2020-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method for manufacturing a semiconductor device
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10872762B2 (en) * 2017-11-08 2020-12-22 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming silicon oxide layer and semiconductor structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
CN107742608B (zh) * 2017-11-23 2020-11-13 长江存储科技有限责任公司 双重图形侧墙掩膜刻蚀工艺
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US20190189447A1 (en) * 2017-12-19 2019-06-20 Lam Research Corporation Method for forming square spacers
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US20190249303A1 (en) * 2018-02-09 2019-08-15 Asm Ip Holding B.V. Chemical precursors and methods for depositing a silicon oxide film on a substrate utilizing chemical precursors
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
WO2019168535A1 (en) * 2018-03-01 2019-09-06 Lam Research Corporation Silicon-based deposition for semiconductor processing
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200131342A (ko) 2018-04-10 2020-11-23 램 리써치 코포레이션 레지스트 및 에칭 모델링
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) * 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) * 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
KR102617145B1 (ko) 2018-10-02 2023-12-27 삼성전자주식회사 가변 저항 메모리 장치
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US20200111669A1 (en) * 2018-10-04 2020-04-09 Asm Ip Holding B.V. Method for depositing oxide film by peald using nitrogen
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11817313B2 (en) * 2020-02-05 2023-11-14 Applied Materials, Inc. Methods for pressure ramped plasma purge
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US20220262649A1 (en) * 2021-02-18 2022-08-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor Device and Method
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2007117718A2 (en) * 2006-04-07 2007-10-18 Micron Technology, Inc. Simplified pitch doubling process flow
JP2007530796A (ja) * 2004-03-31 2007-11-01 東京エレクトロン株式会社 原子層堆積を実行するための方法およびシステム
WO2007145513A1 (en) * 2006-06-16 2007-12-21 Fujifilm Manufacturing Europe B.V. Method and apparatus for atomic layer deposition using an atmospheric pressure glow discharge plasma
JP2009016815A (ja) * 2007-06-08 2009-01-22 Tokyo Electron Ltd 微細パターンの形成方法

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6391803B1 (en) * 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
WO2004010467A2 (en) * 2002-07-19 2004-01-29 Aviza Technology, Inc. Low temperature dielectric deposition using aminosilane and ozone
JP2004153037A (ja) * 2002-10-31 2004-05-27 Renesas Technology Corp 半導体装置の製造方法
US7399388B2 (en) * 2003-07-25 2008-07-15 Applied Materials, Inc. Sequential gas flow oxide deposition technique
US20070277735A1 (en) * 2006-06-02 2007-12-06 Nima Mokhlesi Systems for Atomic Layer Deposition of Oxides Using Krypton as an Ion Generating Feeding Gas
US7749574B2 (en) 2006-11-14 2010-07-06 Applied Materials, Inc. Low temperature ALD SiO2
US20090041952A1 (en) * 2007-08-10 2009-02-12 Asm Genitech Korea Ltd. Method of depositing silicon oxide films
US8252194B2 (en) * 2008-05-02 2012-08-28 Micron Technology, Inc. Methods of removing silicon oxide

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007530796A (ja) * 2004-03-31 2007-11-01 東京エレクトロン株式会社 原子層堆積を実行するための方法およびシステム
WO2007117718A2 (en) * 2006-04-07 2007-10-18 Micron Technology, Inc. Simplified pitch doubling process flow
JP2010527138A (ja) * 2006-04-07 2010-08-05 マイクロン テクノロジー, インク. 簡易化ピッチダブリング工程
WO2007145513A1 (en) * 2006-06-16 2007-12-21 Fujifilm Manufacturing Europe B.V. Method and apparatus for atomic layer deposition using an atmospheric pressure glow discharge plasma
JP2009540128A (ja) * 2006-06-16 2009-11-19 フジフィルム マニュファクチャリング ユーロプ ビー.ブイ. 大気圧グロー放電プラズマを使用した原子層堆積の方法及び装置
JP2009016815A (ja) * 2007-06-08 2009-01-22 Tokyo Electron Ltd 微細パターンの形成方法

Cited By (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10043657B2 (en) 2010-04-15 2018-08-07 Lam Research Corporation Plasma assisted atomic layer deposition metal oxide for patterning applications
US9793110B2 (en) 2010-04-15 2017-10-17 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US11133180B2 (en) 2010-04-15 2021-09-28 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US11011379B2 (en) 2010-04-15 2021-05-18 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US10559468B2 (en) 2010-04-15 2020-02-11 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US10361076B2 (en) 2010-04-15 2019-07-23 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9570290B2 (en) 2010-04-15 2017-02-14 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US10043655B2 (en) 2010-04-15 2018-08-07 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9570274B2 (en) 2010-04-15 2017-02-14 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9673041B2 (en) 2010-04-15 2017-06-06 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for patterning applications
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
KR20190049906A (ko) * 2011-09-23 2019-05-09 노벨러스 시스템즈, 인코포레이티드 플라즈마 활성화된 컨포멀 유전체 막 증착
KR102084901B1 (ko) 2011-09-23 2020-03-05 노벨러스 시스템즈, 인코포레이티드 플라즈마 활성화된 컨포멀 유전체 막 증착
JP2014532304A (ja) * 2011-09-23 2014-12-04 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated プラズマ活性化されるコンフォーマル誘電体膜
US20130084714A1 (en) * 2011-09-30 2013-04-04 Asm Japan K.K. Method for Forming Single-Phase Multi-Element Film by PEALD
US8569184B2 (en) * 2011-09-30 2013-10-29 Asm Japan K.K. Method for forming single-phase multi-element film by PEALD
JP2014146786A (ja) * 2012-11-08 2014-08-14 Novellus Systems Incorporated 感受性基材上にフィルムを蒸着するための方法
US10741458B2 (en) 2012-11-08 2020-08-11 Novellus Systems, Inc. Methods for depositing films on sensitive substrates
US10008428B2 (en) 2012-11-08 2018-06-26 Novellus Systems, Inc. Methods for depositing films on sensitive substrates
US9786570B2 (en) 2012-11-08 2017-10-10 Novellus Systems, Inc. Methods for depositing films on sensitive substrates
KR102492259B1 (ko) 2013-09-30 2023-01-26 램 리써치 코포레이션 복합 peald 및 pecvd 방법을 사용하여 가변 애스팩트 비 피처들의 갭충진
KR20220030237A (ko) * 2013-09-30 2022-03-10 램 리써치 코포레이션 복합 peald 및 pecvd 방법을 사용하여 가변 애스팩트 비 피처들의 갭충진
KR102368432B1 (ko) 2013-09-30 2022-02-25 램 리써치 코포레이션 복합 peald 및 pecvd 방법을 사용하여 가변 애스팩트 비 피처들의 갭충진
KR20150037662A (ko) * 2013-09-30 2015-04-08 램 리써치 코포레이션 복합 peald 및 pecvd 방법을 사용하여 가변 애스팩트 비 피처들의 갭충진
JP2015078418A (ja) * 2013-10-18 2015-04-23 東京エレクトロン株式会社 成膜方法および成膜装置
US9875891B2 (en) 2014-11-24 2018-01-23 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US10804099B2 (en) 2014-11-24 2020-10-13 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
JP2016134623A (ja) * 2015-01-16 2016-07-25 エーエスエム アイピー ホールディング ビー.ブイ. プラスマエンハンスト原子層エッチングの方法
US11646198B2 (en) 2015-03-20 2023-05-09 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US10957514B2 (en) 2016-06-30 2021-03-23 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10373806B2 (en) 2016-06-30 2019-08-06 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10679848B2 (en) 2016-07-01 2020-06-09 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10714330B2 (en) 2017-06-14 2020-07-14 Renesas Electronics Corporation Method for manufacturing a semiconductor device having a step of performing ion implantation using a resist pattern as a mask
EP3416182A1 (en) 2017-06-14 2018-12-19 Renesas Electronics Corporation A method for manufacturing a semicondutor device
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
JP2019186541A (ja) * 2018-03-30 2019-10-24 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理方法

Also Published As

Publication number Publication date
KR101680379B1 (ko) 2016-11-28
KR20100109855A (ko) 2010-10-11
US20100255218A1 (en) 2010-10-07
US8197915B2 (en) 2012-06-12
JP5679153B2 (ja) 2015-03-04

Similar Documents

Publication Publication Date Title
JP5679153B2 (ja) 低温でプラズマ励起原子膜の成膜によりシリコン酸化膜を成膜する方法
US20200111669A1 (en) Method for depositing oxide film by peald using nitrogen
US20210082692A1 (en) Method of forming a carbon-containing layer and structure including the layer
US9997371B1 (en) Atomic layer etch methods and hardware for patterning applications
CN108140545B (zh) 超高模量与蚀刻选择性的硼-碳硬掩模膜
JP5913965B2 (ja) 金属酸化物のハードマスクの形成方法
JP6352771B2 (ja) 低減されたトリミングレートで炭素含有膜をトリミングする方法
JP6653577B2 (ja) プラスマエンハンスト原子層エッチングの方法
US20200013629A1 (en) Semiconductor processing apparatus
CN112242300A (zh) 自由基辅助点火等离子体系统和方法
US8252691B2 (en) Method of forming semiconductor patterns
JP2020004971A (ja) 薄膜蒸着方法、及び半導体装置の製造方法
US10494715B2 (en) Atomic layer clean for removal of photoresist patterning scum
KR101161912B1 (ko) 비결정질 탄소 층의 고온 증착 방법
JP2007256950A (ja) プラズマcvdを使ったカーボンポリマー膜を形成する方法
KR101423019B1 (ko) 미세 패턴의 형성 방법
TW202001991A (zh) 圖案化半導體裝置的方法
JP2007165883A (ja) 有機シリコン酸化膜及び多層レジスト構造を形成するための方法
CN111627806A (zh) 基片处理方法和基片处理装置
CN105244270A (zh) 蚀刻方法和蚀刻装置
US20110039389A1 (en) Manufacturing method of semiconductor device
KR20230117475A (ko) 원자 층 제어를 사용한 막의 등방성 에칭
JP2005045053A (ja) 半導体装置の製造方法
US20220037163A1 (en) Plasma-assisted etching of metal oxides
JP2022500850A (ja) 準安定活性ラジカル種を使用する原子層処置プロセス

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20130208

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20130509

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130520

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130710

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20131105

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20131217

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20140829

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140926

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20141224

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20141224

R150 Certificate of patent or registration of utility model

Ref document number: 5679153

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250